Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Jung A. Lee"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/JhunLRKK24, author = {Jihye Jhun and Dong{-}Hyun Lee and Atta Ur Rehman and Seungwoo Kang and Jung Hoon Kim}, title = {Development of a Real-Time Geometric Quality Monitoring System for Extruded Filaments of 3D Concrete Printing Construction}, journal = {{IEEE} Access}, volume = {12}, pages = {69981--69999}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3401472}, doi = {10.1109/ACCESS.2024.3401472}, timestamp = {Sun, 02 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JhunLRKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeHLKK24, author = {Hyunyong Lee and Yu Min Hwang and Jungi Lee and Nac{-}Woo Kim and Seok{-}Kap Ko}, title = {A Drone-Driven X-Ray Image-Based Diagnosis of Wind Turbine Blades for Reliable Operation of Wind Turbine}, journal = {{IEEE} Access}, volume = {12}, pages = {56141--56158}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3388494}, doi = {10.1109/ACCESS.2024.3388494}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeHLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinTJLW24, author = {Ching{-}Sheng Lin and Chung{-}Nan Tsai and Jung{-}Sing Jwo and Cheng{-}Hsiung Lee and Xin Wang}, title = {Heterogeneous Student Knowledge Distillation From {BERT} Using a Lightweight Ensemble Framework}, journal = {{IEEE} Access}, volume = {12}, pages = {33079--33088}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3372568}, doi = {10.1109/ACCESS.2024.3372568}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinTJLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/ChenHLLCC24, author = {You{-}Shyang Chen and Ying{-}Hsun Hung and Mike Yau{-}Jung Lee and Chien{-}Jung Lai and Jieh{-}Ren Chang and Chih{-}Yao Chien}, title = {Identification of the Yield Rate by a Hybrid Fuzzy Control PID-Based Four-Stage Model: {A} Case Study of Optical Filter Industry}, journal = {Axioms}, volume = {13}, number = {1}, pages = {54}, year = {2024}, url = {https://doi.org/10.3390/axioms13010054}, doi = {10.3390/AXIOMS13010054}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/ChenHLLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/JungOJKKLY24, author = {Ji{-}Hoon Jung and Hong Min Oh and Gyu{-}Jun Jeong and Tae{-}Won Kim and Hyun Jung Koo and June{-}Goo Lee and Dong Hyun Yang}, title = {ZOZI-Seg: {A} transformer and UNet cascade network with Zoom-Out and Zoom-In scheme for aortic dissection segmentation in enhanced {CT} images}, journal = {Comput. Biol. Medicine}, volume = {175}, pages = {108494}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108494}, doi = {10.1016/J.COMPBIOMED.2024.108494}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/JungOJKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LeeC24, author = {Yi Shan Lee and Junghui Chen}, title = {A robust semi-supervised learning scheme for development of within-batch quality prediction soft-sensors}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {107920}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.107920}, doi = {10.1016/J.ENGAPPAI.2024.107920}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/LeeC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HongLS24, author = {Jung{-}Sik Hong and Jeongeon Lee and Min K. Sim}, title = {Concise rule induction algorithm based on one-sided maximum decision tree approach}, journal = {Expert Syst. Appl.}, volume = {237}, number = {Part {A}}, pages = {121365}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.121365}, doi = {10.1016/J.ESWA.2023.121365}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/HongLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/TsaiCHHLKKLWLLLMML24, author = {Cheng{-}Yu Tsai and He{-}in Cheong and Robert Houghton and Wen{-}Hua Hsu and Kang{-}Yun Lee and Jiunn{-}Horng Kang and Yi{-}Chun Kuan and Hsin{-}Chien Lee and Cheng{-}Jung Wu and Lok{-}Yee Joyce Li and Yin{-}Tzu Lin and Shang{-}Yang Lin and Iulia Manole and Arnab Majumdar and Wen{-}Te Liu}, title = {Predicting Fatigue-Associated Aberrant Driving Behaviors Using a Dynamic Weighted Moving Average Model With a Long Short-Term Memory Network Based on Heart Rate Variability}, journal = {Hum. Factors}, volume = {66}, number = {6}, pages = {1681--1702}, year = {2024}, url = {https://doi.org/10.1177/00187208231183874}, doi = {10.1177/00187208231183874}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/TsaiCHHLKKLWLLLMML24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/ParkLHKS24, author = {Doeun Park and Junghan Lee and Jeehyun Han and Jinwoo Kim and Yee{-}Jin Shin}, title = {A Preliminary Study of Voicebot to Assist {ADHD} Children in Performing Daily Tasks}, journal = {Int. J. Hum. Comput. Interact.}, volume = {40}, number = {10}, pages = {2711--2724}, year = {2024}, url = {https://doi.org/10.1080/10447318.2023.2169530}, doi = {10.1080/10447318.2023.2169530}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/ParkLHKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChoLKKJLL24, author = {Jungwon Cho and Ah Ra Lee and Dongjun Koo and Koenhee Kim and Young Mi Jeong and Ho{-}Young Lee and Eunkyung Euni Lee}, title = {Development of machine-learning models using pharmacy inquiry database for predicting dose-related inquiries in a tertiary teaching hospital}, journal = {Int. J. Medical Informatics}, volume = {185}, pages = {105398}, year = {2024}, url = {https://doi.org/10.1016/j.ijmedinf.2024.105398}, doi = {10.1016/J.IJMEDINF.2024.105398}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChoLKKJLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LeePAJK24, author = {Seungyeon Lee and Minyoung Park and Younggeun Ahn and Gyeong Bok Jung and Dohyun Kim}, title = {Analysis of tabular data based on graph neural network using supervised contrastive loss}, journal = {Neurocomputing}, volume = {570}, pages = {127137}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2023.127137}, doi = {10.1016/J.NEUCOM.2023.127137}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LeePAJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeKLKJ24, author = {Ahnwoo Lee and Sunghyuk Kim and Dong Min Lee and Jung{-}Hyun Kim and Tae Hwan Jang}, title = {The Generalization of Stage-Reduced {STPS} for Low-Loss Unequal 1 {\texttimes} 4 Phased Array Architecture for 5G IoT Applications}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {11}, pages = {19978--19987}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3367902}, doi = {10.1109/JIOT.2024.3367902}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeKLKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/LeeJYK24, author = {Dongjae Lee and Minwoo Jung and Wooseong Yang and Ayoung Kim}, title = {LiDAR odometry survey: recent advancements and remaining challenges}, journal = {Intell. Serv. Robotics}, volume = {17}, number = {2}, pages = {95--118}, year = {2024}, url = {https://doi.org/10.1007/s11370-024-00515-8}, doi = {10.1007/S11370-024-00515-8}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/LeeJYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungOKLKPBKLYJPLLHL24, author = {Jaehong Jung and Seunghyun Oh and Joomyoung Kim and Jinhyeon Lee and Wonkang Kim and Euiyoung Park and Seungyong Bae and Seungjin Kim and Jaehoon Lee and Yelim Youn and Yeongcheol Jeong and Sungsik Park and Kyungsoo Lee and Yong Lim and Junho Huh and Jongwoo Lee}, title = {A Fully Integrated, Low-Noise, Cost-Effective Single-Crystal-Oscillator-Based Clock Management {IC} in 28-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {6}, pages = {1809--1822}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3329448}, doi = {10.1109/JSSC.2023.3329448}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungOKLKPBKLYJPLLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungPKL24, author = {Woojoong Jung and Hyunjun Park and Minsu Kim and Hyung{-}Min Lee}, title = {A 94.9{\%} Efficiency Always-Power-Delivered {SIDO} Buck Converter With Continuous Current Balancing and Complementary Adaptive-Switching Regulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {6}, pages = {1759--1770}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3340228}, doi = {10.1109/JSSC.2023.3340228}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungPKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLLALKMJKSKRVPSKJPCWB24, author = {Jungho Lee and Joseph G. Letner and Jongyup Lim and Gabriele Atzeni and Jiawei Liao and Abhilasha Kamboj and Bhavika Mani and Seokhyeon Jeong and Yejoong Kim and Yi Sun and Beomseo Koo and Julianna M. Richie and Elena Della Valle and Paras R. Patel and Dennis Sylvester and Hun{-}Seok Kim and Taekwang Jang and Jamie Phillips and Cynthia A. Chestek and James D. Weiland and David T. Blaauw}, title = {A Sub-mm\({}^{\mbox{3}}\) Wireless Neural Stimulator {IC} for Visual Cortical Prosthesis With Optical Power Harvesting and 7.5-kb/s Data Telemetry}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1110--1122}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3349179}, doi = {10.1109/JSSC.2023.3349179}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLLALKMJKSKRVPSKJPCWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/KimAKLKH24, author = {Dong{-}Min Kim and JeongHyeon Ahn and Seung{-}Wook Kim and Jongmin Lee and Myungho Kim and JungHyun Han}, title = {Real-time reconstruction of pipes using {RGB-D} cameras}, journal = {Comput. Animat. Virtual Worlds}, volume = {35}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/cav.2197}, doi = {10.1002/CAV.2197}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/KimAKLKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimLSRKYKPJYCK24, author = {Young Gyun Kim and Jong Hyeon Lee and Jae Woo Shim and Wounsuk Rhee and Byeong Soo Kim and Dan Yoon and Min Jung Kim and Ji Won Park and Chang Wook Jeong and Han{-}Kwang Yang and Minwoo Cho and Sungwan Kim}, title = {A multimodal virtual vision platform as a next-generation vision system for a surgical robot}, journal = {Medical Biol. Eng. Comput.}, volume = {62}, number = {5}, pages = {1535--1548}, year = {2024}, url = {https://doi.org/10.1007/s11517-024-03030-1}, doi = {10.1007/S11517-024-03030-1}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimLSRKYKPJYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/FangKXKLLDLXGY24, author = {Xi Fang and Daeseung Kim and Xuanang Xu and Tianshu Kuang and Nathan Lampen and Jungwook Lee and Hannah H. Deng and Michael A. K. Liebschner and James J. Xia and Jaime Gateno and Pingkun Yan}, title = {Correspondence attention for facial appearance simulation}, journal = {Medical Image Anal.}, volume = {93}, pages = {103094}, year = {2024}, url = {https://doi.org/10.1016/j.media.2024.103094}, doi = {10.1016/J.MEDIA.2024.103094}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/FangKXKLLDLXGY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeIMLKANFCBAO24, author = {Eunjung Lee and Saki Ito and William R. Miranda and Francisco Lopez{-}Jimenez and Garvan C. Kane and Samuel J. Asirvatham and Peter A. Noseworthy and Paul A. Friedman and Rickey E. Carter and Barry A. Borlaug and Zachi Attia and Jae K. Oh}, title = {Artificial intelligence-enabled {ECG} for left ventricular diastolic function and filling pressure}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-023-00993-7}, doi = {10.1038/S41746-023-00993-7}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeIMLKANFCBAO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/YanCWKAL24, author = {Yong Yang Yan and Lily Man Lee Chan and Man Ping Wang and Jojo Yan Yan Kwok and Craig S. Anderson and Jung Jae Lee}, title = {Technology-supported behavior change interventions for reducing sodium intake in adults: a systematic review and meta-analysis}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-024-01067-y}, doi = {10.1038/S41746-024-01067-Y}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/YanCWKAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/ChoiAYJL24, author = {Jung Hee Choi and Dong Geun Ahn and Jae Min Yoo and Joong Soon Jang and Kun Young Lee}, title = {Virtual qualification using digital twin for product development: {A} case study on liquid crystal display}, journal = {Qual. Reliab. Eng. Int.}, volume = {40}, number = {3}, pages = {1420--1434}, year = {2024}, url = {https://doi.org/10.1002/qre.3462}, doi = {10.1002/QRE.3462}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qre/ChoiAYJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/PahkPSSLALC24, author = {Jinu Pahk and Seongjeong Park and Jungseok Shim and Sungho Son and Jungki Lee and Jinung An and Yongseob Lim and Gyeungho Choi}, title = {Lane Segmentation Data Augmentation for Heavy Rain Sensor Blockage Using Realistically Translated Raindrop Images and {CARLA} Simulator}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {6}, pages = {5488--5495}, year = {2024}, url = {https://doi.org/10.1109/LRA.2024.3390587}, doi = {10.1109/LRA.2024.3390587}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/PahkPSSLALC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JiLKPJS24, author = {Ilhwan Ji and Ju Hyeon Lee and Min Ji Kang and Woo Jin Park and Seungho Jeon and Jung{-}Taek Seo}, title = {Artificial Intelligence-Based Anomaly Detection Technology over Encrypted Traffic: {A} Systematic Literature Review}, journal = {Sensors}, volume = {24}, number = {3}, pages = {898}, year = {2024}, url = {https://doi.org/10.3390/s24030898}, doi = {10.3390/S24030898}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JiLKPJS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungL24, author = {Doyun Jung and Jeonghan Lee}, title = {Enhancing Structural Health Monitoring with Acoustic Emission Sensors: {A} Case Study on Composites under Cyclic Loading}, journal = {Sensors}, volume = {24}, number = {2}, pages = {371}, year = {2024}, url = {https://doi.org/10.3390/s24020371}, doi = {10.3390/S24020371}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHJYLKANS24, author = {Youngho Kim and Incheol Han and Jeyong Jung and Sumin Yang and Seunghee Lee and Bummo Koo and Soonjae Ahn and Yejin Nam and Sung{-}Hyuk Song}, title = {Measurements of Electrodermal Activity, Tissue Oxygen Saturation, and Visual Analog Scale for Different Cuff Pressures}, journal = {Sensors}, volume = {24}, number = {3}, pages = {917}, year = {2024}, url = {https://doi.org/10.3390/s24030917}, doi = {10.3390/S24030917}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHJYLKANS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJOCLMKLNSKL24, author = {Youn{-}Hee Kim and Juwon Jun and You{-}Kyung Oh and Hee{-}Ji Choi and Mi{-}Jung Lee and Kyeong{-}Sik Min and Sung{-}Hyon Kim and Hyunseung Lee and Ho{-}Seok Nam and Son Singh and Byoung{-}Joon Kim and Jaegab Lee}, title = {Assessing the Role of Yarn Placement in Plated Knit Strain Sensors: {A} Detailed Study of Their Electromechanical Properties and Applicability in Bending Cycle Monitoring}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1690}, year = {2024}, url = {https://doi.org/10.3390/s24051690}, doi = {10.3390/S24051690}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJOCLMKLNSKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeL24, author = {Chang June Lee and Jung Keun Lee}, title = {IMU-Based Energy Expenditure Estimation for Various Walking Conditions Using a Hybrid {CNN-LSTM} Model}, journal = {Sensors}, volume = {24}, number = {2}, pages = {414}, year = {2024}, url = {https://doi.org/10.3390/s24020414}, doi = {10.3390/S24020414}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/LimPLYHYKJL24, author = {Min Young Lim and Seong Hee Park and Soo{-}Hyun Lee and Jung Won Yoon and Pyo Min Hong and Hwajung Yoo and Kon{-}Woo Kwon and Jongwook Jeong and Youn Kyu Lee}, title = {{VELCRO:} {A} visual-based programming tool for effortless deep learning model construction}, journal = {SoftwareX}, volume = {26}, pages = {101656}, year = {2024}, url = {https://doi.org/10.1016/j.softx.2024.101656}, doi = {10.1016/J.SOFTX.2024.101656}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/LimPLYHYKJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/KangKLLSJSP24, author = {Seokwon Kang and Jongbin Kim and Gyeongyong Lee and Jeongmyung Lee and Jiwon Seo and Hyungsoo Jung and Yong Ho Song and Yongjun Park}, title = {{ISP} Agent: {A} Generalized In-storage-processing Workload Offloading Framework by Providing Multiple Optimization Opportunities}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {11:1--11:24}, year = {2024}, url = {https://doi.org/10.1145/3632951}, doi = {10.1145/3632951}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/KangKLLSJSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/AhnLJ24, author = {Hong Keun Ahn and Sumin Lee and Seong{-}Ook Jung}, title = {A CNN-Based Super-Resolution Processor With Short-Term Caching for Real-Time {UHD} Upscaling}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {3}, pages = {1198--1207}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2023.3346440}, doi = {10.1109/TCSI.2023.3346440}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/AhnLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/JungKJKLJ24, author = {In Jun Jung and Do Han Kim and Minyoung Jo and Dong Han Ko and Young Kyu Lee and Seong{-}Ook Jung}, title = {A Charge-Domain 4T2C eDRAM Compute-in-Memory Macro With Enhanced Variation Tolerance and Low-Overhead Data Conversion Schemes}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {1824--1828}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3332749}, doi = {10.1109/TCSII.2023.3332749}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/JungKJKLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeeSKKLKK24, author = {Sunwoo Lee and Wonwoo Seo and Sunghyuk Kim and Byunghun Ko and Songjune Lee and Min{-}Su Kim and Junghyun Kim}, title = {A Concurrent 26/48 GHz Low-Noise Amplifier With an Optimal Dual-Band Noise Matching Method Using GaAs 0.15 {\(\mu\)}m pHEMT}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {3}, pages = {1096--1100}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3323221}, doi = {10.1109/TCSII.2023.3323221}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeeSKKLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/LeeSNCC24, author = {Younho Lee and Jinyeong Seo and Yujin Nam and Jiseok Chae and Jung Hee Cheon}, title = {HEaaN-STAT: {A} Privacy-Preserving Statistical Analysis Toolkit for Large-Scale Numerical, Ordinal, and Categorical Data}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {21}, number = {3}, pages = {1224--1241}, year = {2024}, url = {https://doi.org/10.1109/TDSC.2023.3275649}, doi = {10.1109/TDSC.2023.3275649}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/LeeSNCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/KimLJL24, author = {Junghwan Kim and Jinhyung Lee and Kee Moon Jang and Ismini Lourentzou}, title = {Exploring the limitations in how ChatGPT introduces environmental justice issues in the United States: {A} case study of 3,108 counties}, journal = {Telematics Informatics}, volume = {86}, pages = {102085}, year = {2024}, url = {https://doi.org/10.1016/j.tele.2023.102085}, doi = {10.1016/J.TELE.2023.102085}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tele/KimLJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/LeeJJKKHC24, author = {Jeong{-}Dong Lee and Dawoon Jeong and Euy{-}Young Jung and Yunyoung Kim and Jiyong Kim and Youwei He and Sungjun Choi}, title = {Mapping the Evolutionary Pattern of Mobile Products: {A} Phylogenetic Approach}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {4776--4790}, year = {2024}, url = {https://doi.org/10.1109/TEM.2022.3214489}, doi = {10.1109/TEM.2022.3214489}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/LeeJJKKHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChongLJCKA24, author = {Heesung Chong and Won{-}Jin Lee and Hyung{-}Sup Jung and Yeseul Cho and Jhoon Kim and Gonzalo Gonzalez Abad}, title = {A Robust Bad-Pixel Radiance Reconstruction for the Geostationary Environment Monitoring Spectrometer {(GEMS)} - Influences on Aerosol Retrieval}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--15}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3369056}, doi = {10.1109/TGRS.2024.3369056}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ChongLJCKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiHL24, author = {Hyeon{-}gyu Choi and Jung{-}Ik Ha and Kahyun Lee}, title = {Ultra-Low Inductance {PMSM} Drive Using a {DC} Current Sensor}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {6}, pages = {5597--5607}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3288203}, doi = {10.1109/TIE.2023.3288203}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HurOKKLCMKAC24, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Louis Atallah and Edward Choi}, title = {GenHPF: General Healthcare Predictive Framework for Multi-Task Multi-Source Learning}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {1}, pages = {502--513}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2023.3327951}, doi = {10.1109/JBHI.2023.3327951}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HurOKKLCMKAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/KimL24, author = {Hyun{-}Jung Kim and Jun{-}Ho Lee}, title = {Deep Reinforcement Learning With a Look-Ahead Search for Robotic Cell Scheduling}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {54}, number = {1}, pages = {622--633}, year = {2024}, url = {https://doi.org/10.1109/TSMC.2023.3317390}, doi = {10.1109/TSMC.2023.3317390}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/KimL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/KimOL24, author = {Jung{-}Hwan Kim and Mustafa {\"{O}}zger and Woong{-}Hee Lee}, title = {CR2Net: {A} Neural Network-Based Classifier for Rician and Rayleigh Channels via Hankelization}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {13}, number = {5}, pages = {1235--1239}, year = {2024}, url = {https://doi.org/10.1109/LWC.2024.3366916}, doi = {10.1109/LWC.2024.3366916}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/KimOL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/JungSLY24, author = {Jihyeok Jung and Chan{-}Oi Song and Deok{-}Joo Lee and Kiho Yoon}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Optimal Mechanism in a Dynamic Stochastic Knapsack Environment}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {9807--9814}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i9.28840}, doi = {10.1609/AAAI.V38I9.28840}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/JungSLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/CuadraWSJDEL24, author = {Andrea Cuadra and Maria Wang and Lynn Andrea Stein and Malte F. Jung and Nicola Dell and Deborah Estrin and James A. Landay}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {The Illusion of Empathy? Notes on Displays of Emotion in Human-Computer Interaction}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {446:1--446:18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642336}, doi = {10.1145/3613904.3642336}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/CuadraWSJDEL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HwangJGBL024, author = {Hochul Hwang and Hee{-}Tae Jung and Nicholas A Giudice and Joydeep Biswas and Sunghoon Ivan Lee and Donghyun Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Towards Robotic Companions: Understanding Handler-Guide Dog Interactions for Informed Guide Dog Robot Design}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {596:1--596:20}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642181}, doi = {10.1145/3613904.3642181}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HwangJGBL024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JungPL24, author = {Gyuwon Jung and Sangjun Park and Uichin Lee}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {DeepStress: Supporting Stressful Context Sensemaking in Personal Informatics Systems Using a Quasi-experimental Approach}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1000:1--1000:18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642766}, doi = {10.1145/3613904.3642766}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JungPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeZAYGLKYDLSGZ24, author = {Chi{-}Jung Lee and Ruidong Zhang and Devansh Agarwal and Tianhong Catherine Yu and Vipin Gunda and Oliver Lopez and James Kim and Sicheng Yin and Boao Dong and Ke Li and Mose Sakashita and Fran{\c{c}}ois Guimbreti{\`{e}}re and Cheng Zhang}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {EchoWrist: Continuous Hand Pose Tracking and Hand-Object Interaction Recognition Using Low-Power Active Acoustic Sensing On a Wristband}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {403:1--403:21}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642910}, doi = {10.1145/3613904.3642910}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeZAYGLKYDLSGZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WieJSKLJKSJKGL24, author = {Jeongyoon Wie and Sangwoo Jung and Taeryoung Seol and Geunha Kim and Sehwan Lee and Homin Jang and Samhwan Kim and Yeonjae Shin and Jae Eun Jang and Jaeha Kung and Arup K. George and Junghyup Lee}, title = {A 3.3-to-11V-Supply-Range 10{\(\mu\)}W/Ch Arbitrary-Waveform-Capable Neural Stimulator with Output-Adaptive-Self-Bias and Supply-Tracking Schemes in 0.18{\(\mu\)}m Standard {CMOS}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10529100}, doi = {10.1109/CICC60959.2024.10529100}, timestamp = {Mon, 03 Jun 2024 20:37:04 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WieJSKLJKSJKGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/0004ADSL24, author = {Yufei Tao and Ameeta Agrawal and Judit Dombi and Tetyana Sydorenko and Jung In Lee}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {ChatGPT Role-play Dataset: Analysis of User Motives and Model Naturalness}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {3133--3145}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.278}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/0004ADSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/LeeYYPJSK24, author = {Unggi Lee and Sungjun Yoon and Joon Seo Yun and Kyoungsoo Park and Younghoon Jung and Damji Stratton and Hyeoncheol Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Difficulty-Focused Contrastive Learning for Knowledge Tracing with a Large Language Model-Based Difficulty Prediction}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {4891--4900}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.438}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/LeeYYPJSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/JungKSJLSHL24, author = {Jueun Jung and Seungbin Kim and Bokyoung Seo and Wuyoung Jang and Sangho Lee and Jeongmin Shin and Donghyeon Han and Kyuho Jason Lee}, title = {A Low-power and Real-time Semantic LiDAR {SLAM} Processor with Point Neural Network Segmentation and kNN Acceleration for Mobile Robots}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531179}, doi = {10.1109/COOLCHIPS61292.2024.10531179}, timestamp = {Wed, 05 Jun 2024 20:57:58 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/JungKSJLSHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/Andersen0K24, author = {Kim Normann Andersen and Jungwoo Lee and Soonhee Kim}, editor = {Hsin{-}Chung Liao and David Duenas{-}Cid and Marie Anne Macadar and Flavia Bernardini}, title = {MetaVerse+ in South Korea and Denmark: Snapshots from Two Leading Digital Nations}, booktitle = {Proceedings of the 25th Annual International Conference on Digital Government Research, {DGO} 2024, Taipei, Taiwan, June 11-14, 2024}, pages = {827--831}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3657054.3657149}, doi = {10.1145/3657054.3657149}, timestamp = {Mon, 03 Jun 2024 10:36:32 +0200}, biburl = {https://dblp.org/rec/conf/dgo/Andersen0K24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeLMP24, author = {Pei Shan Lee and Meng{-}Jung Liu and Le{-}Yin Ma and Chia{-}Hui Pan}, editor = {Hirohiko Mori and Yumi Asahi}, title = {A Qualitative Research Approach to Collect Insights of College Students Engaging in {A} University Social Responsibility Project with Augmented Reality}, booktitle = {Human Interface and the Management of Information - Thematic Area, {HIMI} 2024, Held as Part of the 26th {HCI} International Conference, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14690}, pages = {224--237}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-60114-9\_16}, doi = {10.1007/978-3-031-60114-9\_16}, timestamp = {Wed, 12 Jun 2024 11:25:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeLMP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/0001JL24, author = {Yen{-}Yao Wang and Eunju Jung and One{-}Ki Daniel Lee}, editor = {Tung X. Bui}, title = {{IT} Ambidexterity, Board Diversity, and Firm Performance: {A} Configurational Approach}, booktitle = {57th Hawaii International Conference on System Sciences, {HICSS} 2024, Hilton Hawaiian Village Waikiki Beach Resort, Hawaii, USA, January 3-6, 2024}, pages = {6977--6986}, publisher = {ScholarSpace}, year = {2024}, url = {https://hdl.handle.net/10125/107222}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/0001JL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/JangSJPKL24, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {345--360}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00034}, doi = {10.1109/HPCA57654.2024.00034}, timestamp = {Wed, 17 Apr 2024 17:17:11 +0200}, biburl = {https://dblp.org/rec/conf/hpca/JangSJPKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/ChoiKBLLL24, author = {Sanghyuk Roy Choi and Kanghyeok Ko and Sun Jae Baek and Soyeon Lee and Jungro Lee and Minhyeok Lee}, title = {Enhanced Kidney Tumor Segmentation in {CT} Scans Using a Simplified {UNETR} with Organ Information}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463270}, doi = {10.1109/ICAIIC60209.2024.10463270}, timestamp = {Tue, 02 Apr 2024 21:06:09 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/ChoiKBLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/UsmanOLK24, author = {Ali Usman and Seungmin Oh and Junghoon Lee and Jinsul Kim}, title = {Brands Logo Recognition with Semi-Supervised Learning Using a Robust YOLOv8 Detection Model}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {32--34}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463217}, doi = {10.1109/ICAIIC60209.2024.10463217}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/UsmanOLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChangWCSLC24, author = {Wan{-}Jung Chang and Pei{-}Yi Wu and Yi{-}Jia Chen and Jian{-}Ping Su and Shih{-}Hsiung Lee and Ming{-}Che Chen}, title = {A{\({^2}\)}FinPose: An Artificial Intelligence and Augmented Reality-Based Finger Gesture Recognition System for the Human-Machine Interface of Head-mounted Near-Eye Displays}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444136}, doi = {10.1109/ICCE59016.2024.10444136}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ChangWCSLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/SohnCKLLK24, author = {Kiwon Sohn and Insup Choi and Seongwan Kim and Jaeho Lee and Jungyong Lee and Joonghang Kim}, title = {A Strategy to Maximize the Utilization of {AI} Neural Processors on an Automotive Computing Platform}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444298}, doi = {10.1109/ICCE59016.2024.10444298}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/SohnCKLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/YunNK0KKLA24, author = {Sungmin Yun and Hwayong Nam and Kwanhee Kyung and Jaehyun Park and Byeongho Kim and Yongsuk Kwon and Eojin Lee and Jung Ho Ahn}, editor = {Kenji Kise and Valentina Salapura and Murali Annavaram and Ana Lucia Varbanescu}, title = {{CLAY:} CXL-based Scalable {NDP} Architecture Accelerating Embedding Layers}, booktitle = {Proceedings of the 38th {ACM} International Conference on Supercomputing, {ICS} 2024, Kyoto, Japan, June 4-7, 2024}, pages = {338--351}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3650200.3656595}, doi = {10.1145/3650200.3656595}, timestamp = {Tue, 04 Jun 2024 16:53:16 +0200}, biburl = {https://dblp.org/rec/conf/ics/YunNK0KKLA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwsm/JungADLX024, author = {Wooyong Jung and Nishant Asati and Phuong (Lucy) Doan and Thai Le and Aiping Xiong and Dongwon Lee}, editor = {Yu{-}Ru Lin and Yelena Mejova and Meeyoung Cha}, title = {The Strange Case of Jekyll and Hyde: Analysis of R/ToastMe and R/RoastMe Users on Reddit}, booktitle = {Proceedings of the Eighteenth International {AAAI} Conference on Web and Social Media, {ICWSM} 2024, Buffalo, New York, USA, June 3-6, 2024}, pages = {787--799}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/icwsm.v18i1.31352}, doi = {10.1609/ICWSM.V18I1.31352}, timestamp = {Mon, 03 Jun 2024 17:01:43 +0200}, biburl = {https://dblp.org/rec/conf/icwsm/JungADLX024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKYOPYCKKPKKMPHYYYLKYKLLKJLKP24, author = {Jungchul Lee and EC Kwon and SH Yoon and R. G. Oh and S. Y. Park and S. H. Youn and K. R. Choi and T. W. Kim and M. C. Kim and J. H. Park and Y. W. Ko and Y. D. Kim and J. S. Moon and H. A. Park and K. O. Hong and J. Y. Yang and J. Y. Yoon and J. M. Yoon and J. M. Lee and J. H. Kim and H. Y. Yoo and S. J. Kim and N.{-}H. Lee and S. H. Lee and K. S. Kwon and I. G. Jung and S. Y. Lee and H.{-}J. Kim and Sangwoo Pae}, title = {Virtual {FA} Methodology for {DRAM:} Real-Time Analysis and Risk Assessment Method Using Telemetry}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529404}, doi = {10.1109/IRPS48228.2024.10529404}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKYOPYCKKPKKMPHYYYLKYKLLKJLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and SungHoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKSJLSHL24, author = {Jueun Jung and Seungbin Kim and Bokyoung Seo and Wuyoung Jang and Sangho Lee and Jeongmin Shin and Donghyeon Han and Kyuho Jason Lee}, title = {20.6 {LSPU:} {A} Fully Integrated Real-Time LiDAR-SLAM SoC with Point-Neural-Network Segmentation and Multi-Level kNN Acceleration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {370--372}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454374}, doi = {10.1109/ISSCC49657.2024.10454374}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungKSJLSHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KarSVSFRLLCZCWAZCGGHJJJJKKLMMNRRRRSSS24, author = {Monodeep Kar and Joel Silberman and Swagath Venkataramani and Viji Srinivasan and Bruce M. Fleischer and Joshua Rubin and JohnDavid Lancaster and Sae Kyu Lee and Matthew Cohen and Matthew M. Ziegler and Nianzheng Cao and Sandra Woodward and Ankur Agrawal and Ching Zhou and Prasanth Chatarasi and Thomas Gooding and Michael Guillorn and Bahman Hekmatshoartabari and Philip Jacob and Radhika Jain and Shubham Jain and Jinwook Jung and Kyu{-}Hyoun Kim and Siyu Koswatta and Martin Lutz and Alberto Mannari and Abey Mathew and Indira Nair and Ashish Ranjan and Zhibin Ren and Scot Rider and Thomas Roewer and David L. Satterfield and Marcel Schaal and Sanchari Sen and Gustavo Tellez and Hung Tran and Wei Wang and Vidhi Zalani and Jintao Zhang and Xin Zhang and Vinay Shah and Robert M. Senger and Arvind Kumar and Pong{-}Fei Lu and Leland Chang}, title = {14.1 {A} Software-Assisted Peak Current Regulation Scheme to Improve Power-Limited Inference Performance in a 5nm {AI} SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {254--256}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454301}, doi = {10.1109/ISSCC49657.2024.10454301}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KarSVSFRLLCZCWAZCGGHJJJJKKLMMNRRRRSSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJPSANSWL24, author = {Minsu Kim and Woojoong Jung and Hyunjun Park and Junho Song and Youngkook Ahn and Taekyu Nam and Yoonsoo Shin and Young{-}Jin Woo and Hyung{-}Min Lee}, title = {8.9 {A} 96.5{\%} Peak Efficiency Duty-Independent {DC-DC} Step-Up Converter with Low Input-Level Voltage Stress and Mode-Adaptive Inductor Current Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {160--162}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454511}, doi = {10.1109/ISSCC49657.2024.10454511}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimJPSANSWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSCPHKJKKLK24, author = {Ik{-}Hwan Kim and Jeong{-}Il Seo and Young{-}Hwan Choo and Seungchan Park and Jae{-}Yeol Han and Woosik Kim and Sung{-}Youb Jung and Taehyuk Ko and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {31.3 {A} 950ns 0.5-to-5.5V 5G {NR} {RF} {PA} Supply Modulator with Floating Capacitor Control for Symbol Power Tracking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {500--502}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454517}, doi = {10.1109/ISSCC49657.2024.10454517}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimSCPHKJKKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeBJK24, author = {Jun{-}Gi Lee and Hong{-}Hyun Bae and Seunghyun Jang and Hyun{-}Sik Kim}, title = {28.1 {A} Fully Integrated, Domino-Like-Buffered Analog {LDO} Achieving -28dB Worst-Case Power-Supply Rejection Across the Frequency Spectrum from 10Hz to 1GHz with 50pF On-Chip Capacitance}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {456--458}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454478}, doi = {10.1109/ISSCC49657.2024.10454478}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeBJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKNJKLK24, author = {Dongha Lee and Seki Kim and Takahiro Nomiyama and Dong{-}Hoon Jung and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {14.6 {A} 10A Computational Digital {LDO} Achieving 263A/mm\({}^{\mbox{2}}\) Current Density with Distributed Power-Gating Switches and Time-Based Fast-Transient Controller for Mobile SoC Application in 3nm {GAAFET}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {264--266}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454432}, doi = {10.1109/ISSCC49657.2024.10454432}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKNJKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeePPLLCCS24, author = {Sangheon Lee and Jinwoo Park and Junsang Park and Sangkyu Lee and Jungho Lee and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {3.9 {A} 1.2V High-Voltage-Tolerant Bootstrapped Analog Sampler in 12-bit {SAR} {ADC} Using 3nm GAA's 0.7V Thin-Gate-Oxide Transistor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {70--72}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454351}, doi = {10.1109/ISSCC49657.2024.10454351}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeePPLLCCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkLC24, author = {Pangi Park and Junghyup Lee and SeongHwan Cho}, title = {3.1 {A} PVT-Insensitive Sub-Ranging Current Reference Achieving 11.4ppm/{\textdegree}C from -20{\textdegree}C to 125{\textdegree}C}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {54--56}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454371}, doi = {10.1109/ISSCC49657.2024.10454371}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeolKLKKWSKJGL24, author = {Taeryoung Seol and Geunha Kim and Sehwan Lee and Samhwan Kim and Dongwook Kim and Jeongyoon Wie and Yeonjae Shin and Hongki Kang and Jae Eun Jang and Arup K. George and Junghyup Lee}, title = {33.11 {A} Hybrid Recording System with 10kHz-BW 630mVPP 84.6dB-SNDR 173.3dB-FOMSNDR and 5kHz-BW 114dB-DR for Simultaneous ExG and Biocurrent Acquisition}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {562--564}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454270}, doi = {10.1109/ISSCC49657.2024.10454270}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeolKLKKWSKJGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/BianLCHSADNMBSZSWRLHDLGCDCBKTLRTAMGGYGL24, author = {Yusheng Bian and Won Suk Lee and Sujith Chandran and Takako Hirokawa and Massimo Sorbara and Abdelsalam Aboketaf and Kevin K. Dezfulian and Arman Najafi and Salman Mosleh and Seyedeh Fahimeh Banihashemian and Ryan Sporer and Michelle Zhang and Shenghua Song and Helen Wong and Chris Ritchie and Yarong Lin and Thomas Houghton and Hanyi Ding and Qidi Liu and Ming Gong and Jae Kyu Cho and Arpan Dasgupta and Zahidur Chowdhury and Farid Barakat and Jason Kim and Janet Tinkler and Jae Gon Lee and Norman Robson and Teck Jung Tang and Frederick G. Anderson and Ian Melville and George Gifford and Vikas Gupta and Anthony Yu and Ken Giewont and Ted Letavic}, title = {Towards polarization insensitive photonic integrated circuits: polarization dependent loss reduction of CMOSintegrated monolithic SiPh components}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526737}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/BianLCHSADNMBSZSWRLHDLGCDCBKTLRTAMGGYGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HirokawaBGACCCLLSSABDDHKLRRSSTTWZ24, author = {Takako Hirokawa and Yusheng Bian and Ken Giewont and Abdelsalam Aboketaf and Sujith Chandran and Jae Kyu Cho and Zahidur Chowdhury and Won Suk Lee and Qidi Liu and Prateek Sharma and Massimo Sorbara and Frederick G. Anderson and Farid Barakat and Arpan Dasgupta and Kevin Dezfulian and Thomas Houghton and Jason Kim and Yarong Lin and Norman Robson and Vaibhav Ruparelia and Shenghua Song and Ryan Sporer and Teck{-}Jung Tang and Janet Tinkler and Helen Wong and Michelle Zhang}, title = {Latest Progress and Challenges in 300 mm Monolithic Silicon Photonics Manufacturing}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526635}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HirokawaBGACCCLLSSABDDHKLRRSSTTWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LeePKA24, author = {Dong Kyu Lee and Jung Min Pak and Gyun Ha Kim and Choon Ki Ahn}, title = {Integration of Finite Memory Structure and Unbiased Weighted Frobenius Norm Estimation for Robust Localization of Mobile Robots with Control Input Uncertainty}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2024, Ha Long, Vietnam, January 8-11, 2024}, pages = {1199--1204}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/SII58957.2024.10417386}, doi = {10.1109/SII58957.2024.10417386}, timestamp = {Tue, 20 Feb 2024 14:41:06 +0100}, biburl = {https://dblp.org/rec/conf/sii/LeePKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LeeKLAL24, author = {Jungeun Lee and Sanghun Kim and Hansol Lee and Tserendorj Adiya and Hwasup Lim}, title = {PIDiffu: Pixel-aligned Diffusion Model for High-Fidelity Clothed Human Reconstruction}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {5160--5169}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00509}, doi = {10.1109/WACV57701.2024.00509}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/LeeKLAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wons/EmontsbotzLSBKSJBKS24, author = {Johannes Josef Emontsbotz and Hyung Joo Lee and Sarah S. Schmitt and Maximilian Brochhaus and Ajith Krishnan and Johannes Lukas Sieger and Victoria Jung and Sigrid Brell{-}Cokcan and Niels K{\"{o}}nig and Robert H. Schmitt}, title = {The Application of 5G Networks on Construction Sites and in Underground Mines: Successful Outcomes from Field Trials}, booktitle = {19th Wireless On-Demand Network Systems and Services Conference, {WONS} 2024, Chamonix, France, January 29-31, 2024}, pages = {105--112}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/WONS60642.2024.10449502}, doi = {10.23919/WONS60642.2024.10449502}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wons/EmontsbotzLSBKSJBKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-03650, author = {Jayeon Yi and Junghyun Koo and Kyogu Lee}, title = {{DDD:} {A} Perceptually Superior Low-Response-Time DNN-based Declipper}, journal = {CoRR}, volume = {abs/2401.03650}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.03650}, doi = {10.48550/ARXIV.2401.03650}, eprinttype = {arXiv}, eprint = {2401.03650}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-03650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-04143, author = {Xianghui Xie and Xi Wang and Nikos Athanasiou and Bharat Lal Bhatnagar and Chun{-}Hao P. Huang and Kaichun Mo and Hao Chen and Xia Jia and Zerui Zhang and Liangxian Cui and Xiao Lin and Bingqiao Qian and Jie Xiao and Wenfei Yang and Hyeongjin Nam and Daniel Sungho Jung and Kihoon Kim and Kyoung Mu Lee and Otmar Hilliges and Gerard Pons{-}Moll}, title = {{RHOBIN} Challenge: Reconstruction of Human Object Interaction}, journal = {CoRR}, volume = {abs/2401.04143}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.04143}, doi = {10.48550/ARXIV.2401.04143}, eprinttype = {arXiv}, eprint = {2401.04143}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-04143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12085, author = {Jisi Zhang and Vandana Rajan and Haaris Mehmood and David Tuckey and Pablo Peso Parada and Md Asif Jalal and Karthikeyan Saravanan and Gil Ho Lee and Jungin Lee and Seokyeong Jung}, title = {Consistency Based Unsupervised Self-training For {ASR} Personalisation}, journal = {CoRR}, volume = {abs/2401.12085}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12085}, doi = {10.48550/ARXIV.2401.12085}, eprinttype = {arXiv}, eprint = {2401.12085}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12085.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-13146, author = {Md Asif Jalal and Pablo Peso Parada and George Pavlidis and Vasileios Moschopoulos and Karthikeyan Saravanan and Chrysovalantis{-}Giorgos Kontoulis and Jisi Zhang and Anastasios Drosou and Gil Ho Lee and Jungin Lee and Seokyeong Jung}, title = {Locality enhanced dynamic biasing and sampling strategies for contextual {ASR}}, journal = {CoRR}, volume = {abs/2401.13146}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.13146}, doi = {10.48550/ARXIV.2401.13146}, eprinttype = {arXiv}, eprint = {2401.13146}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-13146.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-17409, author = {Chi{-}Jung Lee and Ruidong Zhang and Devansh Agarwal and Tianhong Catherine Yu and Vipin Gunda and Oliver Lopez and James Kim and Sicheng Yin and Boao Dong and Ke Li and Mose Sakashita and Fran{\c{c}}ois Guimbreti{\`{e}}re and Cheng Zhang}, title = {EchoWrist: Continuous Hand Pose Tracking and Hand-Object Interaction Recognition Using Low-Power Active Acoustic Sensing On a Wristband}, journal = {CoRR}, volume = {abs/2401.17409}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.17409}, doi = {10.48550/ARXIV.2401.17409}, eprinttype = {arXiv}, eprint = {2401.17409}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-17409.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06790, author = {Hochul Hwang and Hee{-}Tae Jung and Nicholas A Giudice and Joydeep Biswas and Sunghoon Ivan Lee and Donghyun Kim}, title = {Towards Robotic Companions: Understanding Handler-Guide Dog Interactions for Informed Guide Dog Robot Design}, journal = {CoRR}, volume = {abs/2402.06790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06790}, doi = {10.48550/ARXIV.2402.06790}, eprinttype = {arXiv}, eprint = {2402.06790}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-07381, author = {Mesut Toka and Byungju Lee and Jaehyup Seong and Aryan Kaushik and Juhwan Lee and Jungwoo Lee and Namyoon Lee and Wonjae Shin and H. Vincent Poor}, title = {RIS-Empowered {LEO} Satellite Networks for 6G: Promising Usage Scenarios and Future Directions}, journal = {CoRR}, volume = {abs/2402.07381}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.07381}, doi = {10.48550/ARXIV.2402.07381}, eprinttype = {arXiv}, eprint = {2402.07381}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-07381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14269, author = {Jihyeok Jung and Chan{-}Oi Song and Deok{-}Joo Lee and Kiho Yoon}, title = {Optimal Mechanism in a Dynamic Stochastic Knapsack Environment}, journal = {CoRR}, volume = {abs/2402.14269}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14269}, doi = {10.48550/ARXIV.2402.14269}, eprinttype = {arXiv}, eprint = {2402.14269}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14269.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06664, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, journal = {CoRR}, volume = {abs/2403.06664}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06664}, doi = {10.48550/ARXIV.2403.06664}, eprinttype = {arXiv}, eprint = {2403.06664}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06668, author = {Jaewon Jung and Hongsun Jang and Jaeyong Song and Jinho Lee}, title = {PeerAiD: Improving Adversarial Distillation from a Specialized Peer Tutor}, journal = {CoRR}, volume = {abs/2403.06668}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06668}, doi = {10.48550/ARXIV.2403.06668}, eprinttype = {arXiv}, eprint = {2403.06668}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06668.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-08244, author = {Howoun Jung and Nohjin Park and Jay H. Lee}, title = {Evaluating the Efficiency and Cost-effectiveness of RPB-based {CO2} Capture: {A} Comprehensive Approach to Simultaneous Design and Operating Condition Optimization}, journal = {CoRR}, volume = {abs/2403.08244}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.08244}, doi = {10.48550/ARXIV.2403.08244}, eprinttype = {arXiv}, eprint = {2403.08244}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-08244.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09635, author = {Akhil Kedia and Mohd Abbas Zaidi and Sushil Khyalia and Jungho Jung and Harshith Goka and Haejun Lee}, title = {Transformers Get Stable: An End-to-End Signal Propagation Theory for Language Models}, journal = {CoRR}, volume = {abs/2403.09635}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09635}, doi = {10.48550/ARXIV.2403.09635}, eprinttype = {arXiv}, eprint = {2403.09635}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09635.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-18121, author = {Yufei Tao and Ameeta Agrawal and Judit Dombi and Tetyana Sydorenko and Jung In Lee}, title = {ChatGPT Role-play Dataset: Analysis of User Motives and Model Naturalness}, journal = {CoRR}, volume = {abs/2403.18121}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.18121}, doi = {10.48550/ARXIV.2403.18121}, eprinttype = {arXiv}, eprint = {2403.18121}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-18121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-19099, author = {Changwon Lee and Israel F. Araujo and Dongha Kim and Junghan Lee and Siheon Park and Ju{-}Young Ryu and Daniel K. Park}, title = {Optimizing Quantum Convolutional Neural Network Architectures for Arbitrary Data Dimension}, journal = {CoRR}, volume = {abs/2403.19099}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.19099}, doi = {10.48550/ARXIV.2403.19099}, eprinttype = {arXiv}, eprint = {2403.19099}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-19099.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-03991, author = {Shahzad Ali and Yu Rim Lee and Soo Young Park and Won Young Tak and Soon Ki Jung}, title = {Towards Efficient and Accurate {CT} Segmentation via Edge-Preserving Probabilistic Downsampling}, journal = {CoRR}, volume = {abs/2404.03991}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.03991}, doi = {10.48550/ARXIV.2404.03991}, eprinttype = {arXiv}, eprint = {2404.03991}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-03991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08871, author = {Si Ung Noh and Junguk Hong and Chaemin Lim and Seongyeon Park and Jeehyun Kim and Hanjun Kim and Youngsok Kim and Jinho Lee}, title = {PID-Comm: {A} Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices}, journal = {CoRR}, volume = {abs/2404.08871}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08871}, doi = {10.48550/ARXIV.2404.08871}, eprinttype = {arXiv}, eprint = {2404.08871}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10633, author = {Changki Sung and Wanhee Kim and Jungho An and Wooju Lee and Hyungtae Lim and Hyun Myung}, title = {Contextrast: Contextual Contrastive Learning for Semantic Segmentation}, journal = {CoRR}, volume = {abs/2404.10633}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10633}, doi = {10.48550/ARXIV.2404.10633}, eprinttype = {arXiv}, eprint = {2404.10633}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-12980, author = {Tianhong Catherine Yu and Guilin Hu and Ruidong Zhang and Hyunchul Lim and Saif Mahmud and Chi{-}Jung Lee and Ke Li and Devansh Agarwal and Shuyang Nie and Jinseok Oh and Fran{\c{c}}ois Guimbreti{\`{e}}re and Cheng Zhang}, title = {Ring-a-Pose: {A} Ring for Continuous Hand Pose Tracking}, journal = {CoRR}, volume = {abs/2404.12980}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.12980}, doi = {10.48550/ARXIV.2404.12980}, eprinttype = {arXiv}, eprint = {2404.12980}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-12980.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13852, author = {Eunho Lee and Minwoo Jung and Ayoung Kim}, title = {Toward Robust LiDAR based 3D Object Detection via Density-Aware Adaptive Thresholding}, journal = {CoRR}, volume = {abs/2404.13852}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13852}, doi = {10.48550/ARXIV.2404.13852}, eprinttype = {arXiv}, eprint = {2404.13852}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13852.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14687, author = {Raehyuk Jung and Hyojun Go and Jaehyuk Yi and Jiho Jang and Daniel Kim and Jay Suh and Aiden Seung Joon Lee and Cooper Han and Jae Lee and Jeff Kim and Jin{-}Young Kim and Junwan Kim and Kyle Park and Lucas Lee and Mars Ha and Minjoon Seo and Abraham Jo and Ed Park and Hassan Kianinejad and Sj Kim and Tony Moon and Wade Jeong and Andrei Popescu and Esther Kim and EK Yoon and Genie Heo and Henry Choi and Jenna Kang and Kevin Han and Noah Seo and Sunny Nguyen and Ryan Won and Yeonhoo Park and Anthony Giuliani and Dave Chung and Hans Yoon and James Le and Jenny Ahn and June Lee and Maninder Saini and Meredith Sanders and Soyoung Lee and Sue Kim and Travis Couture}, title = {Pegasus-v1 Technical Report}, journal = {CoRR}, volume = {abs/2404.14687}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14687}, doi = {10.48550/ARXIV.2404.14687}, eprinttype = {arXiv}, eprint = {2404.14687}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16418, author = {Changho Lee and Janghoon Han and Seonghyeon Ye and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, title = {Instruction Matters, a Simple yet Effective Task Selection Approach in Instruction Tuning for Specific Tasks}, journal = {CoRR}, volume = {abs/2404.16418}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16418}, doi = {10.48550/ARXIV.2404.16418}, eprinttype = {arXiv}, eprint = {2404.16418}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16418.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-17585, author = {Cheol{-}Hui Lee and Hakseung Kim and Hyun{-}jee Han and Minkyung Jung and Byung C. Yoon and Dong{-}Joo Kim}, title = {NeuroNet: {A} Novel Hybrid Self-Supervised Learning Framework for Sleep Stage Classification Using Single-Channel {EEG}}, journal = {CoRR}, volume = {abs/2404.17585}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.17585}, doi = {10.48550/ARXIV.2404.17585}, eprinttype = {arXiv}, eprint = {2404.17585}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-17585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18063, author = {Jaemoon Lee and Ki Sung Jung and Qian Gong and Xiao Li and Scott Klasky and Jacqueline Chen and Anand Rangarajan and Sanjay Ranka}, title = {Machine Learning Techniques for Data Reduction of {CFD} Applications}, journal = {CoRR}, volume = {abs/2404.18063}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18063}, doi = {10.48550/ARXIV.2404.18063}, eprinttype = {arXiv}, eprint = {2404.18063}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-05758, author = {Han Meng and Yitian Yang and Yunan Li and Jungup Lee and Yi{-}Chieh Lee}, title = {Exploring the Potential of Human-LLM Synergy in Advancing Qualitative Analysis: {A} Case Study on Mental-Illness Stigma}, journal = {CoRR}, volume = {abs/2405.05758}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.05758}, doi = {10.48550/ARXIV.2405.05758}, eprinttype = {arXiv}, eprint = {2405.05758}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-05758.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BossuatCCCCDGHKKLLMPPLSYY24, author = {Jean{-}Philippe Bossuat and Rosario Cammarota and Jung Hee Cheon and Ilaria Chillotti and Benjamin R. Curtis and Wei Dai and Huijing Gong and Erin Hales and Duhyeong Kim and Bryan Kumara and Changmin Lee and Xianhui Lu and Carsten Maple and Alberto Pedrouzo{-}Ulloa and Rachel Player and Luis Antonio Ruiz Lopez and Yongsoo Song and Donggeon Yhee and Bahattin Yildiz}, title = {Security Guidelines for Implementing Homomorphic Encryption}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {463}, year = {2024}, url = {https://eprint.iacr.org/2024/463}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BossuatCCCCDGHKKLLMPPLSYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AdeelPLL23, author = {Muhammad Adeel and Chih{-}Wei Peng and I{-}Jung Lee and Bor{-}Shing Lin}, title = {Biomechanical Evaluation of Fingers Repetitive Voluntary Tasks in Chronic Stroke Survivors}, journal = {{IEEE} Access}, volume = {11}, pages = {143649--143656}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3338535}, doi = {10.1109/ACCESS.2023.3338535}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AdeelPLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnLKKK23, author = {Jae{-}Kwang Ahn and Jangsoo Lee and Young{-}Woo Kwon and Jung{-}Kyu Kim and Dong Youp Kwak}, title = {Development of Seismic Intensity Maps Using Low-Cost Micro-Electro-Mechanical Systems Seismic Network}, journal = {{IEEE} Access}, volume = {11}, pages = {39926--39933}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3268520}, doi = {10.1109/ACCESS.2023.3268520}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AhnLKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AminRMHLJS23, author = {Ruhul Amin and Md. Shamim Reza and Md. Maniruzzaman and Md. Al Mehedi Hasan and Hyoun{-}Sup Lee and Si{-}Woong Jang and Jungpil Shin}, title = {Intensive Statistical Exploration to Identify Osteoporosis Predisposing Factors and Optimizing Recognition Performance With Integrated {GP} Kernels}, journal = {{IEEE} Access}, volume = {11}, pages = {131338--131350}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3336422}, doi = {10.1109/ACCESS.2023.3336422}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AminRMHLJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiLL23a, author = {Ji Seok Choi and Chang June Lee and Jung Keun Lee}, title = {A Parallel Recurrent Neural Network for Robust Inertial and Magnetic Sensor-Based 3D Orientation Estimation}, journal = {{IEEE} Access}, volume = {11}, pages = {89685--89693}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3305990}, doi = {10.1109/ACCESS.2023.3305990}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiLL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangLKLLSK23, author = {Tae Hwan Jang and Ahnwoo Lee and Sunghyuk Kim and Hee Sung Lee and Jaesuk Lee and Hoon{-}Geun Song and Jung{-}Hyun Kim}, title = {Wideband Wide Beam-Width Modified Angled Dipole Antenna for 5G Millimeter-Wave IoT Applications}, journal = {{IEEE} Access}, volume = {11}, pages = {63324--63332}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3285619}, doi = {10.1109/ACCESS.2023.3285619}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JangLKLLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongJLSK23, author = {Deuk{-}Young Jeong and Seng{-}Kyoun Jo and In{-}Bok Lee and Hakjong Shin and Jun{-}Gyu Kim}, title = {Digital Twin Application: Making a Virtual Pig House Toward Digital Livestock Farming}, journal = {{IEEE} Access}, volume = {11}, pages = {121592--121602}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3313618}, doi = {10.1109/ACCESS.2023.3313618}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongJLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungLL23, author = {Dong{-}Hoon Jung and Ki{-}Deok Lee and Jae{-}Kwang Lee}, title = {A Study on Design Method of Synchronous Reluctance Motor Based on Nonlinear {MEC} Using Newton-Raphson Method}, journal = {{IEEE} Access}, volume = {11}, pages = {10723--10729}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3241067}, doi = {10.1109/ACCESS.2023.3241067}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungLR23a, author = {Hyunmin Jung and Hyuk{-}Jae Lee and Chae{-}Eun Rhee}, title = {Immersive Virtual Reality Content Supporting a Wide and Free Viewpoint Made With a Single 360{\textdegree} Camera}, journal = {{IEEE} Access}, volume = {11}, pages = {57574--57584}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3279726}, doi = {10.1109/ACCESS.2023.3279726}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungLR23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimL23a, author = {Jong{-}Hyun Kim and Jung Lee}, title = {Optimal Path Control of a Patient for Rapid Infection Testing}, journal = {{IEEE} Access}, volume = {11}, pages = {44911--44922}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3273484}, doi = {10.1109/ACCESS.2023.3273484}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLK23b, author = {Jong{-}Hyun Kim and Jung Lee and Sun{-}Jeong Kim}, title = {Efficient Propagation and Remapping of Sound Through a Geometric Approach in Virtual Environments and Terrains}, journal = {{IEEE} Access}, volume = {11}, pages = {102828--102842}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3312560}, doi = {10.1109/ACCESS.2023.3312560}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLK23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeL23b, author = {Sebin Lee and Jungjin Lee}, title = {"Ju. T'aime" My Idol, My Streamer: {A} Case Study on Fandom Experience as Audiences and Creators of VTuber Concert}, journal = {{IEEE} Access}, volume = {11}, pages = {31125--31142}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3252563}, doi = {10.1109/ACCESS.2023.3252563}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeL23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLEY23, author = {Junyeong Lee and Hosu Lee and Amre Eizad and Jungwon Yoon}, title = {A {TENS} Based System for 2-Dimensional Balance Biofeedback Under Muscle Fatigue Condition}, journal = {{IEEE} Access}, volume = {11}, pages = {5724--5736}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3234987}, doi = {10.1109/ACCESS.2023.3234987}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeLEY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLEY23a, author = {Junyeong Lee and Hosu Lee and Amre Eizad and Jungwon Yoon}, title = {Correction to "A {TENS} Based System for 2-Dimensional Balance Biofeedback Under Muscle Fatigue Condition"}, journal = {{IEEE} Access}, volume = {11}, pages = {25662--25663}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3255459}, doi = {10.1109/ACCESS.2023.3255459}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLEY23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSYJ23, author = {Jin Hwan Lee and Jun{-}Young Song and Han{-}Kyeol Yeo and Sang{-}Yong Jung}, title = {Numerical Evaluation of a Concentrated-Winding Variable Flux Memory Motor With a Hybrid Magnet Arrangement}, journal = {{IEEE} Access}, volume = {11}, pages = {71756--71765}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3287219}, doi = {10.1109/ACCESS.2023.3287219}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSYJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LyuCJL23, author = {Yijing Lyu and Heetae Cho and Pilsu Jung and Seonah Lee}, title = {A Systematic Literature Review of Issue-Based Requirement Traceability}, journal = {{IEEE} Access}, volume = {11}, pages = {13334--13348}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3242294}, doi = {10.1109/ACCESS.2023.3242294}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LyuCJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKLJCC23, author = {Jeonghong Park and Minju Kang and Yeongjun Lee and Jongdae Jung and Hyun{-}Taek Choi and Jinwoo Choi}, title = {Multiple Autonomous Surface Vehicles for Autonomous Cooperative Navigation Tasks in a Marine Environment: Development and Preliminary Field Tests}, journal = {{IEEE} Access}, volume = {11}, pages = {36203--36217}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3262985}, doi = {10.1109/ACCESS.2023.3262985}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkKLJCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShahAABPHJKL23, author = {Syed Adil Ali Shah and Qurat Ul Ain and Nabeel Ahmed and Muhammad Basim and YoungGun Pu and Hyungki Huh and Yeonjae Jung and Seokkee Kim and Kang{-}Yoon Lee}, title = {A High-Efficient 95.6{\%} Rectifier With Passive {AC-DC} Voltage Quadrupler for Low-Frequency Electromagnetic Energy Harvesting System}, journal = {{IEEE} Access}, volume = {11}, pages = {64348--64357}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3289291}, doi = {10.1109/ACCESS.2023.3289291}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShahAABPHJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinPKLLC23, author = {Jung{-}Hun Shin and Sang{-}Jin Park and Min{-}Ah Kim and Myeong{-}Jin Lee and Seung{-}Chan Lim and Kumwon Cho}, title = {Development of a Digital Twin Pipeline for Interactive Scientific Simulation and Mixed Reality Visualization}, journal = {{IEEE} Access}, volume = {11}, pages = {100907--100918}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3314793}, doi = {10.1109/ACCESS.2023.3314793}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinPKLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YunCJHKJLLKYHK23, author = {Sukju Yun and Jaegeun Cho and Sengjun Jo and In{-}Chul Hwang and Youngjin Kim and Seongheon Jeong and Jungeun Lee and Sanghoon Lee and Keontae Kim and Seungyup Yoo and Sangwoo Han and Kuduck Kwon}, title = {A 2.4/5 GHz Dual-Band Low-Noise and Highly Linear Receiver With a New Power-Efficient Feedforward {OPAMP} for WiFi-6 Applications}, journal = {{IEEE} Access}, volume = {11}, pages = {137264--137273}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3339573}, doi = {10.1109/ACCESS.2023.3339573}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YunCJHKJLLKYHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/LeeYICLB23, author = {Siwoo Lee and Cheolhee Yoo and Jungho Im and Dongjin Cho and Yeonsu Lee and Dukwon Bae}, title = {A hybrid machine learning approach to investigate the changing urban thermal environment by dynamic land cover transformation: {A} case study of Suwon, republic of Korea}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {122}, pages = {103408}, year = {2023}, url = {https://doi.org/10.1016/j.jag.2023.103408}, doi = {10.1016/J.JAG.2023.103408}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/LeeYICLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/LeeHCCZ23, author = {Min Su Lee and Yu{-}Jung Heo and Seongho Choi and Woo Suk Choi and Byoung{-}Tak Zhang}, title = {Video Turing Test: {A} first step towards human-level {AI}}, journal = {{AI} Mag.}, volume = {44}, number = {4}, pages = {537--554}, year = {2023}, url = {https://doi.org/10.1002/aaai.12128}, doi = {10.1002/AAAI.12128}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aim/LeeHCCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/GawlikowskiTALHFKTJRSYBZ23, author = {Jakob Gawlikowski and Cedrique Rovile Njieutcheu Tassi and Mohsin Ali and Jongseok Lee and Matthias Humt and Jianxiang Feng and Anna M. Kruspe and Rudolph Triebel and Peter Jung and Ribana Roscher and Muhammad Shahzad and Wen Yang and Richard Bamler and Xiaoxiang Zhu}, title = {A survey of uncertainty in deep neural networks}, journal = {Artif. Intell. Rev.}, volume = {56}, number = {{S1}}, pages = {1513--1589}, year = {2023}, url = {https://doi.org/10.1007/s10462-023-10562-9}, doi = {10.1007/S10462-023-10562-9}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/air/GawlikowskiTALHFKTJRSYBZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/HwangKJKLSSY23, author = {Uiwon Hwang and Sung{-}Woo Kim and Dahuin Jung and Seung Wook Kim and Hyejoo Lee and Sang Won Seo and Joon{-}Kyung Seong and Sungroh Yoon}, title = {Real-world prediction of preclinical Alzheimer's disease with a deep generative model}, journal = {Artif. Intell. Medicine}, volume = {144}, pages = {102654}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102654}, doi = {10.1016/J.ARTMED.2023.102654}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/HwangKJKLSSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/AlamSAYLJJC23, author = {Mohammad Rizwan Alam and Kyung Jin Seo and Jamshid Abdul{-}Ghafar and Kwangil Yim and Sung Hak Lee and Hyun{-}Jong Jang and Chan Kwon Jung and Yosep Chong}, title = {Recent application of artificial intelligence on histopathologic image-based prediction of gene mutation in solid cancers}, journal = {Briefings Bioinform.}, volume = {24}, number = {3}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad151}, doi = {10.1093/BIB/BBAD151}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/AlamSAYLJJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/GuBYLKK23, author = {Jeonghyeon Gu and Dongmin Bang and Jungseob Yi and Sangseon Lee and Dong Kyu Kim and Sun Kim}, title = {A model-agnostic framework to enhance knowledge graph-based drug combination prediction with drug-drug interaction data and supervised contrastive learning}, journal = {Briefings Bioinform.}, volume = {24}, number = {5}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad285}, doi = {10.1093/BIB/BBAD285}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/GuBYLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ParkLLLGFLW23, author = {Jun Young Park and Jang Jae Lee and Younghwa Lee and Dongsoo Lee and Jungsoo Gim and Lindsay A. Farrer and Kun Ho Lee and Sungho Won}, title = {Machine learning-based quantification for disease uncertainty increases the statistical power of genetic association studies}, journal = {Bioinform.}, volume = {39}, number = {9}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad534}, doi = {10.1093/BIOINFORMATICS/BTAD534}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ParkLLLGFLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/MoonDKLA23, author = {Yaebin Moon and Wanju Doh and Kwanhee Kyung and Eojin Lee and Jung Ho Ahn}, title = {{ADT:} Aggressive Demotion and Promotion for Tiered Memory}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {22}, number = {1}, pages = {21--24}, year = {2023}, url = {https://doi.org/10.1109/LCA.2023.3236685}, doi = {10.1109/LCA.2023.3236685}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/MoonDKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cam/LeeJY23, author = {Jae Hwa Lee and Yoon Mo Jung and Sangwoon Yun}, title = {A limited-memory trust-region method for nonlinear optimization with many equality constraints}, journal = {Comput. Appl. Math.}, volume = {42}, number = {3}, year = {2023}, url = {https://doi.org/10.1007/s40314-023-02251-8}, doi = {10.1007/S40314-023-02251-8}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cam/LeeJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YunSJKLK23, author = {Won Joon Yun and Myungjae Shin and Soyi Jung and JeongGil Ko and Hyung{-}Chul Lee and Joongheon Kim}, title = {Deep reinforcement learning-based propofol infusion control for anesthesia: {A} feasibility study with a 3000-subject dataset}, journal = {Comput. Biol. Medicine}, volume = {156}, pages = {106739}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106739}, doi = {10.1016/J.COMPBIOMED.2023.106739}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YunSJKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LeeWonLL23, author = {Roselyn J. Lee{-}Won and Eun{-}Ju Lee and Jung Ah Lee}, title = {Nostalgic Social Media Use and Psychological Well-Being During the {COVID-19} Pandemic}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {26}, number = {2}, pages = {90--97}, year = {2023}, url = {https://doi.org/10.1089/cyber.2022.0281}, doi = {10.1089/CYBER.2022.0281}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LeeWonLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/UrmCKL23, author = {Jae Jung Urm and Jae Hwan Choi and Chan Kim and Jong Min Lee}, title = {Techno-economic analysis and process optimization of a {PET} chemical recycling process based on Bayesian optimization}, journal = {Comput. Chem. Eng.}, volume = {179}, pages = {108451}, year = {2023}, url = {https://doi.org/10.1016/j.compchemeng.2023.108451}, doi = {10.1016/J.COMPCHEMENG.2023.108451}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cce/UrmCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/SchecterHLHHLLDCJ23, author = {Aaron Schecter and Jess Hohenstein and Lindsay E. Larson and Alexa M. Harris and Yoyo Tsung{-}Yu Hou and Wen{-}Ying Lee and Nina Lauharatanahirun and Leslie A. DeChurch and Noshir Contractor and Malte F. Jung}, title = {Vero: An accessible method for studying human-AI teamwork}, journal = {Comput. Hum. Behav.}, volume = {141}, pages = {107606}, year = {2023}, url = {https://doi.org/10.1016/j.chb.2022.107606}, doi = {10.1016/J.CHB.2022.107606}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/SchecterHLHHLLDCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/LeeJPJP23, author = {Suyeon Lee and Yeonwoo Jeong and Kyuli Park and Gyeonghwan Jung and Sungyong Park}, title = {zStream: towards a low latency micro-batch streaming system}, journal = {Clust. Comput.}, volume = {26}, number = {5}, pages = {2773--2787}, year = {2023}, url = {https://doi.org/10.1007/s10586-022-03758-1}, doi = {10.1007/S10586-022-03758-1}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/LeeJPJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/LeeCY23, author = {Kyungrok Lee and Jung{-}Il Choi and Jungho Yoon}, title = {Improving the third-order {WENO} schemes by using exponential polynomial space with a locally optimized shape parameter}, journal = {Comput. Math. Appl.}, volume = {149}, pages = {24--37}, year = {2023}, url = {https://doi.org/10.1016/j.camwa.2023.08.021}, doi = {10.1016/J.CAMWA.2023.08.021}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/LeeCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChoiAJYLK23, author = {Yoonseok Choi and Mohammed A. Al{-}masni and Kyu{-}Jin Jung and Roh{-}Eul Yoo and Seong{-}Yeong Lee and Dong{-}Hyun Kim}, title = {A single stage knowledge distillation network for brain tumor segmentation on limited {MR} image modalities}, journal = {Comput. Methods Programs Biomed.}, volume = {240}, pages = {107644}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107644}, doi = {10.1016/J.CMPB.2023.107644}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChoiAJYLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/SongLJK23, author = {Bong{-}Il Song and Jinny Lee and Won{-}Ho Jung and Bum Soo Kim}, title = {Pure uric acid stone prediction model using the variant coefficient of stone density measured by thresholding 3D segmentation-based methods: {A} multicenter study}, journal = {Comput. Methods Programs Biomed.}, volume = {240}, pages = {107691}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107691}, doi = {10.1016/J.CMPB.2023.107691}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/SongLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KwonSJCLKPC23, author = {Ted Taekyoung Kwon and Junghwan Song and Heeyoung Jung and Selin Chun and Hyunwoo Lee and Minhyeok Kang and Minkyung Park and Eunsang Cho}, title = {How to decentralize the internet: {A} focus on data consolidation and user privacy}, journal = {Comput. Networks}, volume = {234}, pages = {109911}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109911}, doi = {10.1016/J.COMNET.2023.109911}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/KwonSJCLKPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/KimJLYA23, author = {Geon Kim and Yunsong Jung and Myeongkyu Lee and Eisung Yoon and Sangjoon Ahn}, title = {{SANTA:} {A} safety analysis code for neutron absorbers in spent nuclear fuel pools}, journal = {Comput. Phys. Commun.}, volume = {282}, pages = {108513}, year = {2023}, url = {https://doi.org/10.1016/j.cpc.2022.108513}, doi = {10.1016/J.CPC.2022.108513}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/KimJLYA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/JounLP23a, author = {Jihun Joun and Sangjin Lee and Jungheum Park}, title = {Data remnants analysis of document files in Windows: Microsoft 365 as a case study}, journal = {Forensic Sci. Int. Digit. Investig.}, volume = {46}, number = {Supplement}, pages = {301612}, year = {2023}, url = {https://doi.org/10.1016/j.fsidi.2023.301612}, doi = {10.1016/J.FSIDI.2023.301612}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/JounLP23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/LeeX23, author = {Jung{-}Chieh Lee and Liang Nan Xiong}, title = {Exploring learners' continuous usage decisions regarding mobile-assisted language learning applications: {A} social support theory perspective}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {12}, pages = {16743--16769}, year = {2023}, url = {https://doi.org/10.1007/s10639-023-11884-5}, doi = {10.1007/S10639-023-11884-5}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eait/LeeX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/SianturiLC23, author = {Murni Sianturi and Jung{-}Sook Lee and Therese M. Cumming}, title = {Using technology to facilitate partnerships between schools and Indigenous parents: {A} narrative review}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {5}, pages = {6141--6164}, year = {2023}, url = {https://doi.org/10.1007/s10639-022-11427-4}, doi = {10.1007/S10639-022-11427-4}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/SianturiLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ChonJJLKKSLMP23, author = {Tae{-}Soo Chon and Yong{-}Hyeok Jang and Nam Jung and KyoungEun Lee and Gyu{-}Suk Kwak and Dong{-}Hwan Kim and Kwang Sub Sim and Jong Eun Lee and Joong{-}Hyuk Min and Young{-}Seuk Park}, title = {Spatial patterning of benthic macroinvertebrate communities using Geo-self-organizing map (Geo-SOM): {A} case study in the Nakdong River, South Korea}, journal = {Ecol. Informatics}, volume = {76}, pages = {102148}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102148}, doi = {10.1016/J.ECOINF.2023.102148}, timestamp = {Thu, 21 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ChonJJLKKSLMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/LeeJK23, author = {Tae Hee Lee and Mina Jung and Youngseek Kim}, title = {Predicting psychologists' approach to academic reciprocity and data sharing with a theory of collective action}, journal = {Electron. Libr.}, volume = {41}, number = {2/3}, pages = {223--241}, year = {2023}, url = {https://doi.org/10.1108/EL-10-2022-0232}, doi = {10.1108/EL-10-2022-0232}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/LeeJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/ChangLDDMHAPMBBHJ23, author = {Chi{-}Hung Chang and Hyongki Lee and Son K. Do and Tien Le Thuy Du and Kel N. Markert and Faisal Hossain and Shahryar Khalique Ahmad and Thanapon Piman and Chinaporn Meechaiya and Duong Du Bui and John D. Bolten and Euiho Hwang and Hahn Chul Jung}, title = {Operational forecasting inundation extents using {REOF} analysis {(FIER)} over lower Mekong and its potential economic impact on agriculture}, journal = {Environ. Model. Softw.}, volume = {162}, pages = {105643}, year = {2023}, url = {https://doi.org/10.1016/j.envsoft.2023.105643}, doi = {10.1016/J.ENVSOFT.2023.105643}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/ChangLDDMHAPMBBHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/LeNJL23, author = {Xuan{-}Hien Le and Duc{-}Hai Nguyen and Sungho Jung and Giha Lee}, title = {Deep neural network-based discharge prediction for upstream hydrological stations: a comparative study}, journal = {Earth Sci. Informatics}, volume = {16}, number = {4}, pages = {3113--3124}, year = {2023}, url = {https://doi.org/10.1007/s12145-023-01082-9}, doi = {10.1007/S12145-023-01082-9}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esi/LeNJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/AhnPLLDK23, author = {Jungmo Ahn and Jaeyeon Park and Sung Sik Lee and Kyu{-}Hyuk Lee and Heesung Do and JeongGil Ko}, title = {\emph{SafeFac}: Video-based smart safety monitoring for preventing industrial work accidents}, journal = {Expert Syst. Appl.}, volume = {215}, pages = {119397}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2022.119397}, doi = {10.1016/J.ESWA.2022.119397}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/AhnPLLDK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/PhamVVCPMLO23, author = {Van Hiep Pham and Tan Hung Vo and Dinh Dat Vu and Jaeyeop Choi and Sumin Park and Sudip Mondal and Byeong{-}il Lee and Jung{-}Hwan Oh}, title = {Development of fast scanning module with a novel bubble solution applied to scanning acoustic microscopy system for industrial nondestructive inspection}, journal = {Expert Syst. Appl.}, volume = {228}, pages = {120273}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120273}, doi = {10.1016/J.ESWA.2023.120273}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/PhamVVCPMLO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/AhnLKY23, author = {Jun{-}Geol Ahn and Jae{-}Chul Lee and Jin{-}Gyun Kim and Hyun{-}Ik Yang}, title = {Multiphysics model reduction of thermomechanical vibration in a state-space formulation}, journal = {Eng. Comput.}, volume = {39}, number = {5}, pages = {3371--3399}, year = {2023}, url = {https://doi.org/10.1007/s00366-022-01748-w}, doi = {10.1007/S00366-022-01748-W}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/AhnLKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/LeeCAAMH23, author = {Jung H. Lee and Yoonsuck Choe and Salva Ardid and Reza Abbasi{-}Asl and Michelle McCarthy and Brian Hu}, title = {Editorial: Functional microcircuits in the brain and in artificial intelligent systems}, journal = {Frontiers Comput. Neurosci.}, volume = {17}, year = {2023}, url = {https://doi.org/10.3389/fncom.2023.1135507}, doi = {10.3389/FNCOM.2023.1135507}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ficn/LeeCAAMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/JungFSLLKKS23, author = {Chanyoung Jung and Andrea Finazzi and Hyunki Seong and Daegyu Lee and Seungwook Lee and Boseong Kim and Gyuree Kang and David Hyunchul Shim}, title = {An Autonomous Racing System: Design, Implementation, and Analysis; Team {KAIST} at the {IAC}}, journal = {Field Robotics}, volume = {3}, number = {1}, pages = {766--800}, year = {2023}, url = {https://doi.org/10.55417/fr.2023024}, doi = {10.55417/FR.2023024}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fr/JungFSLLKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/JinPHLKHN23, author = {Chanwoo Jin and Sohyun Park and Hui Jeong Ha and Jinhyung Lee and Junghwan Kim and Johan Hutchenreuther and Atsushi Nara}, title = {Predicting households' residential mobility trajectories with geographically localized interpretable model-agnostic explanation {(GLIME)}}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {37}, number = {12}, pages = {2597--2619}, year = {2023}, url = {https://doi.org/10.1080/13658816.2023.2264921}, doi = {10.1080/13658816.2023.2264921}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gis/JinPHLKHN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/HaYL23, author = {Seokhyeon Ha and Youngseok Yoon and Jungwoo Lee}, title = {Meta-ensemble learning with a multi-headed model for few-shot problems}, journal = {{ICT} Express}, volume = {9}, number = {5}, pages = {909--914}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2022.09.001}, doi = {10.1016/J.ICTE.2022.09.001}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/HaYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/LeeNO23, author = {In{-}Seok Lee and Jung{-}Hoon Noh and Seong{-}Jun Oh}, title = {A Survey and analysis on a troposcatter propagation model based on {ITU-R} recommendations}, journal = {{ICT} Express}, volume = {9}, number = {3}, pages = {507--516}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2022.09.009}, doi = {10.1016/J.ICTE.2022.09.009}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/LeeNO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/LeeJMKKANK23, author = {Uichin Lee and Gyuwon Jung and Eun{-}Yeol Ma and Jinsan Kim and Heepyung Kim and Jumabek Alikhanov and Youngtae Noh and Heeyoung Kim}, title = {Toward Data-Driven Digital Therapeutics Analytics: Literature Review and Research Directions}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {10}, number = {1}, pages = {42--66}, year = {2023}, url = {https://doi.org/10.1109/JAS.2023.123015}, doi = {10.1109/JAS.2023.123015}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeejas/LeeJMKKANK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijabim/LeeCK23, author = {Junghwan Lee and Eui{-}Young Chung and Dongwook Kim}, title = {Developing a Job Search Platform for SMEs to Resolve Job Mismatch: {A} Case Study}, journal = {Int. J. Asian Bus. Inf. Manag.}, volume = {14}, number = {1}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.4018/ijabim.318645}, doi = {10.4018/IJABIM.318645}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijabim/LeeCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLCSSLLHKPJKL23, author = {Jemin Kim and Changyoon Lee and Sungchul Choi and Da{-}In Sung and Jeonga Seo and Yun Na Lee and Joo Hee Lee and Eun Jin Han and Ah Young Kim and Hyun Suk Park and Hye Jeong Jung and Jong Hoon Kim and Ju Hee Lee}, title = {Augmented Decision-Making in wound Care: Evaluating the clinical utility of a Deep-Learning model for pressure injury staging}, journal = {Int. J. Medical Informatics}, volume = {180}, pages = {105266}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105266}, doi = {10.1016/J.IJMEDINF.2023.105266}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLCSSLLHKPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/KimCPPNJL23, author = {Sangyeon Kim and Sanghyun Choo and Donghyun Park and Hoonseok Park and Chang S. Nam and Jae{-}Yoon Jung and Sangwon Lee}, title = {Designing an {XAI} interface for {BCI} experts: {A} contextual design for pragmatic explanation interface based on domain knowledge in a specific context}, journal = {Int. J. Hum. Comput. Stud.}, volume = {174}, pages = {103009}, year = {2023}, url = {https://doi.org/10.1016/j.ijhcs.2023.103009}, doi = {10.1016/J.IJHCS.2023.103009}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmms/KimCPPNJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HwangLR23, author = {Duhun Hwang and Eunjung Lee and Wonjong Rhee}, title = {AID-purifier: {A} light auxiliary network for boosting adversarial defense}, journal = {Neurocomputing}, volume = {541}, pages = {126251}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.126251}, doi = {10.1016/J.NEUCOM.2023.126251}, timestamp = {Sun, 04 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HwangLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/LaiMKCLKW23, author = {Pierce Lai and Abhishek Mohan and Seok Kim and Jung Soo Victor Chu and Samuel Lee and Prabhakar Kafle and Patrick Wang}, title = {Customized Information Extraction and Processing Pipeline for Commercial Invoices}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {37}, number = {9}, pages = {2354013:1--2354013:23}, year = {2023}, url = {https://doi.org/10.1142/S0218001423540137}, doi = {10.1142/S0218001423540137}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/LaiMKCLKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/LeeCZ23, author = {Jung{-}Chieh Lee and Li Chen and Hengrui Zhang}, title = {Exploring the adoption decisions of mobile health service users: a behavioral reasoning theory perspective}, journal = {Ind. Manag. Data Syst.}, volume = {123}, number = {8}, pages = {2241--2266}, year = {2023}, url = {https://doi.org/10.1108/IMDS-11-2022-0682}, doi = {10.1108/IMDS-11-2022-0682}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imds/LeeCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/KimNLSH23, author = {Jiha Kim and Younho Nam and Jungeun Lee and Young{-}Joo Suh and Inseok Hwang}, title = {ProxiFit: Proximity Magnetic Sensing Using a Single Commodity Mobile toward Holistic Weight Exercise Monitoring}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {3}, pages = {105:1--105:32}, year = {2023}, url = {https://doi.org/10.1145/3610920}, doi = {10.1145/3610920}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/KimNLSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/AhnLAK23, author = {Jungmo Ahn and Youngki Lee and Jeongseob Ahn and JeongGil Ko}, title = {Server load and network-aware adaptive deep learning inference offloading for edge platforms}, journal = {Internet Things}, volume = {21}, pages = {100644}, year = {2023}, url = {https://doi.org/10.1016/j.iot.2022.100644}, doi = {10.1016/J.IOT.2022.100644}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/AhnLAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/YheeSLK23, author = {Yerin Yhee and Seunghun Shin and Eunji Lee and Jungkeun Kim}, title = {Examining the importance of spatial aspects of travel routes: {A} multi-method approach}, journal = {Inf. Process. Manag.}, volume = {60}, number = {3}, pages = {103281}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2023.103281}, doi = {10.1016/J.IPM.2023.103281}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/YheeSLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LeeKLJ23, author = {Taek{-}Ho Lee and Suhyeon Kim and Junghye Lee and Chi{-}Hyuck Jun}, title = {Word2Vec-based efficient privacy-preserving shared representation learning for federated recommendation system in a cross-device setting}, journal = {Inf. Sci.}, volume = {651}, pages = {119728}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2023.119728}, doi = {10.1016/J.INS.2023.119728}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/LeeKLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LeeJKSSKKMPW23, author = {Yeong Chan Lee and Sang{-}Hyuk Jung and Aman Kumar and Injeong Shim and Minku Song and Min Seo Kim and Kyunga Kim and Woojae Myung and Woong{-}Yang Park and Hong{-}Hee Won}, title = {ICD2Vec: Mathematical representation of diseases}, journal = {J. Biomed. Informatics}, volume = {141}, pages = {104361}, year = {2023}, url = {https://doi.org/10.1016/j.jbi.2023.104361}, doi = {10.1016/J.JBI.2023.104361}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LeeJKSSKKMPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/KimLAJA23, author = {Hyungjung Kim and Hyunsu Lee and Semin Ahn and Woo{-}Kyun Jung and Sung{-}Hoon Ahn}, title = {Broken stitch detection system for industrial sewing machines using {HSV} color space and image processing techniques}, journal = {J. Comput. Des. Eng.}, volume = {10}, number = {4}, pages = {1602--1614}, year = {2023}, url = {https://doi.org/10.1093/jcde/qwad069}, doi = {10.1093/JCDE/QWAD069}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/KimLAJA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/HanPPKLP23, author = {Jungwook Han and Hansol Park and Kyurin Park and Sun{-}Young Kim and Jae Yong Lee and Chunseon Pyo}, title = {Field demonstration of advanced autonomous navigation technique for a fully unmanned surface vehicle in complex coastal traffic areas}, journal = {J. Field Robotics}, volume = {40}, number = {8}, pages = {1887--1905}, year = {2023}, url = {https://doi.org/10.1002/rob.22225}, doi = {10.1002/ROB.22225}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/HanPPKLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/MohammadGMAPL23, author = {Maha M. Saeed Mohammad and Samer Al Ghour and Arif Mehmood and Mohammed M. Al{-}Shomrani and Choonkil Park and Jung Rye Lee}, title = {Bipolar vague soft topological structures in term of operators and convergence of sequences}, journal = {J. Intell. Fuzzy Syst.}, volume = {44}, number = {1}, pages = {1099--1116}, year = {2023}, url = {https://doi.org/10.3233/JIFS-220498}, doi = {10.3233/JIFS-220498}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/MohammadGMAPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/LeeCYPK23, author = {Jaeeun Lee and Hongseok Choi and Kyeongmin Yum and Jungwon Park and Jongnam Kim}, title = {Classification of a 3D Film Pattern Image Using the Optimal Height of the Histogram for Quality Inspection}, journal = {J. Imaging}, volume = {9}, number = {8}, pages = {156}, year = {2023}, url = {https://doi.org/10.3390/jimaging9080156}, doi = {10.3390/JIMAGING9080156}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jimaging/LeeCYPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jml/AhnKL23, author = {JinHoo Ahn and Joonhee Kim and Junguk Lee}, title = {On the antichain tree property}, journal = {J. Math. Log.}, volume = {23}, number = {2}, pages = {2250021:1--2250021:36}, year = {2023}, url = {https://doi.org/10.1142/S0219061322500210}, doi = {10.1142/S0219061322500210}, timestamp = {Thu, 29 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jml/AhnKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/FabregaVM0CNCVM22, author = {Josep M. Fabrega and F. Javier Vilchez and Michela Svaluto Moreolo and Ricardo Mart{\'{\i}}nez and Andy N. Quispe Cornelio and Laia Nadal and Ramon Casellas and Ricard Vilalta and Ra{\'{u}}l Mu{\~{n}}oz and Christian Neumeyr and Seoyoung Lee and Jang{-}Uk Shin and Hyun{-}Do Jung and Giordano Mariani and Roland Heuvelmans and Alberto Gatto and Paola Parolari and Pierpaolo Boffi and Netsanet M. Tessema and Nicola Calabretta and David Larrabeiti and Juan P. {Fern{\'{a}}ndez Palacios}}, title = {Experimental demonstration of a metro area network with terabit-capable sliceable bit-rate-variable transceivers using directly modulated VCSELs and coherent detection}, journal = {{JOCN}}, volume = {15}, number = {3}, pages = {A103--A113}, year = {2023}, url = {https://doi.org/10.1364/jocn.470434}, doi = {10.1364/JOCN.470434}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/FabregaVM0CNCVM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungLKC23, author = {Yeonwook Jung and Seongseop Lee and Hyojun Kim and SeongHwan Cho}, title = {A Supply-Noise-Induced Jitter Canceling Adaptive Filter for {LPDDR5} Mobile {DRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {270--278}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3203221}, doi = {10.1109/JSSC.2022.3203221}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JungLKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungLPJLJ23, author = {Woosong Jung and Kwangho Lee and Kwanseo Park and Haram Ju and Jinhyung Lee and Deog{-}Kyoon Jeong}, title = {A 48 Gb/s {PAM-4} Receiver With Pre-Cursor Adjustable Baud-Rate Phase Detector in 40 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {5}, pages = {1414--1424}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3212541}, doi = {10.1109/JSSC.2022.3212541}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungLPJLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCJYXK023, author = {Keun{-}Mok Kim and Kyung{-}Sik Choi and Hyunki Jung and Byeonghun Yun and Jinglong Xu and Jinho Ko and Sang{-}Gug Lee}, title = {A -124-dBm Sensitivity Interference-Resilient Direct-Conversion Duty-Cycled Wake-Up Receiver Achieving 0.114 mW at 1.966-s Wake-Up Latency}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {6}, pages = {1667--1680}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3208563}, doi = {10.1109/JSSC.2022.3208563}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCJYXK023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyuokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Suhyeon Lee and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28-nm Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {12}, pages = {3408--3420}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3317433}, doi = {10.1109/JSSC.2023.3317433}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkJKL23, author = {Hyunjun Park and Woojoong Jung and Minsu Kim and Hyung{-}Min Lee}, title = {A Wide-Load-Range and High-Slew Capacitor-Less {NMOS} {LDO} With Adaptive-Gain Nested Miller Compensation and Pre-Emphasis Inverse Biasing}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2696--2708}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3279843}, doi = {10.1109/JSSC.2023.3279843}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lp/KimYLJHBC23, author = {Nayon Kim and JungWon Yoon and Jae Yun Lee and Kyoung Hee Joung and Hyekyong Hwang and Seo Young Bai and EunKyung Chung}, title = {Development of a diagnostic framework and its application to open access journal publishing in Korea}, journal = {Learn. Publ.}, volume = {36}, number = {3}, pages = {379--393}, year = {2023}, url = {https://doi.org/10.1002/leap.1542}, doi = {10.1002/LEAP.1542}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lp/KimYLJHBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/msom/WangHL23, author = {Jingqi Wang and Tingliang Huang and Junghee Lee}, title = {Cross-Licensing in a Supply Chain with Asymmetric Manufacturers}, journal = {Manuf. Serv. Oper. Manag.}, volume = {25}, number = {5}, pages = {1855--1872}, year = {2023}, url = {https://doi.org/10.1287/msom.2019.0477}, doi = {10.1287/MSOM.2019.0477}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/msom/WangHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MulyadiJOYLS23, author = {Ahmad Wisnu Mulyadi and Wonsik Jung and Kwanseok Oh and Jee Seok Yoon and Kun Ho Lee and Heung{-}Il Suk}, title = {Estimating explainable Alzheimer's disease likelihood map via clinically-guided prototype learning}, journal = {NeuroImage}, volume = {273}, pages = {120073}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120073}, doi = {10.1016/J.NEUROIMAGE.2023.120073}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/MulyadiJOYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JooRKYKLKKKP23, author = {Young Su Joo and Tyler Hyungtaek Rim and Hee Byung Koh and Joseph Yi and Hyeonmin Kim and Geunyoung Lee and Young Ah Kim and Shin{-}Wook Kang and Sung Soo Kim and Jung Tak Park}, title = {Non-invasive chronic kidney disease risk stratification tool derived from retina-based deep learning and clinical factors}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00860-5}, doi = {10.1038/S41746-023-00860-5}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JooRKYKLKKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcomps/BaekLJKP23, author = {Hankyul Baek and Rhoan Lee and Soyi Jung and Joongheon Kim and Soohyun Park}, title = {Real-Time High-Quality Visualization for Volumetric Contents Rendering: {A} Lyapunov Optimization Framework}, journal = {{IEEE} Open J. Comput. Soc.}, volume = {4}, pages = {243--252}, year = {2023}, url = {https://doi.org/10.1109/OJCS.2023.3312371}, doi = {10.1109/OJCS.2023.3312371}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcomps/BaekLJKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/JungJJKWHL23, author = {Yugyeong Jung and Gyuwon Jung and Sooyeon Jeong and Chaewon Kim and Woontack Woo and Hwajung Hong and Uichin Lee}, title = {"Enjoy, but Moderately!": Designing a Social Companion Robot for Social Engagement and Behavior Moderation in Solitary Drinking Context}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW2}}, pages = {1--24}, year = {2023}, url = {https://doi.org/10.1145/3610028}, doi = {10.1145/3610028}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/JungJJKWHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/NguyenCHKLPJ23, author = {Tra My Nguyen and Hong{-}Woo Chun and Myunggwon Hwang and Lee Nam Kwon and Jae{-}Min Lee and Kanghee Park and Jason J. Jung}, title = {SocioPedia+: a visual analytics system for social knowledge graph-based event exploration}, journal = {PeerJ Comput. Sci.}, volume = {9}, pages = {e1277}, year = {2023}, url = {https://doi.org/10.7717/peerj-cs.1277}, doi = {10.7717/PEERJ-CS.1277}, timestamp = {Thu, 18 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/NguyenCHKLPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LeeJALLSJ23, author = {Kitaek Lee and Insoon Jo and Jaechan Ahn and Hyuk Lee and Hwang Lee and Woong Sul and Hyungsoo Jung}, title = {Deploying Computational Storage for {HTAP} DBMSs Takes More Than Just Computation Offloading}, journal = {Proc. {VLDB} Endow.}, volume = {16}, number = {6}, pages = {1480--1493}, year = {2023}, url = {https://www.vldb.org/pvldb/vol16/p1480-jung.pdf}, doi = {10.14778/3583140.3583161}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LeeJALLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeYJSPHKKCC23, author = {Sungho Lee and Sungwoon Yoon and Yonghwan Jeong and Jaehong Seo and Sangshin Park and Sangchul Han and Jin Tak Kim and Jinhyeon Kim and Hyouk Ryeol Choi and Jungsan Cho}, title = {Design and Implementation of a Two-Wheeled Inverted Pendulum Robot With a Sliding Mechanism for Off-Road Transportation}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {7}, pages = {4004--4011}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3279585}, doi = {10.1109/LRA.2023.3279585}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeYJSPHKKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/MunGLOHKJ23, author = {Jungwook Mun and Khang Truong Giang and Yunghee Lee and Nayoung Oh and Sejoon Huh and Min Kim and Sungho Jo}, title = {HybGrasp: {A} Hybrid Learning-to-Adapt Architecture for Efficient Robot Grasping}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {12}, pages = {8390--8397}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3329622}, doi = {10.1109/LRA.2023.3329622}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/MunGLOHKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YoonLSJKPHKKCC23, author = {Sungwoon Yoon and Sungho Lee and Junyong Song and Yonghwan Jeong and Jungyeong Kim and Sangshin Park and Sangchul Han and Jin Tak Kim and Jinhyeon Kim and Hyouk Ryeol Choi and Jungsan Cho}, title = {Designing a Bow-Inspired Rigidable Exosuit for Adaptive Support}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {11}, pages = {7328--7335}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3315562}, doi = {10.1109/LRA.2023.3315562}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/YoonLSJKPHKKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeLKJH23, author = {Jung{-}Han Lee and Haengseon Lee and Sung{-}Hyub Ko and Daehyeong Ji and Jongwu Hyeon}, title = {Modeling and Implementation of a Joint Airborne Ground Penetrating Radar and Magnetometer System for Landmine Detection}, journal = {Remote. Sens.}, volume = {15}, number = {15}, pages = {3813}, year = {2023}, url = {https://doi.org/10.3390/rs15153813}, doi = {10.3390/RS15153813}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeLKJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnCLLK23, author = {Junghoon Ahn and Hongtaek Choi and Heehwa Lee and Jinyoung Lee and Hyeong{-}Dong Kim}, title = {Novel Multi-View {RGB} Sensor for Continuous Motion Analysis in Kinetic Chain Exercises: {A} Pilot Study for Simultaneous Validity and Intra-Test Reliability}, journal = {Sensors}, volume = {23}, number = {24}, pages = {9635}, year = {2023}, url = {https://doi.org/10.3390/s23249635}, doi = {10.3390/S23249635}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhnCLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AnPL23, author = {Gi{-}taek An and Jung{-}min Park and Kyung{-}soon Lee}, title = {Contrastive Learning-Based Anomaly Detection for Actual Corporate Environments}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4764}, year = {2023}, url = {https://doi.org/10.3390/s23104764}, doi = {10.3390/S23104764}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AnPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoSSLK23, author = {Junghyun Cho and Yejune Seo and Wonjae Shin and Eungdon Lee and Sungtek Kahng}, title = {Channel Selectivity of Satellite Transponders with the Antenna Combined with a Size-Reduced Metallic Waveguide Bandpass Filter Having Thin Metamaterial Resonators}, journal = {Sensors}, volume = {23}, number = {4}, pages = {1948}, year = {2023}, url = {https://doi.org/10.3390/s23041948}, doi = {10.3390/S23041948}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoSSLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiL23a, author = {Ji Seok Choi and Jung Keun Lee}, title = {Effects of Data Augmentation on the Nine-Axis IMU-Based Orientation Estimation Accuracy of a Recurrent Neural Network}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7458}, year = {2023}, url = {https://doi.org/10.3390/s23177458}, doi = {10.3390/S23177458}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HoangJYYJL23, author = {Van Thuy Hoang and Hyeon{-}Ju Jeon and Eun{-}Soon You and Yoewon Yoon and Sungyeop Jung and O{-}Joun Lee}, title = {Graph Representation Learning and Its Applications: {A} Survey}, journal = {Sensors}, volume = {23}, number = {8}, pages = {4168}, year = {2023}, url = {https://doi.org/10.3390/s23084168}, doi = {10.3390/S23084168}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HoangJYYJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimBPHJKL23, author = {Donggyu Kim and Sung{-}June Byun and YoungGun Pu and Hyungki Huh and Yeonjae Jung and Seokkee Kim and Kang{-}Yoon Lee}, title = {Design of a Current Sensing System with {TIA} Gain of 160 dB{\(\Omega\)} and Input-Referred Noise of 1.8 pArms for Biosensor}, journal = {Sensors}, volume = {23}, number = {6}, pages = {3019}, year = {2023}, url = {https://doi.org/10.3390/s23063019}, doi = {10.3390/S23063019}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimBPHJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKLCT23, author = {Junggil Kim and Hyeon Kang and Seulgi Lee and Jinseung Choi and Gyerae Tack}, title = {A Deep Learning Model for 3D Ground Reaction Force Estimation Using Shoes with Three Uniaxial Load Cells}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3428}, year = {2023}, url = {https://doi.org/10.3390/s23073428}, doi = {10.3390/S23073428}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKLCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimRKPJHYKL23, author = {Myonggwan Kim and Reza E. Rad and Sungjin Kim and Younggun Pu and Yeonjae Jung and Hyungki Huh and Joonmo Yoo and Seokkee Kim and Kangyoon Lee}, title = {A 5.8 GHz 1.8 {V} +20 dBm 32.5{\%} {PAE} Power Amplifier for a Short-Range Over-the-Air {WPT} Application}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5279}, year = {2023}, url = {https://doi.org/10.3390/s23115279}, doi = {10.3390/S23115279}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimRKPJHYKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLBYK23, author = {Jung Hyuk Lee and Geon Woo Lee and Guiyoung Bong and Hee Jeong Yoo and Hong Kook Kim}, title = {End-to-End Model-Based Detection of Infants with Autism Spectrum Disorder Using a Pretrained Model}, journal = {Sensors}, volume = {23}, number = {1}, pages = {202}, year = {2023}, url = {https://doi.org/10.3390/s23010202}, doi = {10.3390/S23010202}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLBYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePLNSLP23, author = {Hyeonseok Lee and Hyeong{-}Geun Park and Van{-}Du Le and Van{-}Phu Nguyen and Jeong{-}Moon Song and Bok{-}Hyung Lee and Jung{-}Dong Park}, title = {X-band MMICs for a Low-Cost Radar Transmit/Receive Module in 250 nm GaN {HEMT} Technology}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4840}, year = {2023}, url = {https://doi.org/10.3390/s23104840}, doi = {10.3390/S23104840}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePLNSLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCLKH23, author = {Hsin{-}Chang Lin and Ming{-}Jen Chen and Chao{-}Hsiung Lee and Lu{-}Chih Kung and Jung{-}Tang Huang}, title = {Fall Recognition Based on an {IMU} Wearable Device and Fall Verification through a Smart Speaker and the IoT}, journal = {Sensors}, volume = {23}, number = {12}, pages = {5472}, year = {2023}, url = {https://doi.org/10.3390/s23125472}, doi = {10.3390/S23125472}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinCLKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ObiLJJ23, author = {Arinze Stephen Obi and Si{-}Heon Lee and Hyun{-}Sam Jung and Jae{-}Jung Jung}, title = {A Center-Tapped Transformer Based Multifunctional Single-Phase Converter with Wide DC-Bus Control}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2227}, year = {2023}, url = {https://doi.org/10.3390/s23042227}, doi = {10.3390/S23042227}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ObiLJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SonCPLJ23, author = {Woong Son and Jungwook Choi and Soobum Park and Howon Lee and Bang Chul Jung}, title = {A Time Synchronization Protocol for Barrage Relay Networks}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2447}, year = {2023}, url = {https://doi.org/10.3390/s23052447}, doi = {10.3390/S23052447}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SonCPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJLSJ23, author = {Seungjin Yoo and Joon Ha Jung and Jai{-}Kyung Lee and Sang Woo Shin and Dal Sik Jang}, title = {A Convolutional Autoencoder Based Fault Diagnosis Method for a Hydraulic Solenoid Valve Considering Unknown Faults}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7249}, year = {2023}, url = {https://doi.org/10.3390/s23167249}, doi = {10.3390/S23167249}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooJLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/JungL23, author = {Taesoo Jung and Choonseong Leem}, title = {A study on global industrial landscape changes through M{\&}A convergence analysis}, journal = {Technol. Anal. Strateg. Manag.}, volume = {35}, number = {12}, pages = {1574--1590}, year = {2023}, url = {https://doi.org/10.1080/09537325.2021.2011851}, doi = {10.1080/09537325.2021.2011851}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taasm/JungL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ParkHPLM23, author = {Jeong{-}Eon Park and Jung{-}Kyu Han and Ki{-}Bum Park and Byoung{-}Hee Lee and Gun{-}Woo Moon}, title = {A New Direct Charging Control for Electrical Power Systems in Low Earth Orbit Satellites}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {59}, number = {3}, pages = {2566--2578}, year = {2023}, url = {https://doi.org/10.1109/TAES.2022.3218495}, doi = {10.1109/TAES.2022.3218495}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/ParkHPLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeJJJPLKWKCWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Adam Y. Wang and Youngin Kim and Kyung{-}Sik Choi and Jing Wang and Hua Wang}, title = {A Multi-Functional {CMOS} Biosensor Array With On-Chip DEP-Assisted Sensing for Rapid Low-Concentration Analyte Detection and Close-Loop Particle Manipulation With No External Electrodes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1214--1226}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3343068}, doi = {10.1109/TBCAS.2023.3343068}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeJJJPLKWKCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/QuanFLJLEK23, author = {Chenghao Quan and Mohammed E. Fouda and Sugil Lee and Giju Jung and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Training-Free Stuck-At Fault Mitigation for ReRAM-Based Deep Learning Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {7}, pages = {2174--2186}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3222288}, doi = {10.1109/TCAD.2022.3222288}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/QuanFLJLEK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/JeongKPJL23, author = {Hoichang Jeong and Seungbin Kim and Keonhee Park and Jueun Jung and Kyuho Jason Lee}, title = {A Ternary Neural Network Computing-in-Memory Processor With 16T1C Bitcell Architecture}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {5}, pages = {1739--1743}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3265064}, doi = {10.1109/TCSII.2023.3265064}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/JeongKPJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KoLSSKKK23, author = {Byunghun Ko and Sunwoo Lee and Wonwoo Seo and Taejoo Sim and Sunghyuk Kim and Min{-}Su Kim and Junghyun Kim}, title = {A 39/48 GHz Switchless Reconfigurable Low Noise Amplifier Using Common Gate and Coupled-Line-Based Diplexer}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {11}, pages = {4028--4032}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3286824}, doi = {10.1109/TCSII.2023.3286824}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/KoLSSKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeeJKL23, author = {Junyong Lee and Gaim Jung and Seunghyun Kim and Minjae Lee}, title = {An 8-bit 1.24 mW Sub-1ps {DNL} Sub-1V Supply Inverter-Based Phase Interpolator Using a PVT-Tracking Adaptive-Bias Circuit}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {8}, pages = {2749--2753}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3247595}, doi = {10.1109/TCSII.2023.3247595}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LeeJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeeJKOLP23, author = {Kyungmin Lee and Jaehong Jung and Seungjin Kim and Seunghyun Oh and Jongwoo Lee and Sung Min Park}, title = {A 208-MHz, 0.75-mW Self-Calibrated Reference Frequency Quadrupler for a 2-GHz Fractional-N Ring-PLL in 4-nm FinFET {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {8}, pages = {2719--2723}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3217756}, doi = {10.1109/TCSII.2022.3217756}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LeeJKOLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeePLSRSSJHOCJ23, author = {Kwang{-}Hoon Lee and Jung{-}Hun Park and Yongjae Lee and Yeonggeun Song and Seungha Roh and Minkyo Shim and Yoonho Song and Woosong Jung and Young{-}Ha Hwang and Jonghyun Oh and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 0.99-pJ/b 10-Gb/s Receiver With Fast Recovery From Sleep Mode Under Voltage Drift}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {11}, pages = {4003--4007}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3285934}, doi = {10.1109/TCSII.2023.3285934}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeePLSRSSJHOCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SeoHKLSCKCLYH23, author = {Hyeongmin Seo and Jiyun Han and Kyungmin Kim and Baek{-}Jin Lim and EunSeok Shin and Youngdon Choi and Hyungjong Ko and Jung{-}Hwan Choi and Sang{-}Hyun Lee and Changsik Yoo and Jaeduk Han}, title = {A 12-Gb/s Baud-Rate Clock and Data Recovery With 75{\%} Phase-Detection Probability by Precoding and Integration-Hold-Reset Frontend}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {2}, pages = {411--415}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3212881}, doi = {10.1109/TCSII.2022.3212881}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/SeoHKLSCKCLYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/LiSLL23, author = {Jiefu Li and Aman Sawhney and Jung{-}Youn Lee and Li Liao}, title = {Improving Inter-Helix Contact Prediction With Local 2D Topological Information}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {5}, pages = {3001--3012}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2023.3274361}, doi = {10.1109/TCBB.2023.3274361}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/LiSLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/ZhouZSLJAWNR23, author = {Jinmeng Zhou and Tong Zhang and Wenbo Shen and Dongyoon Lee and Changhee Jung and Ahmed M. Azab and Ruowen Wang and Peng Ning and Kui Ren}, title = {Automatic Permission Check Analysis for Linux Kernel}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {20}, number = {3}, pages = {1849--1866}, year = {2023}, url = {https://doi.org/10.1109/TDSC.2022.3165368}, doi = {10.1109/TDSC.2022.3165368}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/ZhouZSLJAWNR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LinMLPYJLS23, author = {Shaokai Lin and Yatin A. Manerkar and Marten Lohstroh and Elizabeth Polgreen and Sheng{-}Jung Yu and Chadlia Jerad and Edward A. Lee and Sanjit A. Seshia}, title = {Towards Building Verifiable {CPS} using Lingua Franca}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {155:1--155:24}, year = {2023}, url = {https://doi.org/10.1145/3609134}, doi = {10.1145/3609134}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LinMLPYJLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/OhMHHPLAL23, author = {Deok{-}Jae Oh and Yaebin Moon and Do Kyu Ham and Tae Jun Ham and Yongjun Park and Jae W. Lee and Jung Ho Ahn and Eojin Lee}, title = {MaPHeA: {A} Framework for Lightweight Memory Hierarchy-aware Profile-guided Heap Allocation}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {1}, pages = {2:1--2:28}, year = {2023}, url = {https://doi.org/10.1145/3527853}, doi = {10.1145/3527853}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/OhMHHPLAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZaheerRLZL23, author = {Sheir A. Zaheer and Youngryel Ryu and Junghee Lee and Zilong Zhong and Kyungdo Lee}, title = {In-Season Wall-to-Wall Crop-Type Mapping Using Ensemble of Image Segmentation Models}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--11}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3335214}, doi = {10.1109/TGRS.2023.3335214}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ZaheerRLZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/AntonysamyLJJ23, author = {Ruban Antonysamy and Seong Ryong Lee and Sang{-}Yong Jung and Young Hoon Joo}, title = {Performance Enhancement Using Robust Sliding Mode Approach-Based Current Control for {PMVG-WECS}}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {10}, pages = {10156--10166}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3220859}, doi = {10.1109/TIE.2022.3220859}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/AntonysamyLJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SaggioCELL23, author = {Giovanni Saggio and Alexandre Calado and Vito Errico and Bor{-}Shing Lin and I{-}Jung Lee}, title = {Dynamic Measurement Assessments of Sensory Gloves Based on Resistive Flex Sensors and Inertial Measurement Units}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--10}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3265102}, doi = {10.1109/TIM.2023.3265102}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SaggioCELL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/uais/ParkLKJJ23, author = {Subin Park and Hansu Lee and Minji Kwon and Heejae Jung and Hyunggu Jung}, title = {Understanding experiences of older adults in virtual reality environments with a subway fire disaster scenario}, journal = {Univers. Access Inf. Soc.}, volume = {22}, number = {3}, pages = {771--783}, year = {2023}, url = {https://doi.org/10.1007/s10209-022-00878-8}, doi = {10.1007/S10209-022-00878-8}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/uais/ParkLKJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/Lee23, author = {Jungjin Lee}, title = {Wand: {\textdollar}360\{{\textbackslash}circ \}{\textdollar} video projection mapping using a {\textdollar}360\{{\textbackslash}circ \}{\textdollar} camera}, journal = {Virtual Real.}, volume = {27}, number = {3}, pages = {2015--2027}, year = {2023}, url = {https://doi.org/10.1007/s10055-023-00791-2}, doi = {10.1007/S10055-023-00791-2}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/Lee23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/Zamfirescu-Pereira23, author = {J. D. Zamfirescu{-}Pereira and Heather Wei and Amy Xiao and Kitty Gu and Grace Jung and Matthew G. Lee and Bjoern Hartmann and Qian Yang}, editor = {Daragh Byrne and Nikolas Martelaro and Andy Boucher and David J. Chatting and Sarah Fdili Alaoui and Sarah E. Fox and Iohanna Nicenboim and Cayley MacArthur}, title = {Herding {AI} Cats: Lessons from Designing a Chatbot by Prompting {GPT-3}}, booktitle = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, pages = {2206--2220}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3563657.3596138}, doi = {10.1145/3563657.3596138}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/Zamfirescu-Pereira23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKCCKKLLOPH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created through Human-Machine Collaboration}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6692--6712}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.370}, doi = {10.18653/V1/2023.ACL-LONG.370}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKCCKKLLOPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKKH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Gunhee Kim and Jung{-}Woo Ha}, editor = {Sunayana Sitaram and Beata Beigman Klebanov and Jason D. Williams}, title = {KoSBI: {A} Dataset for Mitigating Social Bias Risks Towards Safer Large Language Model Applications}, booktitle = {Proceedings of the The 61st Annual Meeting of the Association for Computational Linguistics: Industry Track, {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {208--224}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-industry.21}, doi = {10.18653/V1/2023.ACL-INDUSTRY.21}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeJPLSMELYL23, author = {Seungjun Lee and Yoonna Jang and Chanjun Park and Jungseob Lee and Jaehyung Seo and Hyeonseok Moon and Sugyeong Eo and Seounghoon Lee and Bernardo Yahya and Heuiseok Lim}, editor = {Danushka Bollegala and Ruihong Huang and Alan Ritter}, title = {PEEP-Talk: {A} Situational Dialogue-based Chatbot for English Education}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics: System Demonstrations, {ACL} 2023, Toronto, Canada, July 10-12, 2023}, pages = {190--207}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-demo.18}, doi = {10.18653/V1/2023.ACL-DEMO.18}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeJPLSMELYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/HanJJLL23, author = {Songhee Han and Jiyoon Jung and Hyangeun Ji and Unggi Lee and Min Liu}, editor = {Ning Wang and Genaro Rebolledo{-}Mendez and Vania Dimitrova and Noboru Matsuda and Olga C. Santos}, title = {The Role of Social Presence in {MOOC} Students' Behavioral Intentions and Sentiments Toward the Usage of a Learning Assistant Chatbot: {A} Diversity, Equity, and Inclusion Perspective Examination}, booktitle = {Artificial Intelligence in Education. Posters and Late Breaking Results, Workshops and Tutorials, Industry and Innovation Tracks, Practitioners, Doctoral Consortium and Blue Sky - 24th International Conference, {AIED} 2023, Tokyo, Japan, July 3-7, 2023, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1831}, pages = {236--241}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36336-8\_36}, doi = {10.1007/978-3-031-36336-8\_36}, timestamp = {Fri, 04 Aug 2023 15:27:47 +0200}, biburl = {https://dblp.org/rec/conf/aied/HanJJLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/JedraLPY23, author = {Yassir Jedra and Junghyun Lee and Alexandre Prouti{\`{e}}re and Se{-}Young Yun}, editor = {Francisco J. R. Ruiz and Jennifer G. Dy and Jan{-}Willem van de Meent}, title = {Nearly Optimal Latent State Decoding in Block MDPs}, booktitle = {International Conference on Artificial Intelligence and Statistics, 25-27 April 2023, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {206}, pages = {2805--2904}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v206/jedra23a.html}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/JedraLPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/PangLJE23, author = {Gaoyuan Pang and Brian Lee and Jake Jung and Chris Eom}, title = {A Fast-Lock {DLL} with Prediction-Based Fast-Track {FDL} Structure for {DDR5} SDRAMs}, booktitle = {15th {IEEE} International Conference on ASIC, {ASICON} 2023, Nanjing, China, October 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASICON58565.2023.10396561}, doi = {10.1109/ASICON58565.2023.10396561}, timestamp = {Fri, 16 Feb 2024 14:02:58 +0100}, biburl = {https://dblp.org/rec/conf/asicon/PangLJE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/TangLEJ23, author = {Elaine Tang and Brian Lee and Chris Eom and Jake Jung}, title = {A low power consumption and higher performance {DDR5} receiver based on a direct feedback {DFE} and dedicated reference voltage for 1\({}^{\mbox{st}}\) {TAP} {DFE}}, booktitle = {15th {IEEE} International Conference on ASIC, {ASICON} 2023, Nanjing, China, October 24-27, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASICON58565.2023.10396457}, doi = {10.1109/ASICON58565.2023.10396457}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/TangLEJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/JalalPPMSKZDLLJ23, author = {Md Asif Jalal and Pablo Peso Parada and George Pavlidis and Vasileios Moschopoulos and Karthikeyan Saravanan and Chrysovalantis{-}Giorgos Kontoulis and Jisi Zhang and Anastasios Drosou and Gil Ho Lee and Jungin Lee and Seokyeong Jung}, title = {Locality Enhanced Dynamic Biasing and Sampling Strategies For Contextual {ASR}}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389706}, doi = {10.1109/ASRU57964.2023.10389706}, timestamp = {Tue, 13 Feb 2024 21:21:14 +0100}, biburl = {https://dblp.org/rec/conf/asru/JalalPPMSKZDLLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/ZhangRMTPJSLLJ23, author = {Jisi Zhang and Vandana Rajan and Haaris Mehmood and David Tuckey and Pablo Peso Parada and Md Asif Jalal and Karthikeyan Saravanan and Gil Ho Lee and Jungin Lee and Seokyeong Jung}, title = {Consistency Based Unsupervised Self-Training for {ASR} Personalisation}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389677}, doi = {10.1109/ASRU57964.2023.10389677}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asru/ZhangRMTPJSLLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Donghun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Changsik Yoo and Tae{-}Young Oh}, title = {A 1.01V 8.5Gb/s/pin 16Gb LPDDR5x {SDRAM} with Self-Pre-Emphasized Stacked-Tx, Supply Voltage Insensitive Rx, and Optimized Clock Using 4th-Generation 10nm {DRAM} Process for High-Speed and Low-Power Applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348005}, doi = {10.1109/A-SSCC58667.2023.10348005}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoiKLHJHP23, author = {Jonghang Choi and Subin Kim and Yongjun Lee and Sanghyun Heo and Keum{-}Dong Jung and Young{-}Ha Hwang and Jun{-}Eun Park}, title = {A 1.08ms Ultrafast Scanning Capacitive Touch-Screen Sensor Interface with Charge-Interpolated Common-Mode Compensation and Host-Based Adaptive Median Filtering}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347943}, doi = {10.1109/A-SSCC58667.2023.10347943}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/ChoiKLHJHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeJKKKOPL23, author = {Kyungmin Lee and Jaehong Jung and Gyusik Kim and Joomyoung Kim and Seungjin Kim and Seunghyun Oh and Sung Min Park and Jongwoo Lee}, title = {A Wide Frequency Range, Small Area and Low Supply Memory Interface {PLL} Using a Process and Temperature Variation Aware Current Reference in 3 nm Gate-All Around {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348012}, doi = {10.1109/A-SSCC58667.2023.10348012}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeJKKKOPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ParkKKYMLJ23, author = {Changjoo Park and Jeongmyeong Kim and Kyounghun Kang and Minkyu Yang and Byeongmin Moon and Siheon Lee and Wanyeong Jung}, title = {A 74.0 dB-SNDR 175.4 dB-FoM Pipelined-SAR {ADC} Using a Cyclically Charged Floating Inverter Amplifier}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347995}, doi = {10.1109/A-SSCC58667.2023.10347995}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ParkKKYMLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/GongLLJCKPK23, author = {Seokhyun Gong and Jaehyeong Lee and Chae{-}Gyu Lee and Jongpil Jeong and Wonmin Choi and Chanyoung Kim and Jungsoo Park and Jongheon Kim}, title = {{CNN-LSTM-AE} Based Predictive Maintenance Using {STFT} for Rotating Machinery}, booktitle = {8th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2023, Hochimin City, Vietnam, December 14-16, 2023}, pages = {80--85}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCD57833.2023.10466277}, doi = {10.1109/BCD57833.2023.10466277}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/GongLLJCKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcicts/LetavicSGBJJRWHDLTHFHEKLZSLCCDCRAKMR23, author = {Ted Letavic and Massimo Sorbara and Ken Geiwont and Yusheng Bian and Vihbor Jain and Sameer Jain and Koushik Ramachandran and Zhuo{-}Jie Wu and Brittany Hedrick and Kevin K. Dezfulian and Yarong Lin and Teck Jung Tang and Thomas Houghton and Daniel Fisher and Takako Hirokawa and Monica Esopi and Vaishnavi Karra and Won Suk Lee and Michelle Zhang and Ryan Sporer and Jorge Lubguban and Jae Kyu Cho and Rongtao Cao and Hanyi Ding and Sujith Chandran and Michal Rakowski and Abdelsalam Aboketaf and Subramanian Krishnamurthy and Scott Mills and Norman Robson and Ian Melville and Robert Fox and Vikas Gupta and Anthony Yu}, title = {Monolithic Silicon Photonics}, booktitle = {{IEEE} BiCMOS and Compound Semiconductor Integrated Circuits and Technology Symposium, {BCICTS} 2023, Monterey, CA, USA, October 16-18, 2023}, pages = {263--269}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCICTS54660.2023.10310983}, doi = {10.1109/BCICTS54660.2023.10310983}, timestamp = {Fri, 01 Dec 2023 14:30:09 +0100}, biburl = {https://dblp.org/rec/conf/bcicts/LetavicSGBJJRWHDLTHFHEKLZSLCCDCRAKMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WangKWLHGJPH23, author = {Jun Wang and Seok Joo Kim and Wenxuan Wu and Jongha Lee and Henry Hinton and Rona S. Gertner and Han Sae Jung and Hongkun Park and Donhee Ham}, title = {A Cyto-silicon Hybrid System Interfacing a {CMOS} Electrode Array with Heart and Brain Cells with On-chip Closed-loop Modulation}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2023, Toronto, ON, Canada, October 19-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BioCAS58349.2023.10389071}, doi = {10.1109/BIOCAS58349.2023.10389071}, timestamp = {Thu, 08 Feb 2024 15:34:19 +0100}, biburl = {https://dblp.org/rec/conf/biocas/WangKWLHGJPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KimLK23, author = {Hong{-}Yeon Kim and Jun{-}Ho Lee and Hyun{-}Jung Kim}, title = {Cycle Planning for Concurrent Processing in a Dual-Armed Cluster Tool}, booktitle = {19th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2023, Auckland, New Zealand, August 26-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CASE56687.2023.10260449}, doi = {10.1109/CASE56687.2023.10260449}, timestamp = {Thu, 05 Oct 2023 18:00:33 +0200}, biburl = {https://dblp.org/rec/conf/case/KimLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeeYJ23, author = {Young{-}Seok Lee and Jeong Seon Yeom and Bang Chul Jung}, title = {A Novel Array Antenna-Based {GNSS} Spoofing Detection and Mitigation Technique}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {489--492}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060423}, doi = {10.1109/CCNC51644.2023.10060423}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeeYJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/OhLJ23, author = {Minkyu Oh and Young{-}Seok Lee and Bang Chul Jung}, title = {A Low-Complexity Subarray-Based {UCCA} for Robust LoS {MIMO} Communications}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {708--709}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060740}, doi = {10.1109/CCNC51644.2023.10060740}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/OhLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgi/KimASLJKJ23, author = {Suhyeon Kim and Haill An and Myungji Song and Sungmin Lee and Hoijoon Jung and Seon Tae Kim and Younhyun Jung}, editor = {Bin Sheng and Lei Bi and Jinman Kim and Nadia Magnenat{-}Thalmann and Daniel Thalmann}, title = {Automated Marker-Less Patient-to-Preoperative Medical Image Registration Approach Using {RGB-D} Images and Facial Landmarks for Potential Use in Computed-Aided Surgical Navigation of the Paranasal Sinus}, booktitle = {Advances in Computer Graphics - 40th Computer Graphics International Conference, {CGI} 2023, Shanghai, China, August 28 - September 1, 2023, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14498}, pages = {135--145}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-50078-7\_11}, doi = {10.1007/978-3-031-50078-7\_11}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgi/KimASLJKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/JungJPONPQFGNLK23, author = {Hanwoong Jung and Hexiang Ji and Alexey Pushchin and Maxim Ostapenko and Wenlong Niu and Ilya Palachev and Yutian Qu and Pavel Fedin and Yuri Gribov and Heewoo Nam and Dongguen Lim and Hyunjun Kim and Joonho Song and Seungwon Lee and Hwansoo Han}, editor = {Christophe Dubach and Derek Bruening and Ben Hardekopf}, title = {Accelerating Deep Neural Networks on Mobile Multicore NPUs}, booktitle = {Proceedings of the 21st {ACM/IEEE} International Symposium on Code Generation and Optimization, {CGO} 2023, Montr{\'{e}}al, QC, Canada, 25 February 2023- 1 March 2023}, pages = {236--248}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579990.3580015}, doi = {10.1145/3579990.3580015}, timestamp = {Fri, 24 Feb 2023 14:00:38 +0100}, biburl = {https://dblp.org/rec/conf/cgo/JungJPONPQFGNLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HsuCLCL23, author = {Chia Hung Hsu and Yu Chen and Yu{-}Jung Liu and Yu Cheng Chang and Min{-}Jui Lee}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters}, title = {Spelland: Situated Language Learning with a Mixed-Reality Spelling Game through Everyday Objects}, booktitle = {Extended Abstracts of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {597:1--597:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544549.3583830}, doi = {10.1145/3544549.3583830}, timestamp = {Mon, 24 Apr 2023 09:50:16 +0200}, biburl = {https://dblp.org/rec/conf/chi/HsuCLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkCJC0LS23, author = {Doeun Park and Myounglee Choo and Bohyun Jin and Un Sun Chung and Jinwoo Kim and Junghan Lee and Yee{-}Jin Shin}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters}, title = {Utilizing a Conversational Agent to Promote Self-efficacy in Children: {A} Pilot Study on Low Cognitive Ability Children with Attention Deficit Hyperactivity Disorder}, booktitle = {Extended Abstracts of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {306:1--306:7}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544549.3585887}, doi = {10.1145/3544549.3585887}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ParkCJC0LS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chil/KimWLLWXQL23, author = {Siun Kim and Jung{-}Hyun Won and David Seung U. Lee and Renqian Luo and Lijun Wu and Yingce Xia and Tao Qin and Howard Lee}, editor = {Bobak J. Mortazavi and Tasmie Sarker and Andrew Beam and Joyce C. Ho}, title = {Revisiting Machine-Learning based Drug Repurposing: Drug Indications Are Not a Right Prediction Target}, booktitle = {Conference on Health, Inference, and Learning, {CHIL} 2023, Broad Institute of {MIT} and Harvard (Merkin Building), 415 Main Street, Cambridge, MA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {209}, pages = {100--116}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v209/kim23a.html}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chil/KimWLLWXQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JungKSLJ23, author = {Woosong Jung and Hyojun Kim and Yeonggeun Song and Kwang{-}Hoon Lee and Deog{-}Kyoon Jeong}, title = {A 0.991JS FFT-Based Fast-Locking, 0.82GHz-to-4.lGHz DPLL-Based lnput-Jitter-Filtering Clock Driver with Wide-Range Mode-Switching 8-Shaped {LC} Oscillator for {DRAM} Interfaces}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121322}, doi = {10.1109/CICC57935.2023.10121322}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/JungKSLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SeongYCLHJ23, author = {Hoyong Seong and Donghyun Youn and Injun Choi and Junghyup Lee and Sohmyung Ha and Minkyu Je}, title = {A 0.9V 2MHz 6.4x-Slope-Boosted Quadrature-Phase Relaxation Oscillator with 164.2dBc/Hz FoM and 62.5ppm Period Jitter in 0.18{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121276}, doi = {10.1109/CICC57935.2023.10121276}, timestamp = {Sun, 21 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SeongYCLHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/YoonJLLC23, author = {Junghyun Yoon and Moon Hyung Jang and Changuk Lee and Yong Lim and Youngcheol Chae}, title = {A 243{\(\mu\)}W 97.4dB-DR 50kHz-BW Multi-Rate {CT} Zoom {ADC} with Inherent {DAC} Mismatch Tolerance}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121244}, doi = {10.1109/CICC57935.2023.10121244}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/YoonJLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/ChoiPAKPPKJL23, author = {Seung{-}Hwan Choi and Junkyu Park and Dawn An and Chang{-}Hyun Kim and Gunseok Park and Jongbum Park and Tae{-}Keun Kim and Byung{-}jin Jung and Suwoong Lee}, title = {A Comparative Study on the Failure Detection Methods Using Time-Series Data Image Generation and {CNN} for Driving Module of Cobots}, booktitle = {9th International Conference on Control, Decision and Information Technologies, CoDIT 2023, Rome, Italy, July 3-6, 2023}, pages = {241--244}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CoDIT58514.2023.10284371}, doi = {10.1109/CODIT58514.2023.10284371}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codit/ChoiPAKPPKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/YoonLKLKK23, author = {Jinsung Yoon and Donghyun Lee and Neungyun Kim and Su{-}Jung Lee and Gil{-}Ho Kwak and Tae{-}Hwan Kim}, title = {A Real-Time Keyword Spotting System Based on an End-To-End Binary Convolutional Neural Network in {FPGA}}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10121981}, doi = {10.1109/COOLCHIPS57690.2023.10121981}, timestamp = {Mon, 22 May 2023 21:13:40 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/YoonLKLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/JungJPCLA23, author = {Sungwook Jung and Wonseok Jung and Jong{-}Hong Park and Sung{-}Chan Choi and Jiho Lee and Il{-}Yeop Ahn}, title = {A Drone Control System Supporting Simultaneous Interworking Between Real and Virtual Drones}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2023, Munich, Germany, November 6-8, 2023}, pages = {381}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CSCN60443.2023.10453156}, doi = {10.1109/CSCN60443.2023.10453156}, timestamp = {Wed, 13 Mar 2024 13:47:04 +0100}, biburl = {https://dblp.org/rec/conf/cscn/JungJPCLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/ParkLCJ23, author = {Jong{-}Hong Park and Jiho Lee and Sung{-}Chan Choi and Sungwook Jung}, title = {Construction of Mobile Coverage Map Including Altitude to Build a Sky Road for UAVs}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2023, Munich, Germany, November 6-8, 2023}, pages = {378}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CSCN60443.2023.10453199}, doi = {10.1109/CSCN60443.2023.10453199}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscn/ParkLCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChangLYCWLC23, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1081--1091}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00115}, doi = {10.1109/CVPRW59228.2023.00115}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChangLYCWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiJLKJML23, author = {Jaehoon Choi and Dongki Jung and Taejae Lee and Sangwook Kim and Youngdong Jung and Dinesh Manocha and Donghwan Lee}, title = {{TMO:} Textured Mesh Acquisition of Objects with a Mobile Device by using Differentiable Rendering}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {16674--16684}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01600}, doi = {10.1109/CVPR52729.2023.01600}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiJLKJML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimKJSA023, author = {Youngwook Kim and Jae{-}Myung Kim and Jieun Jeong and Cordelia Schmid and Zeynep Akata and Jungwoo Lee}, title = {Bridging the Gap Between Model Explanations in Partially Annotated Multi-Label Classification}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {3408--3417}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00332}, doi = {10.1109/CVPR52729.2023.00332}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimKJSA023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RyuPSPBLJCPOYP23, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {23902--23912}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02289}, doi = {10.1109/CVPR52729.2023.02289}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/RyuPSPBLJCPOYP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23, author = {Yulun Zhang and Kai Zhang and Zheng Chen and Yawei Li and Radu Timofte and Junpei Zhang and Kexin Zhang and Rui Peng and Yanbiao Ma and Licheng Jia and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Yajun Qiu and Qiang Zhu and Pengfei Li and Qianhui Li and Shuyuan Zhu and Dafeng Zhang and Jia Li and Fan Wang and Chunmiao Li and TaeHyung Kim and Jungkeong Kil and Eon Kim and Yeonseung Yu and Beomyeol Lee and Subin Lee and Seokjae Lim and Somi Chae and Heungjun Choi and Zhi{-}Kai Huang and YiChung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Ui{-}Jin Choi and Marcos V. Conde and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Jiayu Wei and Yanfeng Li and Jia Sun and Zhanyi Cheng and Zhiyuan Li and Xu Yao and Xinyi Wang and Danxu Li and Xuan Cui and Jun Cao and Cheng Li and Jianbin Zheng and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 Challenge on Image Super-Resolution ({\texttimes}4): Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1865--1884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00185}, doi = {10.1109/CVPRW59228.2023.00185}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/LeeWADBS0KR23, author = {Donghun Lee and Thomas Willhalm and Minseon Ahn and Suprasad Mutalik Desai and Daniel Booss and Navneet Singh and Daniel Ritter and Jungmin Kim and Oliver Rebholz}, editor = {Norman May and Nesime Tatbul}, title = {Elastic Use of Far Memory for In-Memory Database Management Systems}, booktitle = {Proceedings of the 19th International Workshop on Data Management on New Hardware, DaMoN 2023, Seattle, WA, USA, June 18-23, 2023}, pages = {35--43}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3592980.3595311}, doi = {10.1145/3592980.3595311}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/damon/LeeWADBS0KR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RanjbarKGAJDSLSLWMCBK23, author = {Behnaz Ranjbar and Florian Klemme and Paul R. Genssler and Hussam Amrouch and Jinhyo Jung and Shail Dave and Hwisoo So and Kyongwoo Lee and Aviral Shrivastava and Ji{-}Yung Lin and Pieter Weckx and Subrat Mishra and Francky Catthoor and Dwaipayan Biswas and Akash Kumar}, title = {Learning-Oriented Reliability Improvement of Computing Systems From Transistor to Application Level}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137182}, doi = {10.23919/DATE56975.2023.10137182}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RanjbarKGAJDSLSLWMCBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiKLLK23, author = {Hojin Choi and Hyuk Kwon and Junghee Lee and Yonghee Lee and Kijoong Kim}, title = {Hardware-Based Isolation Technique to Guarantee Availability of Security Controls in a Gateway for Industrial Networks}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049871}, doi = {10.1109/ICEIC57457.2023.10049871}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiKLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkERL23, author = {Na{-}Yeon Park and Su{-}Hong Eom and Jung{-}Hwun Ryu and Eung{-}Hyuk Lee}, title = {A Fundamental Study on a System for Estimating the Intention to Change a User Control Method by Measuring the Pressure inside the Transfemoral prosthetic Socket}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049956}, doi = {10.1109/ICEIC57457.2023.10049956}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkERL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/AliLPTJ23, author = {Shahzad Ali and Yu Rim Lee and Soo Young Park and Won Young Tak and Soon Ki Jung}, title = {Abdominal {CT} Segmentation for Body Composition Assessment Using Network Consistency Learning}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340476}, doi = {10.1109/EMBC40787.2023.10340476}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/AliLPTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HeoJFCPYLK23, author = {Ung Heo and Hyunho Jeong and Jirou Feng and Junhwi Cho and Kyungseo Park and Youngsik Yoon and Dongyeon Lee and Jung Kim}, title = {Development of a Bioimpedance and sEMG Fusion Sensor for Gait Phase Detection: Validation with a Transtibial Amputee}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340105}, doi = {10.1109/EMBC40787.2023.10340105}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HeoJFCPYLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KhanALPTJ23, author = {Muhammad Salman Khan and Shahzad Ali and Yu Rim Lee and Soo Young Park and Won Young Tak and Soon Ki Jung}, title = {Cell Nuclei Segmentation With Dynamic Token-Based Attention Network}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340818}, doi = {10.1109/EMBC40787.2023.10340818}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/KhanALPTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimPYHL23, author = {Dong Hyun Kim and Junghoon Park and Chiyul Yoon and Seungyong Hyung and Minhyung Lee}, title = {A Cable-Driven Portable Fitness Chair with Programmable Resistance for Effective Muscle Training}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340138}, doi = {10.1109/EMBC40787.2023.10340138}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/KimPYHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OfnerLFM23, author = {Patrick Ofner and Meng{-}Jung Lee and Dario Farina and Carsten Mehring}, title = {Mental Tasks Modulate Motor-Units Above 10 Hz and are a Potential Control Signal for Movement Augmentation: a Preliminary Study}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340378}, doi = {10.1109/EMBC40787.2023.10340378}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/OfnerLFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeeOJ23, author = {Su Ah Lee and Seokjin Oh and Woohwan Jung}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Enhancing Low-resource Fine-grained Named Entity Recognition by Leveraging Coarse-grained Datasets}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {3269--3279}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.197}, doi = {10.18653/V1/2023.EMNLP-MAIN.197}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeeOJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/KoZLJBKKBPS23, author = {Jung{-}Soo Ko and Zichen Zhang and Sol Lee and Marc Jaikissoon and Robert K. A. Bennett and Kwanpyo Kim and Andrew C. Kummel and Prabhakar Bandaru and Eric Pop and Krishna C. Saraswat}, title = {Ultrathin Gate Dielectric Enabled by Nanofog Aluminum Oxide on Monolayer MoS2}, booktitle = {53rd {IEEE} European Solid-State Device Research Conference, {ESSDERC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSDERC59256.2023.10268527}, doi = {10.1109/ESSDERC59256.2023.10268527}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/essderc/KoZLJBKKBPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/LaishramSLJ23, author = {Lamyanba Laishram and Muhammad Shaheryar and Jong Taek Lee and Soon Ki Jung}, editor = {Inseop Na and Go Irie}, title = {A Style-Based Caricature Generator}, booktitle = {Frontiers of Computer Vision - 29th International Workshop, {IW-FCV} 2023, Yeosu, South Korea, February 20-22, 2023, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1857}, pages = {71--82}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-4914-4\_6}, doi = {10.1007/978-981-99-4914-4\_6}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fcv/LaishramSLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeKGJL23, author = {Jong Woo Lee and Jung Do Kim and Jae Hyoung Go and Yeong Song Jang and Yun Gil Lee}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Developing a Human Behavior Simulation Technology Based on Multiuser Immersive Virtual Reality in an Atypical Architectural Design Process}, booktitle = {{HCI} International 2023 Posters - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {V}}, series = {Communications in Computer and Information Science}, volume = {1836}, pages = {235--240}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36004-6\_32}, doi = {10.1007/978-3-031-36004-6\_32}, timestamp = {Sun, 12 Nov 2023 02:12:38 +0100}, biburl = {https://dblp.org/rec/conf/hci/LeeKGJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeSNAPP23, author = {Kisub Lee and Gyuri Sim and Hyeongil Nam and Sunghee Ahn and Jungsik Park and Jong{-}Il Park}, editor = {Matthias Rauterberg}, title = {A Framework for Emergency Rescue Request on Construction Site in {XR}}, booktitle = {Culture and Computing - 11th International Conference, C{\&}C 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14035}, pages = {402--416}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-34732-0\_31}, doi = {10.1007/978-3-031-34732-0\_31}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeSNAPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/WiPKKKLA23, author = {Minbok Wi and Jaehyun Park and Seoyoung Ko and Michael Jaemin Kim and Nam Sung Kim and Eojin Lee and Jung Ho Ahn}, title = {{SHADOW:} Preventing Row Hammer in {DRAM} with Intra-Subarray Row Shuffling}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {333--346}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10070966}, doi = {10.1109/HPCA56546.2023.10070966}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/WiPKKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/LeeBBPJS23, author = {Seong Hee Lee and Nicholas Britten and Avram Block and Aryaman Pandya and Malte F. Jung and Paul Schmitt}, editor = {Ginevra Castellano and Laurel D. Riek and Maya Cakmak and Iolanda Leite}, title = {Coming In! Communicating Lane Change Intent in Autonomous Vehicles}, booktitle = {Companion of the 2023 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2023, Stockholm, Sweden, March 13-16, 2023}, pages = {394--398}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3568294.3580113}, doi = {10.1145/3568294.3580113}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/LeeBBPJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/LeePBBPJS23, author = {Seong Hee Lee and Vaidehi Patil and Nicholas Britten and Avram Block and Aryaman Pandya and Malte F. Jung and Paul Schmitt}, editor = {Ginevra Castellano and Laurel D. Riek and Maya Cakmak and Iolanda Leite}, title = {Safe to Approach: Insights on Autonomous Vehicle Interaction Protocols with First Responders}, booktitle = {Companion of the 2023 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2023, Stockholm, Sweden, March 13-16, 2023}, pages = {399--402}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3568294.3580114}, doi = {10.1145/3568294.3580114}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/LeePBBPJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/KimNLS023, author = {Jiha Kim and Younho Nam and Jungeun Lee and Young{-}Joo Suh and Inseok Hwang}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Zhongyi Zhou}, title = {Demonstrating ProxiFit: Proximal Magnetic Sensing using a Single Commodity Mobile toward Holistic Weight Exercise Monitoring}, booktitle = {Adjunct Proceedings of the 2023 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing {\&} the 2023 {ACM} International Symposium on Wearable Computing, Cancun, Quintana Roo, Mexico, October 8-12, 2023}, pages = {151--156}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3594739.3610710}, doi = {10.1145/3594739.3610710}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/KimNLS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/JungKKLPPWS23, author = {Heesun Jung and Bokyung Kwon and Youngbin Kim and Yejin Lee and Jihyeon Park and Griffin Pegg and Yaqin Mia Wang and Anthony H. Smith}, title = {A Deep Learning-Based Coyote Detection System Using Audio Data}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2023, Bali, Indonesia, February 20-23, 2023}, pages = {170--175}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICAIIC57133.2023.10067023}, doi = {10.1109/ICAIIC57133.2023.10067023}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/JungKKLPPWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/JungHLHBKWC23, author = {Jee{-}Weon Jung and Hee{-}Soo Heo and Bong{-}Jin Lee and Jaesung Huh and Andrew Brown and Youngki Kwon and Shinji Watanabe and Joon Son Chung}, title = {In Search of Strong Embedding Extractors for Speaker Diarisation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096449}, doi = {10.1109/ICASSP49357.2023.10096449}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/JungHLHBKWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KooRLULM23, author = {Junghyun Koo and Marco A. Mart{\'{\i}}nez Ram{\'{\i}}rez and Wei{-}Hsiang Liao and Stefan Uhlich and Kyogu Lee and Yuki Mitsufuji}, title = {Music Mixing Style Transfer: {A} Contrastive Learning Approach to Disentangle Audio Effects}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096458}, doi = {10.1109/ICASSP49357.2023.10096458}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KooRLULM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeHCJ23, author = {Junhyeok Lee and Seungu Han and Hyunjae Cho and Wonbin Jung}, title = {PhaseAug: {A} Differentiable Augmentation for Speech Synthesis to Simulate One-to-Many Mapping}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096374}, doi = {10.1109/ICASSP49357.2023.10096374}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeHCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbet/JeongOJLJCL023, author = {Jin Gyun Jeong and Ji{-}Heon Oh and Hwanseok Jung and Jin Hyuk Lee and Ismael Nicolas Espinoza Jaramillo and Channabasava Chola and Won Hee Lee and Tae{-}Seong Kim}, title = {Long-Horizon Manipulation by a Single-arm Robot via Sub-goal Network based Hierarchical Reinforcement Learning}, booktitle = {Proceedings of the 2023 13th International Conference on Biomedical Engineering and Technology, {ICBET} 2023, Tokyo, Japan, June 15-18, 2023}, pages = {88--92}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3620679.3620693}, doi = {10.1145/3620679.3620693}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbet/JeongOJLJCL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenCCLHCLZCO23, author = {Ming{-}Che Chen and Hsin{-}Hsu Chou and Hsiag{-}Chun Chen and Jin{-}Yi Lin and Ren{-}Guei Hsu and Jia{-}Xiang Chen and Yu{-}Tse Lee and Jung{-}Yao Zhuo and Wan{-}Jung Chang and Yang{-}Kun Ou}, title = {iCAPD: {A} Deep Learning-Based Monitoring System for Continuous Ambulatory Peritoneal Dialysis}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {657--568}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10227027}, doi = {10.1109/ICCE-TAIWAN58799.2023.10227027}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenCCLHCLZCO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ArshadLJJKM23, author = {Muhammad Zeeshan Arshad and Daehyun Lee and Dawoon Jung and Ankhzaya Jamsrandorj and Jinwook Kim and Kyung{-}Ryoul Mun}, title = {Deep Learning-Based Gait Event Prediction through a Single Waist-worn Wearable Sensor}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043541}, doi = {10.1109/ICCE56470.2023.10043541}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ArshadLJJKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/JungLKSBC23, author = {Sanghun Jung and Jungsoo Lee and Nanhee Kim and Amirreza Shaban and Byron Boots and Jaegul Choo}, title = {{CAFA:} Class-Aware Feature Alignment for Test-Time Adaptation}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {19014--19025}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01747}, doi = {10.1109/ICCV51070.2023.01747}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/JungLKSBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ShabanLJMB23, author = {Amirreza Shaban and Joonho Lee and Sanghun Jung and Xiangyun Meng and Byron Boots}, title = {LiDAR-UDA: Self-ensembling Through Time for Unsupervised LiDAR Domain Adaptation}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {19727--19737}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01812}, doi = {10.1109/ICCV51070.2023.01812}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ShabanLJMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/JangZSDPTMSCZDZ23, author = {Youngkyoon Jang and Jiali Zheng and Jifei Song and Helisa Dhamo and Eduardo P{\'{e}}rez{-}Pellitero and Thomas Tanay and Matteo Maggioni and Richard Shaw and Sibi Catley{-}Chandar and Yiren Zhou and Jiankang Deng and Ruijie Zhu and Jiahao Chang and Ziyang Song and Jiahuan Yu and Tianzhu Zhang and Khanh{-}Binh Nguyen and Joon{-}Sung Yang and Andreea Dogaru and Bernhard Egger and Heng Yu and Aarush Gupta and Joel Julin and L{\'{a}}szl{\'{o}} A. Jeni and Hyeseong Kim and Jungbin Cho and Dosik Hwang and Deukhee Lee and Doyeon Kim and Dongseong Seo and SeungJin Jeon and YoungDon Choi and Jun Seok Kang and Ahmet Cagatay Seker and Sang Chul Ahn and Ales Leonardis and Stefanos Zafeiriou}, title = {{VSCHH} 2023: {A} Benchmark for the View Synthesis Challenge of Human Heads}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1113--1120}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00120}, doi = {10.1109/ICCVW60793.2023.00120}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/JangZSDPTMSCZDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/TiwariCGMGGSYZW23, author = {Rishabh Tiwari and Arnav Chavan and Deepak Gupta and Gowreesh Mago and Animesh Gupta and Akash Gupta and Suraj Sharan and Yukun Yang and Shanwei Zhao and Shihao Wang and Youngjun Kwak and Seonghun Jeong and Yunseung Lee and Changick Kim and Subin Kim and Ganzorig Gankhuyag and Ho Jung and Junwhan Ryu and HaeMoon Kim and Byeong Hak Kim and Tu Vo and Sheir Zaheer and Alexander Holston and Chan Y. Park and Dheemant Dixit and Nahush Lele and Kushagra Bhushan and Debjani Bhowmick and Devanshu Arya and Sadaf Gulshad and Amirhossein Habibian and Amir Ghodrati and Babak Ehteshami Bejnordi and Jai Gupta and Zhuang Liu and Jiahui Yu and Dilip K. Prasad and Zhiqiang Shen}, title = {{RCV2023} Challenges: Benchmarking Model Training and Inference for Resource-Constrained Deep Learning}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1526--1535}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00168}, doi = {10.1109/ICCVW60793.2023.00168}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/TiwariCGMGGSYZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/LeeWCCY23, author = {Huang{-}Liang Lee and Jung{-}Hua Wu and Yu{-}Chen Chien and Chia{-}Yun Chung and Wei{-}Chieh Yeh}, title = {Research on the Location Selection of Healing Parks - {A} Case Research of Nantun District, Taichung City, Taiwan}, booktitle = {Proceedings of the 7th International Conference on Education and Multimedia Technology, {ICEMT} 2023, Tokyo, Japan, August 29-31, 2023}, pages = {391--396}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625704.3625739}, doi = {10.1145/3625704.3625739}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icemt/LeeWCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JungKL23, author = {Seohyeon Jung and Sanghyun Kim and Juho Lee}, title = {A Simple Yet Powerful Deep Active Learning With Snapshots Ensembles}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/pdf?id=IVESH65r0Ar}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JungKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmhi/KhanALKPTJ23, author = {Muhammad Salman Khan and Shahzad Ali and Yu Rim Lee and Min Kyu Kang and Soo Young Park and Won Young Tak and Soon Ki Jung}, title = {TransUNet-Lite: {A} Robust Approach to Cell Nuclei Segmentation}, booktitle = {The 7th International Conference on Medical and Health Informatics, {ICMHI} 2023, Kyoto, Japan, May 12-14, 2023}, pages = {251--258}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3608298.3608344}, doi = {10.1145/3608298.3608344}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmhi/KhanALKPTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/AhmadCKLKL23, author = {Ashfaq Ahmad and Dong{-}You Choi and Hyeong Jung Kim and Yun Hwang Lee and Oh Mi Kyung and Geun Ok Lee}, title = {Design and Analysis of 29 GHz Millimeter-waves Phased Array Antenna with Reduced Mutual Coupling}, booktitle = {International Conference on Information Networking, {ICOIN} 2023, Bangkok, Thailand, January 11-14, 2023}, pages = {755--757}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICOIN56518.2023.10048974}, doi = {10.1109/ICOIN56518.2023.10048974}, timestamp = {Fri, 24 Feb 2023 15:04:55 +0100}, biburl = {https://dblp.org/rec/conf/icoin/AhmadCKLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/JinPL23, author = {Jungha Jin and SangSeon Park and Inhye Lee}, title = {A Study on the Derivation of Essential Security Elements through Analysis of Non-face-to-face Telehealth Service Model}, booktitle = {International Conference on Information Networking, {ICOIN} 2023, Bangkok, Thailand, January 11-14, 2023}, pages = {698--702}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICOIN56518.2023.10048931}, doi = {10.1109/ICOIN56518.2023.10048931}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/JinPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/BaeLL23, author = {JungSook Bae and Hyun Lee and Heesoo Lee}, title = {A Study on Switching between Aerial Systems with UxNB Functionality}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1272--1274}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393626}, doi = {10.1109/ICTC58733.2023.10393626}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/BaeLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiCCCKKPLSS23, author = {Sung{-}Woo Choi and Heesang Chung and Dae{-}Soon Cho and Jungpil Choi and Seon{-}Ae Kim and Junhyeong Kim and Manho Park and Namsuk Lee and Jae{-}Su Song and Nakwoon Sung}, title = {{V2I} and {V2V} service demonstration of millimeter wave communication in urban road environment}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {756--759}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392736}, doi = {10.1109/ICTC58733.2023.10392736}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiCCCKKPLSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JangPKKLH23, author = {Seunghyn Jang and Bonghyuk Park and Sunwoo Kong and Kwang{-}Seon Kim and Hui{-}Dong Lee and Jung{-}Hwan Hwang}, title = {Implementation of Single Chip 28 GHz {SPDT} Switch-less Front-end Circuits in a 65nm {CMOS} process}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1814--1816}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392351}, doi = {10.1109/ICTC58733.2023.10392351}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JangPKKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungKSKLK23, author = {Gyeyoung Jung and Seungsu Kim and Minju Song and Yuju Kang and Jaeyong Lee and Jaejeung Kim}, title = {A Smart Speaker Lamp for Assisting Bedtime Smartphone Non-Use: {A} Feasibility Study}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1547--1552}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392827}, doi = {10.1109/ICTC58733.2023.10392827}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungKSKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJL23a, author = {Donghyeon Kim and Haejoon Jung and In{-}Ho Lee}, title = {A Survey on Deep Learning-based Resource Allocation Schemes}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1014--1016}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392557}, doi = {10.1109/ICTC58733.2023.10392557}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKJPLG23, author = {Sang{-}Su Kim and Ji{-}Yeon Kang and Hee{-}Tac Jung and Jin{-}Ho Park and Seung{-}Jae Lee and Jun{-}Hui Go}, title = {A Study on a 3D Spatial Mapping System to Investigate Skyscrapers Using Drones}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1146--1148}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393017}, doi = {10.1109/ICTC58733.2023.10393017}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimKJPLG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimSYJLK23, author = {Yeonwoong Kim and Junggon Seo and Byungha You and Haejoon Jung and In{-}Ho Lee and Jung{-}Bin Kim}, title = {A Survey on Doppler Mitigation Approaches in Satellite Communications}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1581--1583}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393146}, doi = {10.1109/ICTC58733.2023.10393146}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimSYJLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeCJBH23, author = {Sung{-}Hun Lee and Soo{-}Hyun Cho and Yong{-}An Jung and Sang{-}Bong Byun and Dong{-}Cheul Han}, title = {A Study on Intelligent Manufacturing Video and Control Data Transmission System Using 5G Communication}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1275--1277}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392610}, doi = {10.1109/ICTC58733.2023.10392610}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeCJBH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeHKJ23, author = {Jewon Lee and Eunhee Hyun and Soon{-}Choul Kim and Joon{-}Young Jung}, title = {A Viewport Adaptive Low-latency Streaming System for Large-scale Multi-view Service}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1885--1888}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393302}, doi = {10.1109/ICTC58733.2023.10393302}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeHKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeK23b, author = {GunGoo Lee and Jung{-}Bin Kim}, title = {A Network Architecture of {NOMA-CDRT} based on 3GPP New Radio Standards}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1614--1616}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392636}, doi = {10.1109/ICTC58733.2023.10392636}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeK23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLKB23, author = {Jung{-}Hoon Lee and Sungyup Lee and Cheol Ho Kim and O. K. Baek}, title = {A Study on Imputation-based Online Learning in Varying Feature Spaces}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1759--1764}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392330}, doi = {10.1109/ICTC58733.2023.10392330}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeLKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeOLJ23, author = {Young{-}Seok Lee and Minkyu Oh and In{-}Ki Lee and Bang Chul Jung}, title = {A Novel Correlative Interferometer Technique with Multi-Sample Diversity for Finding Direction of Satellites}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {799--801}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393022}, doi = {10.1109/ICTC58733.2023.10393022}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeOLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonNLYL23, author = {Sung{-}Won Moon and Do{-}Won Nam and Jiwon Lee and Wonyoung Yoo and Jungsoo Lee}, title = {A Study on Few-shot Object Detection for Warships Based on Data Generation Using Image Outpainting}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1855--1857}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393675}, doi = {10.1109/ICTC58733.2023.10393675}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MoonNLYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/WangJKJLKPHLH23, author = {Seung{-}Hun Wang and Hyoryeong Jeon and Yeonseung Kim and Seunghyun Jang and Hui{-}Dong Lee and Sunwoo Kong and Bonghyuk Park and Songcheol Hong and Sang{-}Gug Lee and Jung{-}Hwan Hwang}, title = {A D-band 1-channel Beamforming Transmitter Integrated Circuits for 6G mobile communication}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1152--1153}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392381}, doi = {10.1109/ICTC58733.2023.10392381}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/WangJKJLKPHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/AjakweSKKL23, author = {Simeon Okechukwu Ajakwe and Igboanusi Ikechi Saviour and Jung{-}Hyeon Kim and Dong{-}Seong Kim and Jae Min Lee}, title = {{BANDA:} {A} Novel Blockchain-Assisted Network for Drone Authentication}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {120--125}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10201012}, doi = {10.1109/ICUFN57995.2023.10201012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/AjakweSKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeBJHC23, author = {Sung{-}Hun Lee and Sang{-}Bong Byun and Yong{-}An Jung and Dong{-}Cheul Han and Soo{-}Hyun Cho}, title = {A Study on the Production Management System for Analyzing Operator Errors and Manufacturing Data in the Assembly Process}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {687--689}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200568}, doi = {10.1109/ICUFN57995.2023.10200568}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeBJHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeJP23, author = {Junghoon Lee and Seungah Jang and Eunjung Park}, title = {Design of a {FHIR} interface for wearable healthcare devices}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {730--732}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10199866}, doi = {10.1109/ICUFN57995.2023.10199866}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/BaktiyarLJLC23, author = {Akzhol Baktiyar and Young{-}Nam Lee and Min Jae Jung and Sang{-}Gug Lee and Kyung{-}Sik Choi}, title = {Reduction of Electrochemical Impedance Spectroscopy Measurement Time for Lithium-ion Batteries Based on Compressive Sensing}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311708}, doi = {10.1109/IECON51785.2023.10311708}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/BaktiyarLJLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/JungBLLKPSC23, author = {Min Jae Jung and Akzhol Baktiyar and Young{-}Nam Lee and Sang{-}Gug Lee and Taekyu Kang and Soo{-}Youn Park and Juhyun Song and Kyung{-}Sik Choi}, title = {Experimental Analysis for Fast Lithium Plating Detection in Voltage Relaxation Profile of Lithium-Ion Batteries}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311689}, doi = {10.1109/IECON51785.2023.10311689}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/JungBLLKPSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JalalPZOSHLJ23, author = {Md Asif Jalal and Pablo Peso Parada and Jisi Zhang and Mete Ozay and Karthikeyan Saravanan and Myoungji Han and Jungin Lee and Seokyeong Jung}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {On-Device Speaker Anonymization of Acoustic Embeddings for {ASR} based on Flexible Location Gradient Reversal Layer}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {780--784}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1902}, doi = {10.21437/INTERSPEECH.2023-1902}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JalalPZOSHLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/MunST0LSJHTLYEK23, author = {Sung Hwan Mun and Hye{-}jin Shim and Hemlata Tak and Xin Wang and Xuechen Liu and Md. Sahidullah and Myeonghun Jeong and Min Hyun Han and Massimiliano Todisco and Kong Aik Lee and Junichi Yamagishi and Nicholas W. D. Evans and Tomi Kinnunen and Nam Soo Kim and Jee{-}weon Jung}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Towards Single Integrated Spoofing-aware Speaker Verification Embeddings}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {3989--3993}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1402}, doi = {10.21437/INTERSPEECH.2023-1402}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/MunST0LSJHTLYEK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/LeeCJTSC23, author = {Sangkeun Lee and Jong Choi and Gs Jung and Anika Tabassum and Nils M. Stenvig and Supriya Chinthavali}, title = {Predicting Power Outage During Extreme Weather Events with {EAGLE-I} and {NWS} Datasets}, booktitle = {24th {IEEE} International Conference on Information Reuse and Integration for Data Science, {IRI} 2023, Bellevue, WA, USA, August 4-6, 2023}, pages = {211--212}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRI58017.2023.00042}, doi = {10.1109/IRI58017.2023.00042}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iri/LeeCJTSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KangLH23, author = {Jun{-}Gill Kang and Dohyeon Lee and Soohee Han}, title = {A Highly Maneuverable Flying Squirrel Drone with Controllable Foldable Wings}, booktitle = {{IROS}}, pages = {6652--6659}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341386}, doi = {10.1109/IROS55552.2023.10341386}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KangLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkKHSKKYKHL23, author = {Junghoon Park and Dong Hyun Kim and Seungyong Hyung and Gyowook Shin and Youngtae G. Kim and Sang{-}Hun Kim and Chiyul Yoon and Sungchan Ko and Kyoungwoon Hahm and Minhyung Lee}, title = {Design of a Cable Driven Wearable Fitness Device for Upper Limb Exercise}, booktitle = {{IROS}}, pages = {6456--6461}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342373}, doi = {10.1109/IROS55552.2023.10342373}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkKHSKKYKHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeLCCWHLLCC23, author = {Jian{-}Hsing Lee and Gong{-}Kai Lin and Chun{-}Chih Chen and Li{-}Fan Chen and Chien{-}Wei Wang and Shao{-}Chang Huang and Ching{-}Ho Li and Chih{-}Cherng Liao and Jung{-}Tsun Chuang and Ke{-}Horng Chen}, title = {A Concise Electrothermal Model to Characterize the Thermal Safe-Operating Area of Power Transistor}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10117633}, doi = {10.1109/IRPS48203.2023.10117633}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeLCCWHLLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkYGKAKKL23, author = {Jounghun Park and Gilsang Yoon and Donghyun Go and Donghwi Kim and Ukju An and Jongwoo Kim and Jungsik Kim and Jeong{-}Soo Lee}, title = {Decomposition of Vertical and Lateral Charge Loss in Long-term Retention of 3-D {NAND} Flash Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10117868}, doi = {10.1109/IRPS48203.2023.10117868}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ParkYGKAKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LeeCLLWS23, author = {Junseo Lee and Kwanseok Choi and Jungi Lee and Seokwon Lee and Joonho Whangbo and Jaewoong Sim}, editor = {Yan Solihin and Mark A. Heinrich}, title = {NeuRex: {A} Case for Neural Rendering Acceleration}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {21:1--21:13}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589056}, doi = {10.1145/3579371.3589056}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/LeeCLLWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhnNLMLHJ23, author = {Woojin Ahn and Kim{-}Hoang Nguyen and Jungwoo Lim and Kyou Sik Min and Hoseung Lee and Sohmyung Ha and Minkyu Je}, title = {An Energy-Efficient, Scalable Neural Stimulation {IC} with Adaptive Dynamic Voltage Switching for Cochlear Implant System}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181719}, doi = {10.1109/ISCAS46773.2023.10181719}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhnNLMLHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungKJLKOKJ23, author = {Yoontae Jung and Soon{-}Jae Kweon and Hyuntak Jeon and Jeongeun Lee and Youngin Kim and Sein Oh and Jimin Koo and Minkyu Je}, title = {A Sub-aF Super-High-Resolution Capacitance-to-Digital Converter with a Bandpass {\(\Delta\)}{\(\Sigma\)} {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181452}, doi = {10.1109/ISCAS46773.2023.10181452}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungKJLKOKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCJLCIJSKKLK23, author = {Wonseok Lee and Kyeongjong Lim and Jeonghyeon Cheon and Soyi Jeong and Jinyeon Lim and Youngsung Cho and Shusaku Ishikawa and Seongwon Jo and Seongwook Song and Minsu Kang and Kyungil Kim and Seunghyun Lim and Youngjin Kim and Sunghoo Choi and Jungchan Kyoung}, title = {A Multi-Pixel Compression for Low-Power Imaging System and Architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181603}, doi = {10.1109/ISCAS46773.2023.10181603}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCJLCIJSKKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MinLCS23, author = {Dong{-}Jick Min and Jun{-}Gi Lee and Kunhee Cho and Jae Hoon Shim}, title = {An Output-Capacitor-Free Adaptive-Frequency Digital {LDO} with a 420-mA Load Current and a Fast Settling Time}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10182029}, doi = {10.1109/ISCAS46773.2023.10182029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MinLCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/JungSL23, author = {Kyungeun Jung and Kun{-}Woo Song and Seungmin Lee}, editor = {Gerd Bruder and Anne{-}H{\'{e}}l{\`{e}}ne Olivier and Andrew Cunningham and Yifan (Evan) Peng and Jens Grubert and Ian Williams}, title = {ThumbJoy: Using the Thumb's Metacarpophalangeal Joint as a Joystick Input Device}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2023, Sydney, Australia, October 16-20, 2023}, pages = {663--666}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMAR-Adjunct60411.2023.00142}, doi = {10.1109/ISMAR-ADJUNCT60411.2023.00142}, timestamp = {Wed, 03 Jan 2024 08:34:31 +0100}, biburl = {https://dblp.org/rec/conf/ismar/JungSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/GoBLKLPYCA23, author = {Mi{-}Ji Go and Jun{-}Ho Boo and Jae{-}Geun Lim and Hyoung{-}Jung Kim and Jae{-}Hyuk Lee and Seong{-}Bo Park and Byeongho Yu and Won{-}Jun Cho and Gil{-}Cho Ahn}, title = {A 12-bit 3-MS/s Synchronous {SAR} {ADC} With a Hybrid {RC} {DAC}}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {191--192}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396486}, doi = {10.1109/ISOCC59558.2023.10396486}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/GoBLKLPYCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkBLKLCA23, author = {Seong{-}Bo Park and Jun{-}Ho Boo and Jae{-}Geun Lim and Hyoung{-}Jung Kim and Jae{-}Hyuk Lee and Won{-}Jun Cho and Gil{-}Cho Ahn}, title = {A Second-Order {DT} Delta-Sigma Modulator with Noise-Shaping {SAR} Quantizer}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {89--90}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396177}, doi = {10.1109/ISOCC59558.2023.10396177}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ParkBLKLCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongLSKKPHJJSC23, author = {Jeongeun Song and Sunyoung Lee and Minseok Shin and Ohjun Kwon and Hansang Kim and Yujin Park and Gyubeom Hwang and Hyekyoung Jung and Hoesam Jeong and Changrock Song and Woo{-}Seok Choi}, title = {A Pixel Driver Design Technique to Obtain a High-Quality Depth Map in Indirect Time-of-Flight Sensors}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {31--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396430}, doi = {10.1109/ISOCC59558.2023.10396430}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SongLSKKPHJJSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ByunLSLBHBKJLKL23, author = {San{-}Ho Byun and Heejin Lee and Tae{-}Gyun Song and Jinchul Lee and Jongmin Baek and Gyeongmin Ha and Seunghoon Baek and Yeongmin Kim and Won{-}Gab Jung and Hyun{-}Wook Lim and Siwoo Kim and Jae{-}Youl Lee}, title = {A 45.8dB-SNR 120fps 100pF-Load Self-Capacitance Touch-Screen Controller with Enhanced In-Band Common Noise Immunity Using Noise Antenna Reference}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {386--387}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067374}, doi = {10.1109/ISSCC42615.2023.10067374}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ByunLSLBHBKJLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChaePSKOYLKYKPKJPPNRS23, author = {Kwanyeob Chae and Jiyeon Park and Jaegeun Song and Billy Koo and Jihun Oh and Shinyoung Yi and Won Lee and Dongha Kim and Taekyung Yeo and Kyeongkeun Kang and Sangsoo Park and Eunsu Kim and Sukhyun Jung and Sanghune Park and Sungcheol Park and Mijung Noh and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {A 4nm 1.15TB/s {HBM3} Interface with Resistor-Tuned Offset-Calibration and In-Situ Margin-Detection}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {406--407}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067736}, doi = {10.1109/ISSCC42615.2023.10067736}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChaePSKOYLKYKPKJPPNRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiuKLHCLCPYCLLLHTCCC23, author = {Yen{-}Cheng Chiu and Win{-}San Khwa and Chung{-}Yuan Li and Fang{-}Ling Hsieh and Yu{-}An Chien and Guan{-}Yi Lin and Po{-}Jung Chen and Tsen{-}Hsiang Pan and De{-}Qi You and Fang{-}Yi Chen and Andrew Lee and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 22nm 8Mb {STT-MRAM} Near-Memory-Computing Macro with 8b-Precision and 46.4-160.1TOPS/W for Edge-AI Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {496--497}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067563}, doi = {10.1109/ISSCC42615.2023.10067563}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChiuKLHCLCPYCLLLHTCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeongLKCKS23, author = {Yeon{-}Woo Jeong and Seung{-}Ju Lee and Jong{-}Hun Kim and Mun{-}Jung Cho and Hwa{-}Soo Kim and Se{-}Un Shin}, title = {30.1 {A} Scalable N-Step Equal Split {SSHI} Piezoelectric Energy Harvesting Circuit Achieving 1170{\%} Power Extraction Improvement and 22nA Quiescent Current with a {\textdollar}{\textbackslash}mathbf\{1{\textbackslash}mu\{H\}-\{to\}-10{\textbackslash}mu H\}{\textdollar} Low {Q} Inductor}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {438--439}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067389}, doi = {10.1109/ISSCC42615.2023.10067389}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeongLKCKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLSBSKYGL23, author = {Geunha Kim and Sehwan Lee and Taeryoung Seol and Seungyeob Baik and Yeonjae Shin and Gain Kim and Jong{-}Hyeok Yoon and Arup K. George and Junghyup Lee}, title = {A 1V-Supply {\textdollar}1.85{\textbackslash}mathrm\{V\}{\_}\{{\textbackslash}text\{PP\}\}{\textdollar} -Input-Range 1kHz-BW 181.9dB-FOMDR179.4dB-FOMSNDR 2\({}^{\mbox{nd}}\)-Order Noise-Shaping {SAR-ADC} with Enhanced Input Impedance in 0.18{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {484--485}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067844}, doi = {10.1109/ISSCC42615.2023.10067844}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLSBSKYGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyeokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Chiyoung Ahn and Hoon Kang and Sukjin Jung and Hyukjun Sung and Yeongdae Kim and Donghan Kim and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant 6.5-to-8GHz {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28nm Process}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {462--463}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067364}, doi = {10.1109/ISSCC42615.2023.10067364}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23, author = {Daehyun Kwon and Heon Su Jeong and Jaemin Choi and Wijong Kim and Jae Woong Kim and Junsub Yoon and Jungmin Choi and Sanguk Lee and Hyunsub Norbert Rie and Jin{-}Il Lee and Jongbum Lee and Taeseong Jang and JunHyung Kim and Sanghee Kang and Jung{-}Bum Shin and Yanggyoon Loh and Chang{-}Yong Lee and Junmyung Woo and Hye{-}Seung Yu and Changhyun Bae and Reum Oh and Young{-}Soo Sohn and Changsik Yoo and Jooyoung Lee}, title = {A 1.1V 6.4Gb/s/pin 24-Gb {DDR5} {SDRAM} with a Highly-Accurate Duty Corrector and NBTI-Tolerant {DLL}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {412--413}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067651}, doi = {10.1109/ISSCC42615.2023.10067651}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJCKKBS23, author = {Seung{-}Ju Lee and Yean{-}Woo Jeong and Mun{-}Jung Cho and Jong{-}Hun Kim and Hwa{-}Soo Kim and Jun{-}Suk Bang and Se{-}Un Shin}, title = {A 95.3{\%} 5V-to-32V Wide Range 3-Level Current Mode Boost Converter with Fully State-based Phase Selection Achieving Simultaneous High-Speed {\textdollar}{\textbackslash}mathbf\{V\}{\_}\{{\textbackslash}text\{CF\}\}{\textdollar} Balancing and Smooth Transition}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {446--447}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067321}, doi = {10.1109/ISSCC42615.2023.10067321}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJCKKBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJJJPLKKWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Youngin Kim and Jing Wang and Hua Wang}, title = {A {CMOS} Multi-Functional Biosensor Array for Rapid Low-Concentration Analyte Detection with On-Chip DEP-Assisted Active Enrichment and Manipulation with No External Electrodes}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {316--317}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067525}, doi = {10.1109/ISSCC42615.2023.10067525}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJJJPLKKWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkLCLLKJ23, author = {Jung{-}Hun Park and Hyeonseok Lee and Hoyeon Cho and Sanghee Lee and Kwang{-}Hoon Lee and Han{-}Gon Ko and Deog{-}Kyoon Jeong}, title = {A 32Gb/s/pin 0.51 pJ/b Single-Ended Resistor-less Impedance-Matched Transmitter with a T-Coil-Based Edge-Boosting Equalizer in 40nm {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {410--411}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067552}, doi = {10.1109/ISSCC42615.2023.10067552}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkLCLLKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RyuJLLKKYKLL23, author = {Kyungho Ryu and Ji{-}Yong Jeong and Jung{-}Pil Lim and Kil{-}Hoon Lee and Kyongho Kim and Yongil Kwon and Seongjong Yoo and Siwoo Kim and Hyun{-}Wook Lim and Jae{-}Youl Lee}, title = {A Source-Driver {IC} Including Power-Switching Fast-Slew-Rate Buffer and 8Gb/s Effective 3-Tap {DFE} Receiver Achieving 4.9mV {DVRMS} and 17V/ps Slew Rate for 8K Displays and Beyond}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {382--383}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067592}, doi = {10.1109/ISSCC42615.2023.10067592}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RyuJLLKKYKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeolLKKKBKCGL23, author = {Taeryoung Seol and Sehwan Lee and Geunha Kim and Samhwan Kim and Euiseong Kim and Seungyeob Baik and Jaeha Kung and Ji{-}Woong Choi and Arup K. George and Junghyup Lee}, title = {A 1V 136.6dB-DR 4kHz-BW {\textdollar}{\textbackslash}Delta{\textbackslash}Sigma{\textdollar} Current-to-Digital Converter with a Truncation-Noise-Shaped Baseline-Servo-Loop in 0.18{\textbackslash}mu{\textbackslash}mathrm\{m\}{\textdollar} {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {482--483}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067537}, doi = {10.1109/ISSCC42615.2023.10067537}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeolLKKKBKCGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongPBLSOLKLMJCYPRS23, author = {Kihwan Seong and Donguk Park and Gyeom{-}Je Bae and Hyunwoo Lee and Youngseob Suh and Wooseuk Oh and Hyemun Lee and Juyoung Kim and Takgun Lee and Geonhoo Mo and Sukhyun Jung and Dongcheol Choi and Byoung{-}Joo Yoo and Sanghune Park and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {A 4nm 32Gb/s 8Tb/s/mm Die-to-Die Chiplet Using {NRZ} Single-Ended Transceiver With Equalization Schemes And Training Techniques}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {114--115}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067477}, doi = {10.1109/ISSCC42615.2023.10067477}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeongPBLSOLKLMJCYPRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/AliLPTJ23, author = {Shahzad Ali and Yu Rim Lee and Soo Young Park and Won Young Tak and Soon Ki Jung}, editor = {George Bebis and Golnaz Ghiasi and Yi Fang and Andrei Sharf and Yue Dong and Chris E. Weaver and Zhicheng Leo and Joseph J. LaViola Jr. and Luv Kohli}, title = {Volumetric Body Composition Through Cross-Domain Consistency Training for Unsupervised Domain Adaptation}, booktitle = {Advances in Visual Computing - 18th International Symposium, {ISVC} 2023, Lake Tahoe, NV, USA, October 16-18, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14361}, pages = {289--299}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47969-4\_23}, doi = {10.1007/978-3-031-47969-4\_23}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvc/AliLPTJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/ShaheryarLLJ23, author = {Muhammad Shaheryar and Lamyanba Laishram and Jong Taek Lee and Soon Ki Jung}, editor = {George Bebis and Golnaz Ghiasi and Yi Fang and Andrei Sharf and Yue Dong and Chris E. Weaver and Zhicheng Leo and Joseph J. LaViola Jr. and Luv Kohli}, title = {Latent Space Navigation for Face Privacy: {A} Case Study on the {MNIST} Dataset}, booktitle = {Advances in Visual Computing - 18th International Symposium, {ISVC} 2023, Lake Tahoe, NV, USA, October 16-18, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14361}, pages = {239--250}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47969-4\_19}, doi = {10.1007/978-3-031-47969-4\_19}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvc/ShaheryarLLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/ParkPKPLCK23, author = {Yeohyeon Park and Junhyeok Park and Awais Khan and Junghwan Park and Chang{-}Gyu Lee and Woosuk Chung and Youngjae Kim}, title = {{OCTOKV:} An Agile Network-Based Key-Value Storage System with Robust Load Orchestration}, booktitle = {31st International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2023, Stony Brook, NY, USA, October 16-18, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MASCOTS59514.2023.10387663}, doi = {10.1109/MASCOTS59514.2023.10387663}, timestamp = {Fri, 09 Feb 2024 20:38:51 +0100}, biburl = {https://dblp.org/rec/conf/mascots/ParkPKPLCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/SaitoXSLCA23, author = {Yoshiyuki Saito and Ningyi Xie and Jungpil Shin and Xinwei Lee and Dongsheng Cai and Nobuyoshi Asai}, title = {Iterative Refinement Quantum Amplitude Estimation}, booktitle = {16th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023}, pages = {202--209}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MCSoC60832.2023.00038}, doi = {10.1109/MCSOC60832.2023.00038}, timestamp = {Fri, 09 Feb 2024 20:38:48 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/SaitoXSLCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KimYL23, author = {Hyo Jung Kim and Jeong{-}Hwa Yoon and Kyehwa Lee}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Is the Fast Track Safe? {A} Safety Evaluation of the {COVID-19} Drugs with Real-World Data}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {1484--1485}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231256}, doi = {10.3233/SHTI231256}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KimYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/FangKXKLLDGLXY23, author = {Xi Fang and Daeseung Kim and Xuanang Xu and Tianshu Kuang and Nathan Lampen and Jungwook Lee and Hannah H. Deng and Jaime Gateno and Michael A. K. Liebschner and James J. Xia and Pingkun Yan}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Soft-Tissue Driven Craniomaxillofacial Surgical Planning}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {14228}, pages = {186--195}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43996-4\_18}, doi = {10.1007/978-3-031-43996-4\_18}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/FangKXKLLDGLXY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LampenKXFLKDLXGY23, author = {Nathan Lampen and Daeseung Kim and Xuanang Xu and Xi Fang and Jungwook Lee and Tianshu Kuang and Hannah H. Deng and Michael A. K. Liebschner and James J. Xia and Jaime Gateno and Pingkun Yan}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Spatiotemporal Incremental Mechanics Modeling of Facial Tissue Change}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {14228}, pages = {566--575}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43996-4\_54}, doi = {10.1007/978-3-031-43996-4\_54}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LampenKXFLKDLXGY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KimW0KCNKAL23, author = {Michael Jaemin Kim and Minbok Wi and Jaehyun Park and Seoyoung Ko and Jaeyoung Choi and Hwayong Nam and Nam Sung Kim and Jung Ho Ahn and Eojin Lee}, title = {How to Kill the Second Bird with One {ECC:} The Pursuit of Row Hammer Resilient {DRAM}}, booktitle = {Proceedings of the 56th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2023, Toronto, ON, Canada, 28 October 2023 - 1 November 2023}, pages = {986--1001}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3613424.3623777}, doi = {10.1145/3613424.3623777}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/KimW0KCNKAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/SungPPJKL23, author = {Sumi Sung and Hyeoun{-}Ae Park and Seul Ki Park and Hyesil Jung and Hannah Kang and MinSun Lee}, editor = {Maria H{\"{a}}gglund and Madeleine Blusi and Stefano Bonacina and Lina Nilsson and Inge Cort Madsen and Sylvia Pelayo and Anne Moen and Arriel Benis and Lars Lindsk{\"{o}}ld and Parisis Gallos}, title = {Mapping Korean National Health Insurance Claim Codes for Laboratory Test to {SNOMED} {CT}}, booktitle = {Caring is Sharing - Exploiting the Value in Data for Health and Innovation - Proceedings of {MIE} 2023, Gothenburg, Sweden, 22 - 25 May 2023}, series = {Studies in Health Technology and Informatics}, volume = {302}, pages = {78--82}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI230068}, doi = {10.3233/SHTI230068}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mie/SungPPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/VagdargiUJZWHSL23, author = {Prasad Vagdargi and Ali Uneri and Craig K. Jones and Xiaoxuan Zhang and Pengwei Wu and Runze Han and Alejandro Sisniega and Junghoon Lee and Patrick A. Helm and Mark Luciano and William S. Anderson and Gregory D. Hager and Jeffrey H. Siewerdsen}, editor = {Cristian A. Linte and Jeffrey H. Siewerdsen}, title = {Real-time 3D neuroendoscopic guidance using {SLAM:} first clinical studies}, booktitle = {Medical Imaging 2023: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12466}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654595}, doi = {10.1117/12.2654595}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/VagdargiUJZWHSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/BaeKRCLKO0CKC23, author = {Seongsu Bae and Daeun Kyung and Jaehee Ryu and Eunbyeol Cho and Gyubok Lee and Sunjun Kweon and Jungwoo Oh and Lei Ji and Eric I{-}Chao Chang and Tackeun Kim and Edward Choi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {{EHRXQA:} {A} Multi-Modal Question Answering Dataset for Electronic Health Records with Chest X-ray Images}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/0c007ebef1d11fd48da6ce4f54687db6-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/BaeKRCLKO0CKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/OhLBKC23, author = {Jungwoo Oh and Gyubok Lee and Seongsu Bae and Joon{-}Myoung Kwon and Edward Choi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {{ECG-QA:} {A} Comprehensive Question Answering Dataset Combined With Electrocardiogram}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/d0b67349dd16b83b2cf6167fb4e2be50-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/OhLBKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SoLAKP23, author = {Junhyuk So and Jungwon Lee and Daehyun Ahn and Hyungjun Kim and Eunhyeok Park}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Temporal Dynamic Quantization for Diffusion Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/983591c3e9a0dc94a99134b3238bbe52-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SoLAKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/YeSLJ23, author = {Meryl Ye and Eike Schneiders and Wen{-}Ying Lee and Malte F. Jung}, title = {The Future of Home Appliances: {A} Study on the Robotic Toaster as a Domestic Social Robot}, booktitle = {32nd {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2023, Busan, Republic of Korea, August 28-31, 2023}, pages = {477--482}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RO-MAN57019.2023.10309555}, doi = {10.1109/RO-MAN57019.2023.10309555}, timestamp = {Thu, 23 Nov 2023 21:16:36 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/YeSLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robocup/ChungJCLLAH23, author = {Eunsoo Chung and Haewon Jung and Yeonghun Chun and Wonyong Lee and Jangwon Lee and Minsung Ahn and Jeakweon Han}, editor = {C{\'{e}}dric Buche and Alessandra Rossi and Marco Sim{\~{o}}es and Ubbo Visser}, title = {Swing Foot Pose Control Disturbance Overcoming Algorithm Based on Reference {ZMP} Preview Controller for Improving Humanoid Walking Stability}, booktitle = {RoboCup 2023: Robot World Cup {XXVI} [Bordeaux, France, 4-10 July, 2023]}, series = {Lecture Notes in Computer Science}, volume = {14140}, pages = {191--202}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-55015-7\_16}, doi = {10.1007/978-3-031-55015-7\_16}, timestamp = {Fri, 12 Apr 2024 13:24:15 +0200}, biburl = {https://dblp.org/rec/conf/robocup/ChungJCLLAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeJLJPKKKK23, author = {Jinhoon Lee and Yeonwoo Jung and Suyeon Lee and Safdar Jamil and Sungyong Park and Kwangwon Koh and Hongyeon Kim and Youngjae Kim and Kangho Kim}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {MFence: Defending Against Memory Access Interference in a Disaggregated Cloud Memory Platform}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {1309--1317}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577714}, doi = {10.1145/3555776.3577714}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeJLJPKKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/LeeSYWW23, author = {Sunmin Lee and Sebastian Starke and Yuting Ye and Jungdam Won and Alexander W. Winkler}, editor = {Erik Brunvand and Alla Sheffer and Michael Wimmer}, title = {QuestEnvSim: Environment-Aware Simulated Motion Tracking from Sparse Sensors}, booktitle = {{ACM} {SIGGRAPH} 2023 Conference Proceedings, {SIGGRAPH} 2023, Los Angeles, CA, USA, August 6-10, 2023}, pages = {62:1--62:9}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3588432.3591504}, doi = {10.1145/3588432.3591504}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/LeeSYWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ParkPLW23, author = {Jungnam Park and Moon Seok Park and Jehee Lee and Jungdam Won}, editor = {Erik Brunvand and Alla Sheffer and Michael Wimmer}, title = {Bidirectional GaitNet: {A} Bidirectional Prediction Model of Human Gait and Anatomical Conditions}, booktitle = {{ACM} {SIGGRAPH} 2023 Conference Proceedings, {SIGGRAPH} 2023, Los Angeles, CA, USA, August 6-10, 2023}, pages = {6:1--6:9}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3588432.3591492}, doi = {10.1145/3588432.3591492}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/ParkPLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/AhnLEJL23, author = {Jisan Ahn and Hyun{-}Su Lee and Kyeongho Eom and Woojoong Jung and Hyung{-}Min Lee}, title = {A 93.5{\%}-Efficiency 13.56-MHz-Bandwidth Optimal On/Off Tracking Active Rectifier with Fully Digital Feedback-Based Delay Control for Adaptive Efficiency Compensation}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185395}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185395}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/AhnLEJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKKCPLSYPKLSKL23, author = {Youngmin Jo and Anil Kavala and Tongsung Kim and Byung{-}Kwan Chun and Jungjune Park and Taesung Lee and Jungmin Seo and Manjae Yang and Taehyeon Park and Hyunjin Kwon and Cheolhui Lee and Younghoon Son and Junghwan Kwak and Younggyu Lee and Hwan{-}Seok Ku and Dae{-}Hoon Na and Changyeon Yu and Jonghoon Park and Jae{-}Hwan Kim and Hyojin Kwon and Chan{-}ho Kim and Moon{-}Ki Jung and Chanjin Park and Donghyun Seo and Moosung Kim and Seungjae Lee and Jin{-}Yub Lee and Dongku Kang and Chiweon Yoon and SungHoi Hur}, title = {A 3.0 Gb/s/pin 4\({}^{\mbox{th}}\) generation F-chip with Toggle 5.0 Specification for 16Tb {NAND} Flash Memory Multi chip Package}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185391}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185391}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKKCPLSYPKLSKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JungLKLKOL23, author = {Jaehong Jung and Kyungmin Lee and Gunwoo Kong and Baekmin Lim and Seungjin Kim and Seunghyun Oh and Jongwoo Lee}, title = {A 2.4-to-4.2GHz 440.2fsrms-Integrated-Jitter 4.3mW Ring-Oscillator-Based {PLL} Using a Switched-Capacitor-Bias-Based Sampling {PD} in 4nm FinFET {CMOS}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185300}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185300}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JungLKLKOL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KangSJL0BJKHHJY23, author = {Gyuseong Kang and Hyunjin Shin and Hyuntaek Jung and Sunkyu Lee and Jaeseung Choi and Sangyeop Baek and Hyunsung Jung and Daeshik Kim and Sohee Hwang and Shinhee Han and Yongsung Ji and Sei Seung Yoon}, title = {A 14nm 128Mb Embedded {MRAM} Macro achieved the Best Figure-Of-Merit with 80MHz Read operation and 18.1Mb/mm{\({^2}\)} implementation at 0.64V}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185352}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185352}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KangSJL0BJKHHJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimCBKBKSBLJ23, author = {Sekeon Kim and Keonhee Cho and Kyeongrim Baek and Hyunjun Kim and Younmee Bae and Mijung Kim and Dongwook Seo and Sangyeop Baeck and Sungjae Lee and Seong{-}Ook Jung}, title = {A Static Contention-Free Dual-Edge-Triggered Flip-Flop with Redundant Internal Node Transition Elimination for Ultra-Low-Power Applications}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185239}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185239}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimCBKBKSBLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeLLSJKKALRVPJ23, author = {Jungho Lee and Joseph G. Letner and Jongyup Lim and Yi Sun and Seokhyeon Jeong and Yejoong Kim and Beomseo Koo and Gabriele Atzeni and Jiawei Liao and Julianna M. Richie and Elena Della Valle and Paras R. Patel and Taekwang Jang and Cynthia A. Chestek and Jamie Phillips and James D. Weiland and Dennis Sylvester and Hun{-}Seok Kim and David T. Blaauw}, title = {A Wireless Neural Stimulator {IC} for Cortical Visual Prosthesis}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185375}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185375}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeeLLSJKKALRVPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/JeonLALKKK23, author = {Eunsung Jeon and Wook Bong Lee and Minki Ahn and Jung Woon Lee and Sungsoo Kim and Inhyoung Kim and Joonsuk Kim}, title = {Machine Learning-Aided Dual {CSI} Feedback in Next Generation WLANs}, booktitle = {97th {IEEE} Vehicular Technology Conference, {VTC} Spring 2023, Florence, Italy, June 20-23, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTC2023-Spring57618.2023.10200269}, doi = {10.1109/VTC2023-SPRING57618.2023.10200269}, timestamp = {Fri, 25 Aug 2023 08:20:01 +0200}, biburl = {https://dblp.org/rec/conf/vtc/JeonLALKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/DohYHLAY23, author = {Hyun Jung Doh and Joon Soo Yoo and Miyeon Hong and Kang Hoon Lee and Tae Min Ahn and Ji Won Yoon}, editor = {Howon Kim and Jonghee M. Youn}, title = {Principal Component Analysis over the Boolean Circuit Within {TFHE} Scheme}, booktitle = {Information Security Applications - 24th International Conference, {WISA} 2023, Jeju Island, South Korea, August 23-25, 2023, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {14402}, pages = {27--39}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8024-6\_3}, doi = {10.1007/978-981-99-8024-6\_3}, timestamp = {Thu, 18 Jan 2024 08:27:16 +0100}, biburl = {https://dblp.org/rec/conf/wisa/DohYHLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmt/ParkLRSL23, author = {Geon{-}Woo Park and Junghwa Lee and Meiying Ren and Allison Shindell and Yeonsoo Lee}, editor = {Philipp Koehn and Barry Haddon and Tom Kocmi and Christof Monz}, title = {{VARCO-MT:} NCSOFT's WMT'23 Terminology Shared Task Submission}, booktitle = {Proceedings of the Eighth Conference on Machine Translation, {WMT} 2023, Singapore, December 6-7, 2023}, pages = {919--925}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.wmt-1.84}, doi = {10.18653/V1/2023.WMT-1.84}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmt/ParkLRSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/LeeCPYY23, author = {Gihan Lee and Seunghwan Chang and Sangchul Park and Onyu Yu and Jungik Yoon}, title = {Digital Twin Architecture for a Flow Shop Assembly System}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {2031--2039}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10408496}, doi = {10.1109/WSC60868.2023.10408496}, timestamp = {Sat, 24 Feb 2024 20:42:44 +0100}, biburl = {https://dblp.org/rec/conf/wsc/LeeCPYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-06375, author = {Jeongkyun Park and Jung{-}Wook Hwang and Kwanghee Choi and Seung{-}Hyun Lee and Jun Hwan Ahn and Rae{-}Hong Park and Hyung{-}Min Park}, title = {{OLKAVS:} An Open Large-Scale Korean Audio-Visual Speech Dataset}, journal = {CoRR}, volume = {abs/2301.06375}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.06375}, doi = {10.48550/ARXIV.2301.06375}, eprinttype = {arXiv}, eprint = {2301.06375}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-06375.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11063, author = {Athul Shibu and Abhishek Kumar and Heechul Jung and Dong{-}Gyu Lee}, title = {Rewarded meta-pruning: Meta Learning with Rewards for Channel Pruning}, journal = {CoRR}, volume = {abs/2301.11063}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11063}, doi = {10.48550/ARXIV.2301.11063}, eprinttype = {arXiv}, eprint = {2301.11063}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-01002, author = {Francois Caron and Fadhel Ayed and Paul Jung and Hoil Lee and Juho Lee and Hongseok Yang}, title = {Over-parameterised Shallow Neural Networks with Asymmetrical Node Scaling: Global Convergence Guarantees and Feature Learning}, journal = {CoRR}, volume = {abs/2302.01002}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.01002}, doi = {10.48550/ARXIV.2302.01002}, eprinttype = {arXiv}, eprint = {2302.01002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-01002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-06170, author = {Hyun Gi Lee and Jungsic Park and Byeongsu Yang}, title = {Restoring the saturation response of a {PMT} using pulse-shape and artificial-neural-networks}, journal = {CoRR}, volume = {abs/2302.06170}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.06170}, doi = {10.48550/ARXIV.2302.06170}, eprinttype = {arXiv}, eprint = {2302.06170}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-06170.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-11855, author = {Soo Jung Lee and Amr H. Mahmoud and Markus A. Lill}, title = {Accurate Free Energy Estimations of Molecular Systems Via Flow-based Targeted Free Energy Perturbation}, journal = {CoRR}, volume = {abs/2302.11855}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.11855}, doi = {10.48550/ARXIV.2302.11855}, eprinttype = {arXiv}, eprint = {2302.11855}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-11855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-06274, author = {Simon Graham and Quoc Dang Vu and Mostafa Jahanifar and Martin Weigert and Uwe Schmidt and Wenhua Zhang and Jun Zhang and Sen Yang and Jinxi Xiang and Xiyue Wang and Josef Lorenz Rumberger and Elias Baumann and Peter Hirsch and Lihao Liu and Chenyang Hong and Angelica I. Avil{\'{e}}s{-}Rivero and Ayushi Jain and Heeyoung Ahn and Yiyu Hong and Hussam Azzuni and Min Xu and Mohammad Yaqub and Marie{-}Claire Blache and Beno{\^{\i}}t Pi{\'{e}}gu and Bertrand Vernay and Tim Scherr and Moritz B{\"{o}}hland and Katharina L{\"{o}}ffler and Jiachen Li and Weiqin Ying and Chixin Wang and Dagmar Kainmueller and Carola{-}Bibiane Sch{\"{o}}nlieb and Shuolin Liu and Dhairya Talsania and Yughender Meda and Prakash Mishra and Muhammad Ridzuan and Oliver Neumann and Marcel P. Schilling and Markus Reischl and Ralf Mikut and Banban Huang and Hsiang{-}Chin Chien and Ching{-}Ping Wang and Chia{-}Yen Lee and Hong{-}Kun Lin and Zaiyi Liu and Xipeng Pan and Chu Han and Jijun Cheng and Muhammad Dawood and Srijay Deshpande and Raja Muhammad Saad Bashir and Adam Shephard and Pedro Costa and Jo{\~{a}}o D. Nunes and Aur{\'{e}}lio Campilho and Jaime S. Cardoso and Hrishikesh P. S and Densen Puthussery and Devika R. G and Jiji C V and Ye Zhang and Zijie Fang and Zhifan Lin and Yongbing Zhang and Chunhui Lin and Liukun Zhang and Lijian Mao and Min Wu and Thi Tuong Vi Vo and Soo{-}Hyung Kim and Taebum Lee and Satoshi Kondo and Satoshi Kasai and Pranay Dumbhare and Vedant Phuse and Yash Dubey and Ankush Jamthikar and Trinh Thi Le Vuong and Jin Tae Kwak and Dorsa Ziaei and Hyun Jung and Tianyi Miao and David R. J. Snead and Shan{-}E{-}Ahmed Raza and Fayyaz Minhas and Nasir M. Rajpoot}, title = {CoNIC Challenge: Pushing the Frontiers of Nuclear Detection, Segmentation, Classification and Counting}, journal = {CoRR}, volume = {abs/2303.06274}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.06274}, doi = {10.48550/ARXIV.2303.06274}, eprinttype = {arXiv}, eprint = {2303.06274}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-06274.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-06832, author = {SP Choi and Jihun Lee and HyeongSeok Ahn and Sanghee Jung and Bumsoo Kang}, title = {{ODIN:} On-demand Data Formulation to Mitigate Dataset Lock-in}, journal = {CoRR}, volume = {abs/2303.06832}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.06832}, doi = {10.48550/ARXIV.2303.06832}, eprinttype = {arXiv}, eprint = {2303.06832}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-06832.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09463, author = {Chanyoung Jung and Andrea Finazzi and Hyunki Seong and Daegyu Lee and Seungwook Lee and Bosung Kim and Gyuri Gang and Seungil Han and David Hyunchul Shim}, title = {An Autonomous System for Head-to-Head Race: Design, Implementation and Analysis; Team {KAIST} at the Indy Autonomous Challenge}, journal = {CoRR}, volume = {abs/2303.09463}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09463}, doi = {10.48550/ARXIV.2303.09463}, eprinttype = {arXiv}, eprint = {2303.09463}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13110, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, journal = {CoRR}, volume = {abs/2303.13110}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13110}, doi = {10.48550/ARXIV.2303.13110}, eprinttype = {arXiv}, eprint = {2303.13110}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15060, author = {Jaehoon Choi and Dongki Jung and Taejae Lee and Sangwook Kim and Youngdong Jung and Dinesh Manocha and Donghwan Lee}, title = {{TMO:} Textured Mesh Acquisition of Objects with a Mobile Device by using Differentiable Rendering}, journal = {CoRR}, volume = {abs/2303.15060}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15060}, doi = {10.48550/ARXIV.2303.15060}, eprinttype = {arXiv}, eprint = {2303.15060}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-01804, author = {Youngwook Kim and Jae{-}Myung Kim and Jieun Jeong and Cordelia Schmid and Zeynep Akata and Jungwoo Lee}, title = {Bridging the Gap between Model Explanations in Partially Annotated Multi-label Classification}, journal = {CoRR}, volume = {abs/2304.01804}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.01804}, doi = {10.48550/ARXIV.2304.01804}, eprinttype = {arXiv}, eprint = {2304.01804}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-01804.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-05215, author = {Keumgang Cha and Junghoon Seo and Taekyung Lee}, title = {A Billion-scale Foundation Model for Remote Sensing Images}, journal = {CoRR}, volume = {abs/2304.05215}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.05215}, doi = {10.48550/ARXIV.2304.05215}, eprinttype = {arXiv}, eprint = {2304.05215}, timestamp = {Wed, 19 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-05215.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-06488, author = {Chaoning Zhang and Chenshuang Zhang and Chenghao Li and Yu Qiao and Sheng Zheng and Sumit Kumar Dam and Mengchun Zhang and Jung Uk Kim and Seong Tae Kim and Jinwoo Choi and Gyeong{-}Moon Park and Sung{-}Ho Bae and Lik{-}Hang Lee and Pan Hui and In So Kweon and Choong Seon Hong}, title = {One Small Step for Generative AI, One Giant Leap for {AGI:} {A} Complete Survey on ChatGPT in {AIGC} Era}, journal = {CoRR}, volume = {abs/2304.06488}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.06488}, doi = {10.48550/ARXIV.2304.06488}, eprinttype = {arXiv}, eprint = {2304.06488}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-06488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-01883, author = {Minseop Jung and Jaeseung Lee and Jibum Kim}, title = {A Lightweight CNN-Transformer Model for Learning Traveling Salesman Problems}, journal = {CoRR}, volume = {abs/2305.01883}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.01883}, doi = {10.48550/ARXIV.2305.01883}, eprinttype = {arXiv}, eprint = {2305.01883}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-01883.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-05249, author = {Junseo Lee and Kibum Bae and Chang{-}Nyoung Song and Hyunchul Jung}, title = {A Scalable Evaluation of Integer Factorization Performance on {IBM} Quantum Simulator}, journal = {CoRR}, volume = {abs/2305.05249}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.05249}, doi = {10.48550/ARXIV.2305.05249}, eprinttype = {arXiv}, eprint = {2305.05249}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-05249.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13689, author = {Utku Ozbulak and Hyun Jung Lee and Beril Boga and Esla Timothy Anzaku and Ho{-}min Park and Arnout Van Messem and Wesley De Neve and Joris Vankerschaver}, title = {Know Your Self-supervised Learning: {A} Survey on Image-based Generative and Discriminative Training}, journal = {CoRR}, volume = {abs/2305.13689}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13689}, doi = {10.48550/ARXIV.2305.13689}, eprinttype = {arXiv}, eprint = {2305.13689}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13689.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17696, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created Through Human-Machine Collaboration}, journal = {CoRR}, volume = {abs/2305.17696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17696}, doi = {10.48550/ARXIV.2305.17696}, eprinttype = {arXiv}, eprint = {2305.17696}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17701, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Gunhee Kim and Jung{-}Woo Ha}, title = {KoSBi: {A} Dataset for Mitigating Social Bias Risks Towards Safer Large Language Model Application}, journal = {CoRR}, volume = {abs/2305.17701}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17701}, doi = {10.48550/ARXIV.2305.17701}, eprinttype = {arXiv}, eprint = {2305.17701}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-19051, author = {Sung Hwan Mun and Hye{-}jin Shim and Hemlata Tak and Xin Wang and Xuechen Liu and Md. Sahidullah and Myeonghun Jeong and Min Hyun Han and Massimiliano Todisco and Kong Aik Lee and Junichi Yamagishi and Nicholas W. D. Evans and Tomi Kinnunen and Nam Soo Kim and Jee{-}weon Jung}, title = {Towards single integrated spoofing-aware speaker verification embeddings}, journal = {CoRR}, volume = {abs/2305.19051}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.19051}, doi = {10.48550/ARXIV.2305.19051}, eprinttype = {arXiv}, eprint = {2305.19051}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-19051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02316, author = {Junhyuk So and Jungwon Lee and Daehyun Ahn and Hyungjun Kim and Eunhyeok Park}, title = {Temporal Dynamic Quantization for Diffusion Models}, journal = {CoRR}, volume = {abs/2306.02316}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02316}, doi = {10.48550/ARXIV.2306.02316}, eprinttype = {arXiv}, eprint = {2306.02316}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02316.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04161, author = {Jungnam Park and Moon Seok Park and Jehee Lee and Jungdam Won}, title = {Bidirectional GaitNet: {A} Bidirectional Prediction Model of Human Gait and Anatomical Conditions}, journal = {CoRR}, volume = {abs/2306.04161}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04161}, doi = {10.48550/ARXIV.2306.04161}, eprinttype = {arXiv}, eprint = {2306.04161}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04161.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05666, author = {Sunmin Lee and Sebastian Starke and Yuting Ye and Jungdam Won and Alexander W. Winkler}, title = {QuestEnvSim: Environment-Aware Simulated Motion Tracking from Sparse Sensors}, journal = {CoRR}, volume = {abs/2306.05666}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05666}, doi = {10.48550/ARXIV.2306.05666}, eprinttype = {arXiv}, eprint = {2306.05666}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05666.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-07075, author = {John J. Nay and David Karamardian and Sarah B. Lawsky and Wenting Tao and Meghana Bhat and Raghav Jain and Aaron Travis Lee and Jonathan H. Choi and Jungo Kasai}, title = {Large Language Models as Tax Attorneys: {A} Case Study in Legal Capabilities Emergence}, journal = {CoRR}, volume = {abs/2306.07075}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.07075}, doi = {10.48550/ARXIV.2306.07075}, eprinttype = {arXiv}, eprint = {2306.07075}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-07075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-14313, author = {Chih{-}Jung Chang and Yaw{-}Chern Lee and Shih{-}Hsuan Yao and Min{-}Hung Chen and Chien{-}Yi Wang and Shang{-}Hong Lai and Trista Pei{-}Chun Chen}, title = {A Closer Look at Geometric Temporal Dynamics for Face Anti-Spoofing}, journal = {CoRR}, volume = {abs/2306.14313}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.14313}, doi = {10.48550/ARXIV.2306.14313}, eprinttype = {arXiv}, eprint = {2306.14313}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-14313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-15681, author = {Jungwoo Oh and Seongsu Bae and Gyubok Lee and Joon{-}Myoung Kwon and Edward Choi}, title = {{ECG-QA:} {A} Comprehensive Question Answering Dataset Combined With Electrocardiogram}, journal = {CoRR}, volume = {abs/2306.15681}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.15681}, doi = {10.48550/ARXIV.2306.15681}, eprinttype = {arXiv}, eprint = {2306.15681}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-15681.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-10954, author = {Xi Fang and Daeseung Kim and Xuanang Xu and Tianshu Kuang and Nathan Lampen and Jungwook Lee and Hannah H. Deng and Jaime Gateno and Michael A. K. Liebschner and James J. Xia and Pingkun Yan}, title = {Soft-tissue Driven Craniomaxillofacial Surgical Planning}, journal = {CoRR}, volume = {abs/2307.10954}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.10954}, doi = {10.48550/ARXIV.2307.10954}, eprinttype = {arXiv}, eprint = {2307.10954}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-10954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-13343, author = {Md Asif Jalal and Pablo Peso Parada and Jisi Zhang and Karthikeyan Saravanan and Mete Ozay and Myoungji Han and Jungin Lee and Seokyeong Jung}, title = {On-Device Speaker Anonymization of Acoustic Embeddings for {ASR} based onFlexible Location Gradient Reversal Layer}, journal = {CoRR}, volume = {abs/2307.13343}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.13343}, doi = {10.48550/ARXIV.2307.13343}, eprinttype = {arXiv}, eprint = {2307.13343}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-13343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-16833, author = {Seokjin Oh and Su Ah Lee and Woohwan Jung}, title = {Data Augmentation for Neural Machine Translation using Generative Language Model}, journal = {CoRR}, volume = {abs/2307.16833}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.16833}, doi = {10.48550/ARXIV.2307.16833}, eprinttype = {arXiv}, eprint = {2307.16833}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-16833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-15944, author = {Euijong Lee and Jaemin Jung and Gee{-}Myung Moon and Seong{-}Whan Lee and Ji{-}Hoon Jeong}, title = {{WUDI:} {A} Human Involved Self-Adaptive Framework to Prevent Childhood Obesity in Internet of Things Environment}, journal = {CoRR}, volume = {abs/2308.15944}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.15944}, doi = {10.48550/ARXIV.2308.15944}, eprinttype = {arXiv}, eprint = {2308.15944}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-15944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-16705, author = {Nayeon Lee and Chani Jung and Junho Myung and Jiho Jin and Juho Kim and Alice Oh}, title = {CReHate: Cross-cultural Re-annotation of English Hate Speech Dataset}, journal = {CoRR}, volume = {abs/2308.16705}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.16705}, doi = {10.48550/ARXIV.2308.16705}, eprinttype = {arXiv}, eprint = {2308.16705}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-16705.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13523, author = {Amirreza Shaban and Joonho Lee and Sanghun Jung and Xiangyun Meng and Byron Boots}, title = {LiDAR-UDA: Self-ensembling Through Time for Unsupervised LiDAR Domain Adaptation}, journal = {CoRR}, volume = {abs/2309.13523}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13523}, doi = {10.48550/ARXIV.2309.13523}, eprinttype = {arXiv}, eprint = {2309.13523}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13523.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14590, author = {Minwoo Jung and Wooseong Yang and Dongjae Lee and Hyeonjae Gil and Giseop Kim and Ayoung Kim}, title = {HeLiPR: Heterogeneous LiDAR Dataset for inter-LiDAR Place Recognition under Spatial and Temporal Variations}, journal = {CoRR}, volume = {abs/2309.14590}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14590}, doi = {10.48550/ARXIV.2309.14590}, eprinttype = {arXiv}, eprint = {2309.14590}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14590.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03457, author = {Kwanseok Oh and Da{-}Woon Heo and Ahmad Wisnu Mulyadi and Wonsik Jung and Eunsong Kang and Kun Ho Lee and Heung{-}Il Suk}, title = {A Quantitatively Interpretable Model for Alzheimer's Disease Prediction Using Deep Counterfactuals}, journal = {CoRR}, volume = {abs/2310.03457}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03457}, doi = {10.48550/ARXIV.2310.03457}, eprinttype = {arXiv}, eprint = {2310.03457}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-10349, author = {Junghyun Lee and Eunsang Lee and Young{-}Sik Kim and Yongwoo Lee and Joon{-}Woo Lee and Yongjune Kim and Jong{-}Seon No}, title = {Optimizing Layerwise Polynomial Approximation for Efficient Private Inference on Fully Homomorphic Encryption: {A} Dynamic Programming Approach}, journal = {CoRR}, volume = {abs/2310.10349}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.10349}, doi = {10.48550/ARXIV.2310.10349}, eprinttype = {arXiv}, eprint = {2310.10349}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-10349.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-11715, author = {Su Ah Lee and Seokjin Oh and Woohwan Jung}, title = {Enhancing Low-resource Fine-grained Named Entity Recognition by Leveraging Coarse-grained Datasets}, journal = {CoRR}, volume = {abs/2310.11715}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.11715}, doi = {10.48550/ARXIV.2310.11715}, eprinttype = {arXiv}, eprint = {2310.11715}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-11715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15825, author = {Jinsil Lee and Jungho Yoon}, title = {A Spline-Based Collocation Method for Stokes and Navier-Stokes equations}, journal = {CoRR}, volume = {abs/2310.15825}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15825}, doi = {10.48550/ARXIV.2310.15825}, eprinttype = {arXiv}, eprint = {2310.15825}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15825.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18652, author = {Seongsu Bae and Daeun Kyung and Jaehee Ryu and Eunbyeol Cho and Gyubok Lee and Sunjun Kweon and Jungwoo Oh and Lei Ji and Eric I{-}Chao Chang and Tackeun Kim and Edward Choi}, title = {{EHRXQA:} {A} Multi-Modal Question Answering Dataset for Electronic Health Records with Chest X-ray Images}, journal = {CoRR}, volume = {abs/2310.18652}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18652}, doi = {10.48550/ARXIV.2310.18652}, eprinttype = {arXiv}, eprint = {2310.18652}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04456, author = {Alexandra Bremers and Natalie Friedman and Sam Lee and Tong Wu and Eric Laurier and Malte F. Jung and Jorge Ortiz and Wendy Ju}, title = {(Social) Trouble on the Road: Understanding and Addressing Social Discomfort in Shared Car Trips}, journal = {CoRR}, volume = {abs/2311.04456}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04456}, doi = {10.48550/ARXIV.2311.04456}, eprinttype = {arXiv}, eprint = {2311.04456}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04456.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-10269, author = {Yu Ando and Nora Jee{-}Young Park and Gun Oh Chong and Seokhwan Ko and Donghyeon Lee and Junghwan Cho and Hyungsoo Han}, title = {Interpretable pap smear cell representation for cervical cancer screening}, journal = {CoRR}, volume = {abs/2311.10269}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.10269}, doi = {10.48550/ARXIV.2311.10269}, eprinttype = {arXiv}, eprint = {2311.10269}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-10269.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12805, author = {Jihun Lee and SP Choi and Bumsoo Kang and Hyekyoung Seok and Hyoungseok Ahn and Sanghee Jung}, title = {DeepCompass: AI-driven Location-Orientation Synchronization for Navigating Platforms}, journal = {CoRR}, volume = {abs/2311.12805}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12805}, doi = {10.48550/ARXIV.2311.12805}, eprinttype = {arXiv}, eprint = {2311.12805}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12805.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01994, author = {Jungwon Choi and Seongho Keum and Eunggu Yun and Byung{-}Hoon Kim and Juho Lee}, title = {A Generative Self-Supervised Framework using Functional Connectivity in fMRI Data}, journal = {CoRR}, volume = {abs/2312.01994}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01994}, doi = {10.48550/ARXIV.2312.01994}, eprinttype = {arXiv}, eprint = {2312.01994}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-02728, author = {JungSook Bae and Waqas Khalid and Anseok Lee and Heesoo Lee and Song Noh and Heejung Yu}, title = {Overview of RIS-Enabled Secure Transmission in 6G Wireless Networks}, journal = {CoRR}, volume = {abs/2312.02728}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.02728}, doi = {10.48550/ARXIV.2312.02728}, eprinttype = {arXiv}, eprint = {2312.02728}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-02728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04600, author = {Cody Tipton and Elizabeth Coda and Davis Brown and Alyson Bittner and Jung H. Lee and Grayson Jorgenson and Tegan Emerson and Henry Kvinge}, title = {Haldane Bundles: {A} Dataset for Learning to Predict the Chern Number of Line Bundles on the Torus}, journal = {CoRR}, volume = {abs/2312.04600}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04600}, doi = {10.48550/ARXIV.2312.04600}, eprinttype = {arXiv}, eprint = {2312.04600}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04600.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11890, author = {Unggi Lee and Sungjun Yoon and Joon Seo Yun and Kyoungsoo Park and Younghoon Jung and Damji Stratton and Hyeoncheol Kim}, title = {Difficulty-Focused Contrastive Learning for Knowledge Tracing with a Large Language Model-Based Difficulty Prediction}, journal = {CoRR}, volume = {abs/2312.11890}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11890}, doi = {10.48550/ARXIV.2312.11890}, eprinttype = {arXiv}, eprint = {2312.11890}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-16016, author = {Sanghun Jung and Joonho Lee and Xiangyun Meng and Byron Boots and Alexander Lambert}, title = {{V-STRONG:} Visual Self-Supervised Traversability Learning for Off-road Navigation}, journal = {CoRR}, volume = {abs/2312.16016}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.16016}, doi = {10.48550/ARXIV.2312.16016}, eprinttype = {arXiv}, eprint = {2312.16016}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-16016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-17487, author = {Dongjae Lee and Minwoo Jung and Wooseong Yang and Ayoung Kim}, title = {LiDAR Odometry Survey: Recent Advancements and Remaining Challenges}, journal = {CoRR}, volume = {abs/2312.17487}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.17487}, doi = {10.48550/ARXIV.2312.17487}, eprinttype = {arXiv}, eprint = {2312.17487}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-17487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhmedLJ22, author = {Samsuddin Ahmed and Kun Ho Lee and Ho Yub Jung}, title = {Robust Hippocampus Localization From Structured Magnetic Resonance Imaging Using Similarity Metric Learning}, journal = {{IEEE} Access}, volume = {10}, pages = {7141--7152}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3137824}, doi = {10.1109/ACCESS.2021.3137824}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhmedLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HamLCCC22, author = {Hyun{-}Sik Ham and Han{-}Sung Lee and Jung{-}Woo Chae and Hyun Chin Cho and Hyun{-}chong Cho}, title = {Improvement of Gastroscopy Classification Performance Through Image Augmentation Using a Gradient-Weighted Class Activation Map}, journal = {{IEEE} Access}, volume = {10}, pages = {99361--99369}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3207839}, doi = {10.1109/ACCESS.2022.3207839}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HamLCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeeLBKK22, author = {Yongwoo Jee and Eunjung Lee and Keon Baek and Woong Ko and Jinho Kim}, title = {Data-Analytic Assessment for Flexumers Under Demand Diversification in a Power System}, journal = {{IEEE} Access}, volume = {10}, pages = {33313--33319}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3162077}, doi = {10.1109/ACCESS.2022.3162077}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeeLBKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JwoHLLWHKH22, author = {Jung{-}Sing Jwo and Han{-}Yi Hsieh and Cheng{-}Hsiung Lee and Ching{-}Sheng Lin and Po{-}Wen Wang and Chen{-}Yu Hong and Jen{-}Kai King and Hao{-}Chien Hsu}, title = {Simulation and Modeling of a Data Twin Service for the Autoclave Curing Process}, journal = {{IEEE} Access}, volume = {10}, pages = {111879--111887}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3216062}, doi = {10.1109/ACCESS.2022.3216062}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JwoHLLWHKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimALRJ22, author = {Jongbin Kim and Jaechan Ahn and Kitaek Lee and Minsoo Ryu and Hyungsoo Jung}, title = {Hybrid Transactional/Analytical Processing Amplifies {IO} in LSM-Trees}, journal = {{IEEE} Access}, volume = {10}, pages = {117626--117637}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3219859}, doi = {10.1109/ACCESS.2022.3219859}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimALRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCLCC22, author = {Sang{-}Hoon Kim and Yongsung Cho and Jiwon Lee and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {A 2.03-mW {CMOS} Image Sensor With an Integrated Four-Stacked Charge-Recycling Driver for Image Signal Transmission}, journal = {{IEEE} Access}, volume = {10}, pages = {99553--99561}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3207298}, doi = {10.1109/ACCESS.2022.3207298}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimCLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLPA22, author = {Yeong Jun Kim and Hyun Ho Kang and Sang Su Lee and Jung Min Pak and Choon Ki Ahn}, title = {Distributed Finite Memory Estimation From Relative Measurements for Multiple-Robot Localization in Wireless Sensor Networks}, journal = {{IEEE} Access}, volume = {10}, pages = {5980--5989}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3141492}, doi = {10.1109/ACCESS.2022.3141492}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKLPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLLOC22, author = {Jang{-}Yeol Kim and Hyun Joon Lee and Jae{-}Ho Lee and Jung{-}Hoon Oh and In{-}Kui Cho}, title = {Experimental Assessment of a Magnetic Induction-Based Receiver for Magnetic Communication}, journal = {{IEEE} Access}, volume = {10}, pages = {110076--110087}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3214507}, doi = {10.1109/ACCESS.2022.3214507}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLLOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KongCHLLGSWL22, author = {Ka Wai Kong and Ho{-}Yin Chan and Qingyun Huang and Francis Chee Shuen Lee and Alice Yeuk Lan Leung and Binghe Guan and Jiangang Shen and Vivian Chi{-}Woon Taam Wong and Wen Jung Li}, title = {Sphygmopalpation Using Tactile Robotic Fingers Reveals Fundamental Arterial Pulse Patterns}, journal = {{IEEE} Access}, volume = {10}, pages = {12252--12261}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3144475}, doi = {10.1109/ACCESS.2022.3144475}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KongCHLLGSWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KumarRKAPJHKYKL22, author = {Pervesh Kumar and Muhammad Riaz ur Rehman and Danial Khan and Imran Ali and YoungGun Pu and Yeonjae Jung and Hyungki Huh and Seokkee Kim and Joon{-}Mo Yoo and Joon Tae Kim and Kang{-}Yoon Lee}, title = {A Design of Peak to Average Power Ratio Based {SWIPT} System in 180 nm {CMOS} Process for IoT Sensor Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {42897--42907}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168779}, doi = {10.1109/ACCESS.2022.3168779}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KumarRKAPJHKYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJMW22, author = {Jeongseok Lee and Doohwan Jung and David Joseph Munzer and Hua Wang}, title = {A Compact {CMOS} Broadband Bidirectional Digital Transceiver Frontend With Capacitor Bank and Transformer Matching Network Reuse}, journal = {{IEEE} Access}, volume = {10}, pages = {117093--117104}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3219056}, doi = {10.1109/ACCESS.2022.3219056}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeJMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKKK22, author = {Sunwoo Lee and Wansik Kim and Sosu Kim and Min{-}Su Kim and Jung{-}Hyun Kim}, title = {A W-Band Amplifier With a New Wide-Band Interstage Matching Technique Using Self-Resonance of a Microstrip-Coupled Line}, journal = {{IEEE} Access}, volume = {10}, pages = {93894--93900}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3203190}, doi = {10.1109/ACCESS.2022.3203190}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLCEDLLYKN22, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning With Fully Homomorphic Encryption for Deep Neural Network}, journal = {{IEEE} Access}, volume = {10}, pages = {30039--30054}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3159694}, doi = {10.1109/ACCESS.2022.3159694}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKLCEDLLYKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeePK22, author = {Jung{-}Dae Lee and Dong{-}Hwan Park and Rae{-}Young Kim}, title = {Novel Variable Switching Frequency {PWM} Strategy for a SiC-MOSFET-Based Electric Vehicle Inverter to Increase Battery Usage Time}, journal = {{IEEE} Access}, volume = {10}, pages = {21929--21940}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3152779}, doi = {10.1109/ACCESS.2022.3152779}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeePK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkJJKLLLYW22, author = {Jin{-}Ho Park and Woong Jo and Eun{-}Tak Jeon and Sang{-}Hun Kim and Chang{-}Hee Lee and Jung{-}Hyo Lee and June{-}Hee Lee and Junsin Yi and Chung{-}Yuen Won}, title = {Variable Switching Frequency Control-Based Six-Step Operation Method of a Traction Inverter for Driving an Interior Permanent Magnet Synchronous Motor for a Railroad Car}, journal = {{IEEE} Access}, volume = {10}, pages = {33829--33843}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3162877}, doi = {10.1109/ACCESS.2022.3162877}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkJJKLLLYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PyoLY22, author = {Sanghun Pyo and Hosu Lee and Jungwon Yoon}, title = {A Sensitive and Accurate Walking Speed Prediction Method Using Ankle Torque Estimation for a User-Driven Treadmill Interface}, journal = {{IEEE} Access}, volume = {10}, pages = {102440--102450}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3208352}, doi = {10.1109/ACCESS.2022.3208352}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PyoLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RoshanzamirHLKA22, author = {Ali Roshanzamir and Kyu{-}Yun Hwang and Sung{-}Hyun Lee and Jung{-}Woo Kwon and Muhammad Ayub and Byung{-}Il Kwon}, title = {Dual-Mode Brushless Wound Rotor Synchronous Machine for High Starting Torque}, journal = {{IEEE} Access}, volume = {10}, pages = {41657--41663}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3167886}, doi = {10.1109/ACCESS.2022.3167886}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RoshanzamirHLKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SonMLLPJL22, author = {Junyoung Son and Hyeonseok Moon and Jeongwoo Lee and Seolhwa Lee and Chanjun Park and Wonkyung Jung and Heuiseok Lim}, title = {{AI} for Patents: {A} Novel Yet Effective and Efficient Framework for Patent Analysis}, journal = {{IEEE} Access}, volume = {10}, pages = {59205--59218}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3176877}, doi = {10.1109/ACCESS.2022.3176877}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SonMLLPJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SuhLPA22, author = {Duckhyun Suh and Dong Kyu Lee and Jung Min Pak and Choon Ki Ahn}, title = {Distributed Frobenius-Norm Finite Memory Interacting Multiple Model Estimation for Mobile Robot Localization}, journal = {{IEEE} Access}, volume = {10}, pages = {124193--124205}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3224220}, doi = {10.1109/ACCESS.2022.3224220}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SuhLPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangSLKK22, author = {Zong{-}Sheng Wang and Chang Geun Song and Jung Lee and Jong{-}Hyun Kim and Sun{-}Jeong Kim}, title = {Controllable Swarm Animation Using Deep Reinforcement Learning With a Rule-Based Action Generator}, journal = {{IEEE} Access}, volume = {10}, pages = {48472--48485}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3172492}, doi = {10.1109/ACCESS.2022.3172492}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangSLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/SonLJKJ22, author = {Seungwoo Son and Ghang Lee and Jeaeun Jung and Jungdae Kim and Kahyun Jeon}, title = {Automated generation of a model view definition from an information delivery manual using idmXSD and buildingSMART data dictionary}, journal = {Adv. Eng. Informatics}, volume = {54}, pages = {101731}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2022.101731}, doi = {10.1016/J.AEI.2022.101731}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/SonLJKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/AhnKL22, author = {Jungho Ahn and Eun Jung Kim and Euiwoong Lee}, title = {Towards Constant-Factor Approximation for Chordal/Distance-Hereditary Vertex Deletion}, journal = {Algorithmica}, volume = {84}, number = {7}, pages = {2106--2133}, year = {2022}, url = {https://doi.org/10.1007/s00453-022-00963-7}, doi = {10.1007/S00453-022-00963-7}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/AhnKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/LeeKP22, author = {Junhui Lee and Jung Hoon Kim and PooGyeon Park}, title = {A generalized multiple-integral inequality based on free matrices: Application to stability analysis of time-varying delay systems}, journal = {Appl. Math. Comput.}, volume = {430}, pages = {127288}, year = {2022}, url = {https://doi.org/10.1016/j.amc.2022.127288}, doi = {10.1016/J.AMC.2022.127288}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/LeeKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/ChenCLCH22, author = {You{-}Shyang Chen and Chih{-}Lung (Jerome) Chou and Yau{-}Jung (Mike) Lee and Su{-}Fen Chen and Wen{-}Ju Hsiao}, title = {Identifying Stock Prices Using an Advanced Hybrid ARIMA-Based Model: {A} Case of Games Catalogs}, journal = {Axioms}, volume = {11}, number = {10}, pages = {499}, year = {2022}, url = {https://doi.org/10.3390/axioms11100499}, doi = {10.3390/AXIOMS11100499}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/ChenCLCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/OhSLY22, author = {Jeeyun Oh and Sabitha Sudarshan and Jung Ah Lee and Na Yu}, title = {Serendipity enhances user engagement and sociality perception: the combinatory effect of serendipitous movie suggestions and user motivations}, journal = {Behav. Inf. Technol.}, volume = {41}, number = {11}, pages = {2324--2341}, year = {2022}, url = {https://doi.org/10.1080/0144929x.2021.1921027}, doi = {10.1080/0144929X.2021.1921027}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/OhSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LinLCMK22, author = {Yu{-}Da Lin and Yi{-}Chen Lee and Chih{-}Po Chiang and Sin{-}Hua Moi and Jung{-}Yu Kan}, title = {{MOAI:} a multi-outcome interaction identification approach reveals an interaction between vaspin and carcinoembryonic antigen on colorectal cancer prognosis}, journal = {Briefings Bioinform.}, volume = {23}, number = {1}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab427}, doi = {10.1093/BIB/BBAB427}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/LinLCMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ParkJKSLLKLN22, author = {Aron Park and Minjae Joo and Kyungdoc Kim and Won{-}Joon Son and Gyutae Lim and Jinhyuk Lee and Jung Ho Kim and Dae Ho Lee and Seungyoon Nam}, title = {A comprehensive evaluation of regression-based drug responsiveness prediction models, using cell viability inhibitory concentrations {(IC50} values)}, journal = {Bioinform.}, volume = {38}, number = {10}, pages = {2810--2817}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac177}, doi = {10.1093/BIOINFORMATICS/BTAC177}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ParkJKSLLKLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LiLYA22, author = {Ruiming Li and Jung{-}Yu Lee and Jinn{-}Moon Yang and Tatsuya Akutsu}, title = {Densest subgraph-based methods for protein-protein interaction hot spot prediction}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {451}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04996-1}, doi = {10.1186/S12859-022-04996-1}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LiLYA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ShinOPLY22, author = {Seo Hyun Shin and Seung Man Oh and Jung Han Yoon Park and Ki Won Lee and Hee Yang}, title = {OptNCMiner: a deep learning approach for the discovery of natural compounds modulating disease-specific multi-targets}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {218}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04752-5}, doi = {10.1186/S12859-022-04752-5}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ShinOPLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/KimBLWV22, author = {Soung Yung Kim and Urs Borner and Jung{-}Hyun Lee and Franca Wagner and Dechen W. Tshering Vogel}, title = {Magnetic resonance imaging of parotid gland tumors: a pictorial essay}, journal = {{BMC} Medical Imaging}, volume = {22}, number = {1}, pages = {191}, year = {2022}, url = {https://doi.org/10.1186/s12880-022-00924-0}, doi = {10.1186/S12880-022-00924-0}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/KimBLWV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/YunKPNAL22, author = {Sungmin Yun and Byeongho Kim and Jaehyun Park and Hwayong Nam and Jung Ho Ahn and Eojin Lee}, title = {GraNDe: Near-Data Processing Architecture With Adaptive Matrix Mapping for Graph Convolutional Networks}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {21}, number = {2}, pages = {45--48}, year = {2022}, url = {https://doi.org/10.1109/LCA.2022.3182387}, doi = {10.1109/LCA.2022.3182387}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/YunKPNAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LeeLRKS22, author = {Jung Ah Lee and So Young Lee and Yuhosua Ryoo and Woojin Kim and Yongjun Sung}, title = {The Psychological Consequences of Envying Influencers on Instagram}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {25}, number = {11}, pages = {703--708}, year = {2022}, url = {https://doi.org/10.1089/cyber.2022.0001}, doi = {10.1089/CYBER.2022.0001}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LeeLRKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/JungCSKL22, author = {Juyeong Jung and Hyun{-}Kyu Choi and Sang Hwan Son and Joseph Sang{-}Il Kwon and Jay H. Lee}, title = {Multiscale modeling of fiber deformation: Application to a batch pulp digester for model predictive control of fiber strength}, journal = {Comput. Chem. Eng.}, volume = {158}, pages = {107640}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2021.107640}, doi = {10.1016/J.COMPCHEMENG.2021.107640}, timestamp = {Tue, 08 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cce/JungCSKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/RamirezMPJLLS22, author = {Wilmer Ariza Ramirez and Gayatri Mishra and Brajesh Kumar Panda and Hye{-}Won Jung and Sang{-}Heon Lee and Ivan Lee and Chandra B. Singh}, title = {Multispectral camera system design for replacement of hyperspectral cameras for detection of aflatoxin {B1}}, journal = {Comput. Electron. Agric.}, volume = {198}, pages = {107078}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107078}, doi = {10.1016/J.COMPAG.2022.107078}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/RamirezMPJLLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/SaleahLWLSRJJK22, author = {S. M. Abu Saleah and Seung{-}Yeol Lee and Ruchire Eranga Wijesinghe and Junsoo Lee and Daewoon Seong and Naresh Kumar Ravichandran and Hee{-}Young Jung and Mansik Jeon and Jeehyun Kim}, title = {Optical signal intensity incorporated rice seed cultivar classification using optical coherence tomography}, journal = {Comput. Electron. Agric.}, volume = {198}, pages = {107014}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107014}, doi = {10.1016/J.COMPAG.2022.107014}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/SaleahLWLSRJJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/EppersonLWAPMP22, author = {Will Epperson and Doris Jung Lin Lee and Leijie Wang and Kunal Agarwal and Aditya G. Parameswaran and Dominik Moritz and Adam Perer}, title = {Leveraging Analysis History for Improved In Situ Visualization Recommendation}, journal = {Comput. Graph. Forum}, volume = {41}, number = {3}, pages = {145--155}, year = {2022}, url = {https://doi.org/10.1111/cgf.14529}, doi = {10.1111/CGF.14529}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/EppersonLWAPMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/JungBLS22, author = {Jaehee Jung and David S. Barron and Young{-}A. Lee and Viren Swami}, title = {Social media usage and body image: Examining the mediating roles of internalization of appearance ideals and social comparisons in young women}, journal = {Comput. Hum. Behav.}, volume = {135}, pages = {107357}, year = {2022}, url = {https://doi.org/10.1016/j.chb.2022.107357}, doi = {10.1016/J.CHB.2022.107357}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/JungBLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmam/LeeN22, author = {Eunjung Lee and Hye Sun Na}, title = {Dual System Least-Squares Finite Element Method for a Hyperbolic Problem}, journal = {Comput. Methods Appl. Math.}, volume = {22}, number = {1}, pages = {113--131}, year = {2022}, url = {https://doi.org/10.1515/cmam-2021-0003}, doi = {10.1515/CMAM-2021-0003}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmam/LeeN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkAKJGJLO22, author = {Junsang Park and Junho An and Jinkook Kim and Sunghoon Jung and Yeongjoon Gil and Yoojin Jang and Kwanglo Lee and Il{-}Young Oh}, title = {Study on the use of standard 12-lead {ECG} data for rhythm-type {ECG} classification problems}, journal = {Comput. Methods Programs Biomed.}, volume = {214}, pages = {106521}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2021.106521}, doi = {10.1016/J.CMPB.2021.106521}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkAKJGJLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ShinL22, author = {Dong Ah Shin and Jung Chan Lee}, title = {Corrigendum to "Mathematical model of modified hybrid pump mechanism for cardiopulmonary resuscitation" [Computer methods and programs in biomedicine 206 {(2021)} 106106]}, journal = {Comput. Methods Programs Biomed.}, volume = {218}, pages = {106711}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106711}, doi = {10.1016/J.CMPB.2022.106711}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ShinL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/ChheaL22, author = {Kimchheang Chhea and Jung{-}Ryun Lee}, title = {Energy-efficient full-duplex {D2D} for SWIPT-empowered underlay cellular networks using a deep neural network}, journal = {Comput. Networks}, volume = {217}, pages = {109324}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.109324}, doi = {10.1016/J.COMNET.2022.109324}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/ChheaL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/Host-MadsenYKL22, author = {Anders H{\o}st{-}Madsen and Heecheol Yang and Minchul Kim and Jungwoo Lee}, title = {Repair Rates for Multiple Descriptions on Distributed Storage}, journal = {Entropy}, volume = {24}, number = {5}, pages = {612}, year = {2022}, url = {https://doi.org/10.3390/e24050612}, doi = {10.3390/E24050612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/Host-MadsenYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/JungLAUP22, author = {Kichul Jung and Moonyoung Lee and Heejin An and Myoung{-}Jin Um and Daeryong Park}, title = {Characterization and classification of river networks in South Korea}, journal = {Environ. Model. Softw.}, volume = {156}, pages = {105495}, year = {2022}, url = {https://doi.org/10.1016/j.envsoft.2022.105495}, doi = {10.1016/J.ENVSOFT.2022.105495}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/JungLAUP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimJPLA22, author = {Hyungjung Kim and Woo{-}Kyun Jung and Young{-}Chul Park and Jae{-}Won Lee and Sung{-}Hoon Ahn}, title = {Broken stitch detection method for sewing operation using {CNN} feature map and image-processing techniques}, journal = {Expert Syst. Appl.}, volume = {188}, pages = {116014}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2021.116014}, doi = {10.1016/J.ESWA.2021.116014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimJPLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/LeeTVC22, author = {Jung Hoon Lee and Joji Tsunada and Sujith Vijayan and Yale E. Cohen}, title = {Cortical circuit-based lossless neural integrator for perceptual decision-making: {A} computational modeling study}, journal = {Frontiers Comput. Neurosci.}, volume = {16}, year = {2022}, url = {https://doi.org/10.3389/fncom.2022.979830}, doi = {10.3389/FNCOM.2022.979830}, timestamp = {Wed, 08 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ficn/LeeTVC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hovhannes Melikyan and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: {TEAM} CoSTAR's Robotic Autonomy Solution that Won Phase {II} of {DARPA} Subterranean Challenge}, journal = {Field Robotics}, volume = {2}, number = {1}, pages = {1432--1506}, year = {2022}, url = {https://doi.org/10.55417/fr.2022047}, doi = {10.55417/FR.2022047}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fr/AghaOMFTSKBLEGEAPTWTVPTCKCLFMTBTANWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/LimHL22, author = {Changbin Lim and John R. C. Hsu and Jung Lyul Lee}, title = {MeePaSoL: {A} MATLAB-based {GUI} software tool for shoreline management}, journal = {Comput. Geosci.}, volume = {161}, pages = {105059}, year = {2022}, url = {https://doi.org/10.1016/j.cageo.2022.105059}, doi = {10.1016/J.CAGEO.2022.105059}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gandc/LimHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/KimKKLKY22, author = {Jungsook Kim and Woojin Kim and Hyun{-}Suk Kim and Seung{-}Jun Lee and Oh{-}Cheon Kwon and Daesub Yoon}, title = {A novel study on subjective driver readiness in terms of non-driving related tasks and take-over performance}, journal = {{ICT} Express}, volume = {8}, number = {1}, pages = {91--96}, year = {2022}, url = {https://doi.org/10.1016/j.icte.2021.04.008}, doi = {10.1016/J.ICTE.2021.04.008}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/KimKKLKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/KimLJO22, author = {Hyunjin Kim and MiSuk Lee and Woo{-}Sug Jung and Seung{-}Hee Oh}, title = {Temperature monitoring techniques of power cable joints in underground utility tunnels using a fiber Bragg grating}, journal = {{ICT} Express}, volume = {8}, number = {4}, pages = {626--632}, year = {2022}, url = {https://doi.org/10.1016/j.icte.2022.07.006}, doi = {10.1016/J.ICTE.2022.07.006}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/KimLJO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/KimKHNL22, author = {Youngjoon Kim and Junghwan Kim and Hui Jeong Ha and Naoto Nakajima and Jinhyung Lee}, title = {Job Accessibility as a Lens for Understanding the Urban Structure of Colonial Cities: {A} Digital Humanities Study of the Colonial Seoul in the 1930s Using {GIS}}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {11}, number = {12}, pages = {614}, year = {2022}, url = {https://doi.org/10.3390/ijgi11120614}, doi = {10.3390/IJGI11120614}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/KimKHNL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/JungLYBK22, author = {Soyoung Jung and Daeun Lee and Soyon You and Frank A. Biocca and Hannah Hyejin Kum{-}Biocca}, title = {The Role of the Self-Presence for Interactive Large Digital Displays: Gesture Interactivity and Mirrored-Self Image for Advertising Content}, journal = {Int. J. Hum. Comput. Interact.}, volume = {38}, number = {16}, pages = {1576--1588}, year = {2022}, url = {https://doi.org/10.1080/10447318.2021.2009668}, doi = {10.1080/10447318.2021.2009668}, timestamp = {Sun, 16 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/JungLYBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/NormanBEHHJLLLP22, author = {Matthew R. Norman and David A. Bader and Christopher Eldred and Walter M. Hannah and Benjamin R. Hillman and Christopher R. Jones and Jungmin M. Lee and L. Ruby Leung and Isaac Lyngaas and Kyle G. Pressel and Sarat Sreepathi and Mark A. Taylor and Xingqiu Yuan}, title = {Unprecedented cloud resolution in a GPU-enabled full-physics atmospheric climate simulation on OLCF's summit supercomputer}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {36}, number = {1}, pages = {93--105}, year = {2022}, url = {https://doi.org/10.1177/10943420211027539}, doi = {10.1177/10943420211027539}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/NormanBEHHJLLLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChoLLLL22, author = {Jungwon Cho and Eunsook Lee and Keehyuck Lee and Ho{-}Young Lee and Eunkyung Euni Lee}, title = {Continuity of care with a one-click medication history program: Patient's in-home medications at a glance}, journal = {Int. J. Medical Informatics}, volume = {160}, pages = {104710}, year = {2022}, url = {https://doi.org/10.1016/j.ijmedinf.2022.104710}, doi = {10.1016/J.IJMEDINF.2022.104710}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/ChoLLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/JungKCL22, author = {Junyoung Jung and BeomSeok Kim and Jinsung Cho and Ben Lee}, title = {A Secure Platform Model Based on {ARM} Platform Security Architecture for IoT Devices}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {7}, pages = {5548--5560}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3109299}, doi = {10.1109/JIOT.2021.3109299}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/JungKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isr/JungLLK22, author = {JaeHwuen Jung and Hyungsoo Lim and Dongwon Lee and Chul Kim}, title = {The Secret to Finding a Match: {A} Field Experiment on Choice Capacity Design in an Online Dating Platform}, journal = {Inf. Syst. Res.}, volume = {33}, number = {4}, pages = {1248--1263}, year = {2022}, url = {https://doi.org/10.1287/isre.2021.1028}, doi = {10.1287/ISRE.2021.1028}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isr/JungLLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/HungCL22, author = {Shiu{-}Wan Hung and Min{-}Jhih Cheng and Chia{-}Jung Lee}, title = {A new mechanism for purchasing through personal interactions: fairness, trust and social influence in online group buying}, journal = {Inf. Technol. People}, volume = {35}, number = {5}, pages = {1563--1589}, year = {2022}, url = {https://doi.org/10.1108/ITP-05-2020-0329}, doi = {10.1108/ITP-05-2020-0329}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itp/HungCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/LeeC22, author = {Jung{-}Chieh Lee and Chung{-}Yang Chen}, title = {Exploring the effects of team coordination and power distance on effective software process tailoring: a theoretical perspective}, journal = {Inf. Technol. People}, volume = {35}, number = {3}, pages = {1009--1028}, year = {2022}, url = {https://doi.org/10.1108/ITP-02-2020-0063}, doi = {10.1108/ITP-02-2020-0063}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itp/LeeC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SongKKLOLJHLYCC22, author = {Sang Hun Song and Hwanik Kim and Jung Kwon Kim and Hakmin Lee and Jong Jin Oh and Sang{-}Chul Lee and Seong Jin Jeong and Sung Kyu Hong and Junghoon Lee and Sangjun Yoo and Min{-}Soo Choo and Min Chul Cho and Hwancheol Son and Hyeon Jeong and Jungyo Suh and Seok{-}Soo Byun}, title = {A smart, practical, deep learning-based clinical decision support tool for patients in the prostate-specific antigen gray zone: model development and validation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {11}, pages = {1949--1957}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac141}, doi = {10.1093/JAMIA/OCAC141}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SongKKLOLJHLYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KimCWOL22, author = {Siun Kim and Yoona Choi and Jung{-}Hyun Won and Jung Mi Oh and Howard Lee}, title = {An annotated corpus from biomedical articles to construct a drug-food interaction database}, journal = {J. Biomed. Informatics}, volume = {126}, pages = {103985}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.103985}, doi = {10.1016/J.JBI.2022.103985}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/KimCWOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LeeLKCSRCW22, author = {Junghwan Lee and Cong Liu and Junyoung Kim and Zhehuan Chen and Yingcheng Sun and James R. Rogers and Wendy K. Chung and Chunhua Weng}, title = {Deep learning for rare disease: {A} scoping review}, journal = {J. Biomed. Informatics}, volume = {135}, pages = {104227}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.104227}, doi = {10.1016/J.JBI.2022.104227}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/LeeLKCSRCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/LeeHWLKKPJ22, author = {Sunho Lee and Seokchol Hong and Jonathan Woo and Jae{-}hak Lee and Kyunghee Kim and Lucia Kim and Kunsoo Park and Jongsun Jung}, title = {RDscan: {A} New Method for Improving Germline and Somatic Variant Calling Based on Read Depth Distribution}, journal = {J. Comput. Biol.}, volume = {29}, number = {9}, pages = {987--1000}, year = {2022}, url = {https://doi.org/10.1089/cmb.2021.0269}, doi = {10.1089/CMB.2021.0269}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/LeeHWLKKPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/LeeKFF22, author = {Yujin Lee and Jung In Kim and Forest Flager and Martin Fischer}, title = {Methodology to estimate logistics costs for vertically transported prefabricated wall panels}, journal = {J. Comput. Des. Eng.}, volume = {9}, number = {4}, pages = {1348--1368}, year = {2022}, url = {https://doi.org/10.1093/jcde/qwac055}, doi = {10.1093/JCDE/QWAC055}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcde/LeeKFF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/MahmoudMLL22, author = {Amr H. Mahmoud and Matthew Masters and Soo Jung Lee and Markus A. Lill}, title = {Accurate Sampling of Macromolecular Conformations Using Adaptive Deep Learning and Coarse-Grained Representation}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {7}, pages = {1602--1617}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.1c01438}, doi = {10.1021/ACS.JCIM.1C01438}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/MahmoudMLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ParkLLHK22, author = {Beomyu Park and Donggu Lee and Jeongwoo Lee and Junghwan Han and Kuduck Kwon}, title = {A 7-9 GHz {I/Q} Up-Conversion Mixer Employing a Linear Voltage-to-Current Converting Baseband Input Stage for 5G New Radio Cellular Applications}, journal = {J. Circuits Syst. Comput.}, volume = {31}, number = {8}, pages = {2250143:1--2250143:11}, year = {2022}, url = {https://doi.org/10.1142/S0218126622501432}, doi = {10.1142/S0218126622501432}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/ParkLLHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LeeKBLLKKP22, author = {Sangmi Lee and Myeongkyun Kang and Keunho Byeon and Sang Eun Lee and In Ho Lee and Young Ah Kim and Shin{-}Wook Kang and Jung Tak Park}, title = {Machine Learning-Aided Chronic Kidney Disease Diagnosis Based on Ultrasound Imaging Integrated with Computer-Extracted Measurable Features}, journal = {J. Digit. Imaging}, volume = {35}, number = {5}, pages = {1091--1100}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00625-8}, doi = {10.1007/S10278-022-00625-8}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/LeeKBLLKKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgim/HuangL22, author = {Shiu{-}Li Huang and Ya{-}Jung Lee}, title = {Diagnosing Service Success and Failure Incidents in the Consumer-to-Business Sharing Economy: {A} Case of Logistics Sharing}, journal = {J. Glob. Inf. Manag.}, volume = {30}, number = {2}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.4018/jgim.20220301.oa4}, doi = {10.4018/JGIM.20220301.OA4}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jgim/HuangL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/YangSSLG22, author = {Lin Yang and Brittany Shewchuk and Ce Shang and Jung Ae Lee and Sarah Gehlert}, title = {Transdisciplinary Team Science in Health Research, Where Are We?}, journal = {J. Integr. Des. Process. Sci.}, volume = {26}, number = {3-4}, pages = {307--316}, year = {2022}, url = {https://doi.org/10.3233/JID-220011}, doi = {10.3233/JID-220011}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/YangSSLG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/MehmoodGAPL22, author = {Arif Mehmood and Samer Al Ghour and Saleem Abdullah and Choonkil Park and Jung Rye Lee}, title = {A new approach to vague soft toplogical structures concerning soft points}, journal = {J. Intell. Fuzzy Syst.}, volume = {42}, number = {3}, pages = {1483--1499}, year = {2022}, url = {https://doi.org/10.3233/JIFS-210828}, doi = {10.3233/JIFS-210828}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/MehmoodGAPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/LeePS22, author = {Jung Hee Lee and Ji Su Park and Jin Gon Shon}, title = {A BERT-Based Automatic Scoring Model of Korean Language Learners' Essay}, journal = {J. Inf. Process. Syst.}, volume = {18}, number = {2}, pages = {282--291}, year = {2022}, url = {https://doi.org/10.3745/JIPS.04.0239}, doi = {10.3745/JIPS.04.0239}, timestamp = {Fri, 27 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/LeePS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HanSHPKCSLJRYK22, author = {Won Ho Han and Dae Kyung Sohn and Yul Hwangbo and Hee Jung Park and Mijung Kim and Yoona Choi and Il Won Shin and Jung Min Lee and Heungki Jeon and Ki Chung Ryu and Tae{-}Sik Yoon and Jee Hee Kim}, title = {Effect of a Wireless Vital Sign Monitoring System on the Rapid Response System in the General Ward}, journal = {J. Medical Syst.}, volume = {46}, number = {10}, pages = {64}, year = {2022}, url = {https://doi.org/10.1007/s10916-022-01846-8}, doi = {10.1007/S10916-022-01846-8}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HanSHPKCSLJRYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YoonLAOSCC22, author = {Junghee Yoon and Mangyeong Lee and Jin Seok Ahn and Dongryul Oh and Soo{-}Yong Shin and Yoon Jung Chang and Juhee Cho}, title = {Development and Validation of Digital Health Technology Literacy Assessment Questionnaire}, journal = {J. Medical Syst.}, volume = {46}, number = {2}, pages = {13}, year = {2022}, url = {https://doi.org/10.1007/s10916-022-01800-8}, doi = {10.1007/S10916-022-01800-8}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/YoonLAOSCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/HackmanDMLBK22, author = {Daniel A. Hackman and Lei Duan and Emily E. McConnell and Woo Jung Lee and Arielle S. Beak and David J. M. Kraemer}, title = {School Climate, Cortical Structure, and Socioemotional Functioning: Associations across Family Income Levels}, journal = {J. Cogn. Neurosci.}, volume = {34}, number = {10}, pages = {1842--1865}, year = {2022}, url = {https://doi.org/10.1162/jocn\_a\_01833}, doi = {10.1162/JOCN\_A\_01833}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/HackmanDMLBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/JungKSLS22, author = {Jinhyo Jung and Yohan Ko and Hwisoo So and Kyoungwoo Lee and Aviral Shrivastava}, title = {Root cause analysis of soft-error-induced failures from hardware and software perspectives}, journal = {J. Syst. Archit.}, volume = {130}, pages = {102652}, year = {2022}, url = {https://doi.org/10.1016/j.sysarc.2022.102652}, doi = {10.1016/J.SYSARC.2022.102652}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/JungKSLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungKJCKKLHJ22, author = {Yoontae Jung and Soon{-}Jae Kweon and Hyuntak Jeon and Injun Choi and Jimin Koo and Mi Kyung Kim and Hyunjoo Jenny Lee and Sohmyung Ha and Minkyu Je}, title = {A Wide-Dynamic-Range Neural-Recording {IC} With Automatic-Gain-Controlled {AFE} and {CT} Dynamic-Zoom {\(\Delta\)}{\(\Sigma\)} {ADC} for Saturation-Free Closed-Loop Neural Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {10}, pages = {3071--3082}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3188626}, doi = {10.1109/JSSC.2022.3188626}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungKJCKKLHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLKJMSJ22, author = {Ji{-}Young Kim and Jongsoo Lee and Ki{-}Ryong Kim and Sunghwan Jo and Byoung{-}Mo Moon and Kyomin Sohn and Seong{-}Ook Jung}, title = {A 5 Gb/s Time-Interleaved Voltage-Mode Duobinary Encoding Scheme for 3-D-Stacked {IC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1913--1923}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3153666}, doi = {10.1109/JSSC.2022.3153666}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLKJMSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3120113}, doi = {10.1109/JSSC.2021.3120113}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeASZKVCFGCMOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLMBALCNPSPKC22, author = {Jongyup Lim and Jungho Lee and Eunseong Moon and Michael Barrow and Gabriele Atzeni and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Yi Sun and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Dennis Sylvester and Taekwang Jang}, title = {A Light-Tolerant Wireless Neural Recording {IC} for Motor Prediction With Near-Infrared-Based Power and Data Telemetry}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1061--1074}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3141688}, doi = {10.1109/JSSC.2022.3141688}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLMBALCNPSPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RotheCCJOLSB22, author = {Rohit Rothe and Minchang Cho and Kyojin Choo and Seokhyeon Jeong and Sechang Oh and Jungho Lee and Dennis Sylvester and David T. Blaauw}, title = {A Delta Sigma-Modulated Sample and Average Common-Mode Feedback Technique for Capacitively Coupled Amplifiers in a 192-nW Acoustic Analog Front-End}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1138--1152}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3135899}, doi = {10.1109/JSSC.2021.3135899}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RotheCCJOLSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCJKSBLLKLKLS22, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Daehee Bae and Sanggwon Lee and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Chang{-}Rok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {2.45 e-RMS Low-Random-Noise, 598.5 mW Low-Power, and 1.2 kfps High-Speed 2-Mp Global Shutter {CMOS} Image Sensor With Pixel-Level {ADC} and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1125--1137}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3142436}, doi = {10.1109/JSSC.2022.3142436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCJKSBLLKLKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKRJPLBJ22, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Hakchul Jung and Changnam Park and Inhak Lee and Sanghoon Baek and Jonghoon Jung}, title = {A 3-nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-Bitline and an Adaptive Cell-Power Assist Circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {236--244}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3123077}, doi = {10.1109/JSSC.2021.3123077}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SongKRJPLBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HanJLWVUHLAS22, author = {Runze Han and Craig K. Jones and Junghoon Lee and Pengwei Wu and Prasad Vagdargi and Ali Uneri and Patrick A. Helm and Mark Luciano and William S. Anderson and Jeffrey H. Siewerdsen}, title = {Deformable {MR-CT} image registration using an unsupervised, dual-channel network for neurosurgical guidance}, journal = {Medical Image Anal.}, volume = {75}, pages = {102292}, year = {2022}, url = {https://doi.org/10.1016/j.media.2021.102292}, doi = {10.1016/J.MEDIA.2021.102292}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/HanJLWVUHLAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlst/LeeCKCBYBC22, author = {Wonkyeong Lee and Eunbyeol Cho and Wonjin Kim and Hyebin Choi and Kyongmin Sarah Beck and Hyun Jung Yoon and Jongduk Baek and Jang{-}Hwan Choi}, title = {No-reference perceptual {CT} image quality assessment based on a self-supervised learning framework}, journal = {Mach. Learn. Sci. Technol.}, volume = {3}, number = {4}, pages = {45033}, year = {2022}, url = {https://doi.org/10.1088/2632-2153/aca87d}, doi = {10.1088/2632-2153/ACA87D}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlst/LeeCKCBYBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeLP22, author = {Jungwoo Lee and Jonathan Samuel Lumentut and In Kyu Park}, title = {Holistic 3D face and head reconstruction with geometric details from a single image}, journal = {Multim. Tools Appl.}, volume = {81}, number = {26}, pages = {38217--38233}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-13590-9}, doi = {10.1007/S11042-022-13590-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/0001SJLVKLSLYFD22, author = {Qi Dou and Tiffany Y. So and Meirui Jiang and Quande Liu and Varut Vardhanabhuti and Georgios Kaissis and Zeju Li and Weixin Si and Heather H. C. Lee and Kevin Yu and Zuxin Feng and Li Dong and Egon Burian and Friederike Jungmann and Rickmer Braren and Marcus R. Makowski and Bernhard Kainz and Daniel Rueckert and Ben Glocker and Simon C. H. Yu and Pheng{-}Ann Heng}, title = {Author Correction: Federated deep learning for detecting {COVID-19} lung abnormalities in {CT:} a privacy-preserving multinational validation study}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00600-1}, doi = {10.1038/S41746-022-00600-1}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/0001SJLVKLSLYFD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeHJLCKCIJPAHK22, author = {Sun Yeop Lee and Sangwoo Ha and Min Gyeong Jeon and Hao Li and Hyunju Choi and Hwa Pyung Kim and Ye Ra Choi and Hoseok I and Yeon Joo Jeong and Yoon Ha Park and Hyemin Ahn and Sang Hyup Hong and Hyun Jung Koo and Choong Wook Lee and Min Jae Kim and Yeon Joo Kim and Kyung Won Kim and Jong Mun Choi}, title = {Localization-adjusted diagnostic performance and assistance effect of a computer-aided detection system for pneumothorax and consolidation}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00658-x}, doi = {10.1038/S41746-022-00658-X}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeHJLCKCIJPAHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/WuTLCYCCHPHCLSL22, author = {I{-}Wen Wu and Tsung{-}Hsien Tsai and Chi{-}Jen Lo and Yi{-}Ju Chou and Chi{-}Hsiao Yeh and Yun{-}Hsuan Chan and Jun{-}Hong Chen and Paul Wei{-}Che Hsu and Heng{-}Chih Pan and Heng{-}Jung Hsu and Chun{-}Yu Chen and Chin{-}Chan Lee and Yu{-}Chiau Shyu and Chih{-}Lang Lin and Mei{-}Ling Cheng and Chi{-}Chun Lai and Huey{-}Kang Sytwu and Ting{-}Fen Tsai}, title = {Discovering a trans-omics biomarker signature that predisposes high risk diabetic patients to diabetic kidney disease}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00713-7}, doi = {10.1038/S41746-022-00713-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/WuTLCYCCHPHCLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/AnIJL22, author = {Mijin An and Soojun Im and Dawoon Jung and Sang Won Lee}, title = {Your Read is Our Priority in Flash Storage}, journal = {Proc. {VLDB} Endow.}, volume = {15}, number = {9}, pages = {1911--1923}, year = {2022}, url = {https://www.vldb.org/pvldb/vol15/p1911-lee.pdf}, doi = {10.14778/3538598.3538612}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/AnIJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/EizadLLY22, author = {Amre Eizad and Hosu Lee and Junyeong Lee and Jungwon Yoon}, title = {Evaluation of {TENS} Based Biofeedback and Warning for Improvement of Seated Balance on a Trunk Rehabilitation Robot}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {10818--10825}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3191269}, doi = {10.1109/LRA.2022.3191269}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/EizadLLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HongLKJO22, author = {Mineui Hong and Kyungjae Lee and Minjae Kang and Wonsuhk Jung and Songhwai Oh}, title = {Dynamics-Aware Metric Embedding: Metric Learning in a Latent Space for Visual Planning}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {3388--3395}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3146917}, doi = {10.1109/LRA.2022.3146917}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HongLKJO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeEPKHOY22, author = {Hosu Lee and Amre Eizad and Jiho Park and Yeongmi Kim and Sunwoo Hwang and Min{-}Kyun Oh and Jungwon Yoon}, title = {Development of a Novel 2-Dimensional Neck Haptic Device for Gait Balance Training}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {2511--2518}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3143568}, doi = {10.1109/LRA.2022.3143568}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeEPKHOY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YangLLLKK22, author = {Hyungjoon Yang and Je{-}Hun Lee and Sang Hyun Lee and Seung Gi Lee and Hyung Rok Kim and Hyun{-}Jung Kim}, title = {A Multi-Manned Assembly Line Worker Assignment and Balancing Problem With Positional Constraints}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {3}, pages = {7786--7793}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3185784}, doi = {10.1109/LRA.2022.3185784}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/YangLLLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BaekMIL22, author = {You{-}Hyun Baek and Il{-}Ju Moon and Jungho Im and Juhyun Lee}, title = {A Novel Tropical Cyclone Size Estimation Model Based on a Convolutional Neural Network Using Geostationary Satellite Imagery}, journal = {Remote. Sens.}, volume = {14}, number = {2}, pages = {426}, year = {2022}, url = {https://doi.org/10.3390/rs14020426}, doi = {10.3390/RS14020426}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/BaekMIL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BakCLCLJKK22, author = {Juseon Bak and Odele Coddington and Xiong Liu and Kelly Chance and Hyo{-}Jung Lee and Wonbae Jeon and Jae{-}Hwan Kim and Cheol{-}Hee Kim}, title = {Impact of Using a New High-Resolution Solar Reference Spectrum on {OMI} Ozone Profile Retrievals}, journal = {Remote. Sens.}, volume = {14}, number = {1}, pages = {37}, year = {2022}, url = {https://doi.org/10.3390/rs14010037}, doi = {10.3390/RS14010037}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/BakCLCLJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimJPMCKLJ22, author = {Dongjin Kim and Wonbae Jeon and Jaehyeong Park and Jeonghyeok Mun and Hyunsik Choi and Cheol{-}Hee Kim and Hyo{-}Jung Lee and Hyun{-}Young Jo}, title = {A Numerical Analysis of the Changes in {O3} Concentration in a Wildfire Plume}, journal = {Remote. Sens.}, volume = {14}, number = {18}, pages = {4549}, year = {2022}, url = {https://doi.org/10.3390/rs14184549}, doi = {10.3390/RS14184549}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimJPMCKLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeCJBLAJJLYKK22, author = {Hyo{-}Jung Lee and Limseok Chang and Daniel A. Jaffe and Juseon Bak and Xiong Liu and Gonzalo Gonzalez Abad and Hyun{-}Young Jo and Yu{-}Jin Jo and Jae{-}Bum Lee and Geum{-}Hee Yang and Jong{-}Min Kim and Cheol{-}Hee Kim}, title = {Satellite-Based Diagnosis and Numerical Verification of Ozone Formation Regimes over Nine Megacities in East Asia}, journal = {Remote. Sens.}, volume = {14}, number = {5}, pages = {1285}, year = {2022}, url = {https://doi.org/10.3390/rs14051285}, doi = {10.3390/RS14051285}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeCJBLAJJLYKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeLJSJSH22, author = {Kyeong{-}Sang Lee and Eunkyung Lee and Donghyun Jin and Noh{-}Hun Seong and Daeseong Jung and Suyoung Sim and Kyung{-}Soo Han}, title = {Retrieval and Uncertainty Analysis of Land Surface Reflectance Using a Geostationary Ocean Color Imager}, journal = {Remote. Sens.}, volume = {14}, number = {2}, pages = {360}, year = {2022}, url = {https://doi.org/10.3390/rs14020360}, doi = {10.3390/RS14020360}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeLJSJSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/ParkYYCLK22, author = {Kyungseo Park and Hyunwoo Yuk and Min Jin Yang and Junhwi Cho and Hyosang Lee and Jung Kim}, title = {A biomimetic elastomeric robot skin using electrical impedance and acoustic tomography for tactile sensing}, journal = {Sci. Robotics}, volume = {7}, number = {67}, year = {2022}, url = {https://doi.org/10.1126/scirobotics.abm7187}, doi = {10.1126/SCIROBOTICS.ABM7187}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/ParkYYCLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AslRHPHJHYL22, author = {Seyed Ali Hosseini Asl and Behnam Samadpoor Rikan and Arash Hejazi and YoungGun Pu and Hyungki Huh and Yeonjae Jung and Keum{-}Cheol Hwang and Youngoo Yang and Kang{-}Yoon Lee}, title = {A Design of Analog Front-End with {DBPSK} Demodulator for Magnetic Field Wireless Network Sensors}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7217}, year = {2022}, url = {https://doi.org/10.3390/s22197217}, doi = {10.3390/S22197217}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AslRHPHJHYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ByunKPCKAKYHJKP22, author = {Sung{-}June Byun and Dong{-}Gyun Kim and Kyung{-}Do Park and Yeun{-}Jin Choi and Pervesh Kumar and Imran Ali and Dong{-}Gyu Kim and June{-}Mo Yoo and Hyung{-}Ki Huh and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {A Low-Power Analog Processor-in-Memory-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4555}, year = {2022}, url = {https://doi.org/10.3390/s22124555}, doi = {10.3390/S22124555}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ByunKPCKAKYHJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HejaziRACYHKJL22, author = {Arash Hejazi and Reza E. Rad and Seyed Ali Hosseini Asl and Kyung{-}Duk Choi and Joon{-}Mo Yoo and Hyungki Huh and Seokkee Kim and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A High-Power 3P3T Cross Antenna Switch with Low Harmonic Distortion and Enhanced Isolation Using T-Type Pull-Down Path for Cellular Mobile Devices}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5461}, year = {2022}, url = {https://doi.org/10.3390/s22145461}, doi = {10.3390/S22145461}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HejaziRACYHKJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangKLKKJH22, author = {Byunghoon Hwang and Jihu Kim and Sungmin Lee and Eunyoung Kim and Jeongho Kim and Younhyun Jung and Hyoseok Hwang}, title = {Automatic Detection and Segmentation of Thrombi in Abdominal Aortic Aneurysms Using a Mask Region-Based Convolutional Neural Network with Optimized Loss Functions}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3643}, year = {2022}, url = {https://doi.org/10.3390/s22103643}, doi = {10.3390/S22103643}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HwangKLKKJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKSLJK22, author = {Pyojin Kim and Jungha Kim and Minkyeong Song and Yeoeun Lee and Moonkyeong Jung and Hyeong{-}Geun Kim}, title = {A Benchmark Comparison of Four Off-the-Shelf Proprietary Visual-Inertial Odometry Systems}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9873}, year = {2022}, url = {https://doi.org/10.3390/s22249873}, doi = {10.3390/S22249873}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKSLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarYAPHYJHKYL22, author = {Pervesh Kumar and Huo Yingge and Imran Ali and YoungGun Pu and Keum{-}Cheol Hwang and Youngoo Yang and Yeon{-}Jae Jung and Hyung{-}Ki Huh and Seok{-}Kee Kim and Joon{-}Mo Yoo and Kang{-}Yoon Lee}, title = {A Configurable and Fully Synthesizable RTL-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2459}, year = {2022}, url = {https://doi.org/10.3390/s22072459}, doi = {10.3390/S22072459}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KumarYAPHYJHKYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJ22c, author = {Ki{-}Hun Lee and Bang Chul Jung}, title = {A Novel Expectation-Maximization-Based Blind Receiver for Low-Complexity Uplink {STLC-NOMA} Systems}, journal = {Sensors}, volume = {22}, number = {20}, pages = {8054}, year = {2022}, url = {https://doi.org/10.3390/s22208054}, doi = {10.3390/S22208054}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJ22c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeL22b, author = {Chang June Lee and Jung Keun Lee}, title = {Inertial Motion Capture-Based Wearable Systems for Estimation of Joint Kinetics: {A} Systematic Review}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2507}, year = {2022}, url = {https://doi.org/10.3390/s22072507}, doi = {10.3390/S22072507}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeL22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLP22, author = {Jun{-}Hee Lee and Mun{-}Kyo Lee and Jung{-}Dong Park}, title = {A Direct Feedback {FVF} {LDO} for High Precision {FMCW} Radar Sensors in 65-nm {CMOS} Technology}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9672}, year = {2022}, url = {https://doi.org/10.3390/s22249672}, doi = {10.3390/S22249672}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePJ22a, author = {Ki{-}Hun Lee and Gyudong Park and Bang Chul Jung}, title = {A QoS-Adaptive Interference Alignment Technique for In-Band Full-Duplex Multi-Antenna Cellular Networks}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9417}, year = {2022}, url = {https://doi.org/10.3390/s22239417}, doi = {10.3390/S22239417}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeePJ22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeTP22, author = {Hyeonseok Lee and Van{-}Son Trinh and Jung{-}Dong Park}, title = {A 90 GHz Broadband Balanced 8-Way Power Amplifier for High Precision {FMCW} Radar Sensors in 65-nm {CMOS}}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3114}, year = {2022}, url = {https://doi.org/10.3390/s22093114}, doi = {10.3390/S22093114}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeTP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeZCC22, author = {Minjae Lee and Zhongfeng Zhang and Seungwon Choi and Jungwook Choi}, title = {Minimizing Global Buffer Access in a Deep Learning Accelerator Using a Local Register File with a Rearranged Computational Sequence}, journal = {Sensors}, volume = {22}, number = {8}, pages = {3095}, year = {2022}, url = {https://doi.org/10.3390/s22083095}, doi = {10.3390/S22083095}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinLHYCLHYH22, author = {Bor{-}Shing Lin and I{-}Jung Lee and Pei{-}Chi Hsiao and Shu{-}Yu Yang and Chen{-}Yu Chen and Si{-}Huei Lee and Yu{-}Fang Huang and Mao{-}Hsu Yen and Yu Hen Hu}, title = {Design of a Multi-Sensor System for Exploring the Relation between Finger Spasticity and Voluntary Movement in Patients with Stroke}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7212}, year = {2022}, url = {https://doi.org/10.3390/s22197212}, doi = {10.3390/S22197212}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinLHYCLHYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonLJCM22, author = {Jose Moon and Dongjun Lee and Hyunwoo Jung and Ahnryul Choi and Joung Hwan Mun}, title = {Machine Learning Strategies for Low-Cost Insole-Based Prediction of Center of Gravity during Gait in Healthy Males}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3499}, year = {2022}, url = {https://doi.org/10.3390/s22093499}, doi = {10.3390/S22093499}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonLJCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadCKPJHYKL22, author = {Reza E. Rad and Kyung{-}Duk Choi and Sung{-}Jin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyung{-}Ki Huh and Joon{-}Mo Yoo and Seok{-}Kee Kim and Kang{-}Yoon Lee}, title = {A 0.617-2.7 GHz Highly Linear High-Power Dual Port 15 Throws Antenna Switch Module {(DP15T-ASM)} with Branched-Antenna Technique and Termination Mode}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2276}, year = {2022}, url = {https://doi.org/10.3390/s22062276}, doi = {10.3390/S22062276}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadCKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadKPJHYKL22, author = {Reza E. Rad and Sungjin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyungki Huh and Joon{-}Mo Yoo and Seokkee Kim and Kang{-}Yoon Lee}, title = {A Dual-Band 47-dB Dynamic Range 0.5-dB/Step {DPA} with Dual-Path Power-Combining Structure for NB-IoT}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3493}, year = {2022}, url = {https://doi.org/10.3390/s22093493}, doi = {10.3390/S22093493}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCHYPKHJL22, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Arash Hejazi and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {{T/R} {RF} Switch with 150 ns Switching Time and over 100 dBc {IMD} for Wideband Mobile Applications in Thick Oxide {SOI} Process}, journal = {Sensors}, volume = {22}, number = {2}, pages = {507}, year = {2022}, url = {https://doi.org/10.3390/s22020507}, doi = {10.3390/S22020507}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCHYPKHJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoCLJKK22, author = {Yejune Seo and Junghyun Cho and Yejin Lee and Jiyeon Jang and Hyung{-}Wook Kwon and Sungtek Kahng}, title = {A Chip Antenna for Bluetooth Earphones with Cross-Head Interference Tested from Received-Signal Sensing}, journal = {Sensors}, volume = {22}, number = {11}, pages = {3969}, year = {2022}, url = {https://doi.org/10.3390/s22113969}, doi = {10.3390/S22113969}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeoCLJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsaiHCWDHSKLHLK22, author = {Cheng{-}Yu Tsai and Huei{-}Tyng Huang and Hsueh{-}Chien Cheng and Jieni Wang and Ping{-}Jung Duh and Wen{-}Hua Hsu and Marc Stettler and Yi{-}Chun Kuan and Yin{-}Tzu Lin and Chia{-}Rung Hsu and Kang{-}Yun Lee and Jiunn{-}Horng Kang and Dean Wu and Hsin{-}Chien Lee and Cheng{-}Jung Wu and Arnab Majumdar and Wen{-}Te Liu}, title = {Screening for Obstructive Sleep Apnea Risk by Using Machine Learning Approaches and Anthropometric Features}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8630}, year = {2022}, url = {https://doi.org/10.3390/s22228630}, doi = {10.3390/S22228630}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TsaiHCWDHSKLHLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/RajMLY22, author = {Ritu Raj and B. M. Mohan and Dong{-}Eun Lee and Jung{-}Min Yang}, title = {Derivation and structural analysis of a three-input interval type-2 {TS} fuzzy {PID} controller}, journal = {Soft Comput.}, volume = {26}, number = {2}, pages = {589--603}, year = {2022}, url = {https://doi.org/10.1007/s00500-021-06601-8}, doi = {10.1007/S00500-021-06601-8}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/RajMLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LeeLH22, author = {Ang{-}Jung Lee and Hong{-}Jun Liao and Jiann{-}Ruey Hong}, title = {Overexpression of Bcl2 and Bcl2L1 Can Suppress Betanodavirus-Induced Type {III} Cell Death and Autophagy Induction in {GF-1} Cells}, journal = {Symmetry}, volume = {14}, number = {2}, pages = {360}, year = {2022}, url = {https://doi.org/10.3390/sym14020360}, doi = {10.3390/SYM14020360}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LeeLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/JeongJYYJLHJ22, author = {Kyeongwon Jeong and Yoontae Jung and Gichan Yun and Donghyun Youn and Yehhyun Jo and Hyunjoo Jenny Lee and Sohmyung Ha and Minkyu Je}, title = {A PVT-Robust AFE-Embedded Error-Feedback Noise-Shaping {SAR} {ADC} With Chopper-Based Passive High-Pass {IIR} Filtering for Direct Neural Recording}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {16}, number = {4}, pages = {679--691}, year = {2022}, url = {https://doi.org/10.1109/TBCAS.2022.3193944}, doi = {10.1109/TBCAS.2022.3193944}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/JeongJYYJLHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/WangSLJJLPLWMKHZEW22, author = {Adam Y. Wang and Yuguo Sheng and Wanlu Li and Doohwan Jung and Gregory Villiam Junek and Hangxing Liu and Jongseok Park and Dongwon Lee and Mian Wang and Sushila Maharjan and Sagar R. Kumashi and Jin Hao and Yu Shrike Zhang and Kevin Eggan and Hua Wang}, title = {A Multimodal and Multifunctional {CMOS} Cellular Interfacing Array for Digital Physiology and Pathology Featuring an Ultra Dense Pixel Array and Reconfigurable Sampling Rate}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {16}, number = {6}, pages = {1057--1074}, year = {2022}, url = {https://doi.org/10.1109/TBCAS.2022.3224064}, doi = {10.1109/TBCAS.2022.3224064}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/WangSLJJLPLWMKHZEW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChoiKJLLR22, author = {Jungwoo Choi and Boyeal Kim and Ji{-}Ye Jeon and Hyuk{-}Jae Lee and Euicheol Lim and Chae{-}Eun Rhee}, title = {A Lightweight and Efficient {GPU} for {NDP} Utilizing Data Access Pattern of Image Processing}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {1}, pages = {13--26}, year = {2022}, url = {https://doi.org/10.1109/TC.2020.3035826}, doi = {10.1109/TC.2020.3035826}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ChoiKJLLR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeHKCA22, author = {Sunjung Lee and Seunghwan Hwang and Michael Jaemin Kim and Jaewan Choi and Jung Ho Ahn}, title = {Future Scaling of Memory Hierarchy for Tensor Cores and Eliminating Redundant Shared Memory Traffic Using Inter-Warp Multicasting}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {12}, pages = {3115--3126}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3207134}, doi = {10.1109/TC.2022.3207134}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeHKCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MinKWL022, author = {Donghyun Min and Yungwoo Ko and Ryan Walker and Junghee Lee and Youngjae Kim}, title = {A Content-Based Ransomware Detection and Backup Solid-State Drive for Ransomware Defense}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {7}, pages = {2038--2051}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3099084}, doi = {10.1109/TCAD.2021.3099084}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MinKWL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/GeorgeSKKJL22, author = {Arup K. George and Wooyoon Shim and Jaeha Kung and Ji{-}Hoon Kim and Minkyu Je and Junghyup Lee}, title = {A 46-nF/10-M{\(\Omega\)} Range 114-aF/0.37-{\(\Omega\)} Resolution Parasitic- and Temperature-Insensitive Reconfigurable RC-to-Digital Converter in 0.18-{\(\mu\)}m {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {3}, pages = {1171--1184}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2021.3134010}, doi = {10.1109/TCSI.2021.3134010}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/GeorgeSKKJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/YunLJKBKLKCJ22, author = {Daeho Yun and Eonhui Lee and Woosong Jung and Kahyun Kim and Kyung{-}Min Beak and Jihee Kim and Hyun Bae Lee and Byeongseon Ko and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 32-Gb/s PAM4-Binary Bridge With Sampler Offset Cancellation for Memory Testing}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {9}, pages = {3749--3753}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3170887}, doi = {10.1109/TCSII.2022.3170887}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/YunLJKBKLKCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LeeJR22, author = {Seungho Lee and Hyunmin Jung and Chae{-}Eun Rhee}, title = {Data Orchestration for Accelerating GPU-Based Light Field Rendering Aiming at a Wide Virtual Space}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {32}, number = {6}, pages = {3575--3586}, year = {2022}, url = {https://doi.org/10.1109/TCSVT.2021.3121010}, doi = {10.1109/TCSVT.2021.3121010}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LeeJR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/BanerjeeBCLN22, author = {Mandrita Banerjee and Carlo Borges and Kim{-}Kwang Raymond Choo and Junghee Lee and Chrysostomos Nicopoulos}, title = {A Hardware-Assisted Heartbeat Mechanism for Fault Identification in Large-Scale IoT Systems}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {19}, number = {2}, pages = {1254--1265}, year = {2022}, url = {https://doi.org/10.1109/TDSC.2020.3009212}, doi = {10.1109/TDSC.2020.3009212}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/BanerjeeBCLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/ChungNRL22, author = {Won{-}young Chung and Jungwoo Nam and Kyungjin Ryong and Daeho Lee}, title = {When, how, and what kind of information should Internet service providers disclose? {A} study on the transparency that users want}, journal = {Telematics Informatics}, volume = {70}, pages = {101799}, year = {2022}, url = {https://doi.org/10.1016/j.tele.2022.101799}, doi = {10.1016/J.TELE.2022.101799}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tele/ChungNRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KangAKKNELML22, author = {Mina Kang and Myoung Hwan Ahn and Dai Ho Ko and Jhoon Kim and Dennis Nicks and Mijin Eo and Yeeun Lee and Kyung Jung Moon and Dong{-}Won Lee}, title = {Characteristics of the Spectral Response Function of Geostationary Environment Monitoring Spectrometer Analyzed by Ground and In-Orbit Measurements}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3091677}, doi = {10.1109/TGRS.2021.3091677}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KangAKKNELML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeeJLS22, author = {Taemin Lee and Changhun Jung and Kyungtaek Lee and Sanghyun Seo}, title = {A study on recognizing multi-real world object and estimating 3D position in augmented reality}, journal = {J. Supercomput.}, volume = {78}, number = {5}, pages = {7509--7528}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-04161-0}, doi = {10.1007/S11227-021-04161-0}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LeeJLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/JangJMLN22, author = {Rhongho Jang and Changhun Jung and David Mohaisen and KyungHee Lee and DaeHun Nyang}, title = {A One-Page Text Entry Method Optimized for Rectangle Smartwatches}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {21}, number = {10}, pages = {3443--3454}, year = {2022}, url = {https://doi.org/10.1109/TMC.2021.3057226}, doi = {10.1109/TMC.2021.3057226}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/JangJMLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ParkJCOJSAL22, author = {Juhyung Park and Woojin Jung and Eun{-}Jung Choi and Se{-}Hong Oh and Jinhee Jang and Dongmyung Shin and Hongjun An and Jongho Lee}, title = {DIFFnet: Diffusion Parameter Mapping Network Generalized for Input Diffusion Gradient Schemes and b-Value}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {2}, pages = {491--499}, year = {2022}, url = {https://doi.org/10.1109/TMI.2021.3116298}, doi = {10.1109/TMI.2021.3116298}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/ParkJCOJSAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/LeeCJKPKA22, author = {Sunjung Lee and Jaewan Choi and Wonkyung Jung and Byeongho Kim and Jaehyun Park and Hweesoo Kim and Jung Ho Ahn}, title = {{MVP:} An Efficient {CNN} Accelerator with Matrix, Vector, and Processing-Near-Memory Units}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {27}, number = {5}, pages = {42:1--42:25}, year = {2022}, url = {https://doi.org/10.1145/3497745}, doi = {10.1145/3497745}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/LeeCJKPKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/LeeSTKP22, author = {Doris Jung Lin Lee and Vidya Setlur and Melanie Tory and Karrie Karahalios and Aditya G. Parameswaran}, title = {Deconstructing Categorization in Visualization Recommendation: {A} Taxonomy and Comparative Study}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {28}, number = {12}, pages = {4225--4239}, year = {2022}, url = {https://doi.org/10.1109/TVCG.2021.3085751}, doi = {10.1109/TVCG.2021.3085751}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/LeeSTKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/KimKLH22, author = {Jingyeom Kim and Doyeon Kim and Joohyung Lee and Jungyeon Hwang}, title = {A Novel Joint Dataset and Computation Management Scheme for Energy-Efficient Federated Learning in Mobile Edge Computing}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {5}, pages = {898--902}, year = {2022}, url = {https://doi.org/10.1109/LWC.2022.3147236}, doi = {10.1109/LWC.2022.3147236}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/KimKLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/DernelakisKVS22, author = {Aristidis Dernelakis and Jungin Kim and Kevin Velasquez and Lee Stearns}, title = {Transformation of Emotions in Images Using Poisson Blended Generative Adversarial Networks (Student Abstract)}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {12933--12934}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i11.21603}, doi = {10.1609/AAAI.V36I11.21603}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/DernelakisKVS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LeeKOHY22, author = {Junghyun Lee and Gwangsu Kim and Mahbod Olfat and Mark Hasegawa{-}Johnson and Chang D. Yoo}, title = {Fast and Efficient MMD-Based Fair {PCA} via Optimization over Stiefel Manifold}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {7363--7371}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i7.20699}, doi = {10.1609/AAAI.V36I7.20699}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LeeKOHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SeonwooSJ0K0O22, author = {Yeon Seonwoo and Juhee Son and Jiho Jin and Sang{-}Woo Lee and Ji{-}Hoon Kim and Jung{-}Woo Ha and Alice Oh}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Two-Step Question Retrieval for Open-Domain {QA}}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {1487--1492}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.117}, doi = {10.18653/V1/2022.FINDINGS-ACL.117}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/SeonwooSJ0K0O22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/JungLNYK22, author = {Sangwoo Jung and Jaehyun Lee and Huiseong Noh and Jong{-}Hyeok Yoon and Jaeha Kung}, title = {DualPIM: {A} Dual-Precision and Low-Power {CNN} Inference Engine Using {SRAM-} and eDRAM-based Processing-in-Memory Arrays}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {70--73}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869905}, doi = {10.1109/AICAS54282.2022.9869905}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aicas/JungLNYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KimJJJL22, author = {Seungbin Kim and Jueun Jung and Wuyoung Jang and Hoichang Jeong and Kyuho Lee}, title = {A Real-Time Sparsity-Aware 3D-CNN Processor for Mobile Hand Gesture Recognition}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {403--406}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869929}, doi = {10.1109/AICAS54282.2022.9869929}, timestamp = {Fri, 16 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/KimJJJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/LeeKJ22, author = {Jung A. Lee and Irena Koprinska and Bryn Jeffries}, editor = {Maria Mercedes T. Rodrigo and Noboru Matsuda and Alexandra I. Cristea and Vania Dimitrova}, title = {Data Mining of Syntax Errors in a Large-Scale Online Python Course}, booktitle = {Artificial Intelligence in Education. Posters and Late Breaking Results, Workshops and Tutorials, Industry and Innovation Tracks, Practitioners' and Doctoral Consortium - 23rd International Conference, {AIED} 2022, Durham, UK, July 27-31, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13356}, pages = {599--603}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-11647-6\_124}, doi = {10.1007/978-3-031-11647-6\_124}, timestamp = {Fri, 16 Feb 2024 09:02:05 +0100}, biburl = {https://dblp.org/rec/conf/aied/LeeKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/JungCSKL22, author = {Juyeong Jung and Hyun{-}Kyu Choi and Sang Hwan Son and Joseph Sang{-}Il Kwon and Jay H. Lee}, title = {Model predictive control of fiber deformation in a batch pulp digester}, booktitle = {American Control Conference, {ACC} 2022, Atlanta, GA, USA, June 8-10, 2022}, pages = {2491--2496}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ACC53348.2022.9867765}, doi = {10.23919/ACC53348.2022.9867765}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/amcc/JungCSKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/EssaidLKKJ22, author = {Meryam Essaid and Changhyun Lee and JungYeon Kim and Aeri Kim and Hongteak Ju}, title = {Community Structure in Public Blockchain network}, booktitle = {23rd Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2022, Takamatsu, Japan, September 28-30, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/APNOMS56106.2022.9919914}, doi = {10.23919/APNOMS56106.2022.9919914}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/EssaidLKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/ParkLKJJBS22, author = {Sebeom Park and Soohyun Lee and Youngtaek Kim and Hyeon Jeon and Seokweon Jung and Jinwook Bok and Jinwook Seo}, title = {{VANT:} {A} Visual Analytics System for Refining Parallel Corpora in Neural Machine Translation}, booktitle = {15th {IEEE} Pacific Visualization Symposium, PacificVis 2022, Tsukuba, Japan, April 11-14, 2022}, pages = {181--185}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PacificVis53943.2022.00029}, doi = {10.1109/PACIFICVIS53943.2022.00029}, timestamp = {Mon, 13 Jun 2022 16:53:35 +0200}, biburl = {https://dblp.org/rec/conf/apvis/ParkLKJJBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/JungL22, author = {Seul Jung and Joon Woo Lee}, title = {Performance Analysis of Acceleration Estimation Effect on a Cartesian Time-delayed Controller for a Robot Manipulator}, booktitle = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, pages = {1101--1105}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ASCC56756.2022.9828238}, doi = {10.23919/ASCC56756.2022.9828238}, timestamp = {Tue, 26 Jul 2022 14:50:43 +0200}, biburl = {https://dblp.org/rec/conf/ascc/JungL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/JungL22a, author = {Seul Jung and Joon Woo Lee}, title = {Hybrid Impedance Force Tracking Control of a Robot Manipulator under Non-model Dynamics and Nonlinear Uncertianties}, booktitle = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, pages = {1108--1113}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ASCC56756.2022.9828122}, doi = {10.23919/ASCC56756.2022.9828122}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/JungL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/LeeLY22, author = {Jinho Lee and Jungyoul Lim and Wonhee You}, title = {Lateral and Roll Vibration Reduction by using a Semi-active Magnetorheological Damper in a High Speed Capsule Train}, booktitle = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, pages = {808--812}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ASCC56756.2022.9828252}, doi = {10.23919/ASCC56756.2022.9828252}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/LeeLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiaccs/JangLKNYLCY22, author = {Jaehee Jang and Younho Lee and Andrey Kim and Byunggook Na and Donggeon Yhee and Byounghan Lee and Jung Hee Cheon and Sungroh Yoon}, editor = {Yuji Suga and Kouichi Sakurai and Xuhua Ding and Kazue Sako}, title = {Privacy-Preserving Deep Sequential Model with Matrix Homomorphic Encryption}, booktitle = {{ASIA} {CCS} '22: {ACM} Asia Conference on Computer and Communications Security, Nagasaki, Japan, 30 May 2022 - 3 June 2022}, pages = {377--391}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3488932.3523253}, doi = {10.1145/3488932.3523253}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asiaccs/JangLKNYLCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/automotiveUI/HongKJBJPJPL22, author = {Jeonguk Hong and Sangyeon Kim and Gyewon Jeon and Sanghyeok Boo and Jeongmin Jo and Jieun Park and Seoyoon Jung and Jiwon Park and Sangwon Lee}, title = {A Preliminary Study of Multimodal Feedback Interfaces in Takeover Transition of Semi-Autonomous Vehicles}, booktitle = {AutomotiveUI '22: 14th International Conference on Automotive User Interfaces and Interactive Vehicular Applications - Adjunct Proceedings, Seoul, Republic of Korea, September 17 - 20, 2022}, pages = {108--113}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544999.3554783}, doi = {10.1145/3544999.3554783}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/automotiveUI/HongKJBJPJPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/SongLL22, author = {Yongmin Song and Junghee Lee and Minwoo Lee}, editor = {Van Hung Trong and Jongwoo Park and Vo Thi Thanh Thao and Jongbae Kim}, title = {A Study on the Relative Importance of Emotional Intelligence Industry Revitalization Factors Using {AHP} Analysis: Focused on the Use of Artificial Emotional Intelligence}, booktitle = {7th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2022, Danang, Vietnam, August 4-6, 2022}, pages = {386--390}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BCD54882.2022.9900557}, doi = {10.1109/BCD54882.2022.9900557}, timestamp = {Tue, 11 Oct 2022 16:48:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/SongLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HuangLW22, author = {Chi{-}Jung Huang and Yi{-}Ju Lee and An{-}Chi Wei}, title = {Cell Cycle Phase Classification from Deep Learning-Predicted Images of Cell Organelles}, booktitle = {22nd {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2022, Taichung, Taiwan, November 7-9, 2022}, pages = {199--203}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBE55377.2022.00050}, doi = {10.1109/BIBE55377.2022.00050}, timestamp = {Mon, 02 Jan 2023 14:34:27 +0100}, biburl = {https://dblp.org/rec/conf/bibe/HuangLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/LeeCTCTTRMM22, author = {Sangkeun Lee and Supriya Chinthavali and Sarah Tennille and Junghoon Chae and Anika Tabassum and Varisara Tansakul and Daniel Redmon and Robert Moncrief and Aaron Myers}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Graph-based Cascading Impact Estimation for Identifying Crucial Infrastructure Components}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6749--6751}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020811}, doi = {10.1109/BIGDATA55660.2022.10020811}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/LeeCTCTTRMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/LeeJ22, author = {GeeHoon Lee and Im Young Jung}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {A Fire Prevention By Abnormal Heat Generation Detection Using {LSTM}}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6761--6762}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10021020}, doi = {10.1109/BIGDATA55660.2022.10021020}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/LeeJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/LeeLEY22, author = {Junyeong Lee and Hosu Lee and Amre Eizad and Jungwon Yoon}, title = {A Pilot Study on Perception of Direction Cues Delivered Using {A} Portable Electro-Tactile Biofeedback Device}, booktitle = {9th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2022, Seoul, Korea, Republic of, August 21-24, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioRob52689.2022.9925502}, doi = {10.1109/BIOROB52689.2022.9925502}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/LeeLEY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/CockbillMRLLZ22, author = {Stuart Cockbill and Val Mitchell and Virpi Roto and Jung{-}Joo Lee and Effie Lai{-}Chong Law and John Zimmerman}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {Introduction to Service Design for {UX} Designers}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {143:1--143:3}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3503762}, doi = {10.1145/3491101.3503762}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/CockbillMRLLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/JungKPYKYCSL22, author = {Woojoong Jung and Minsu Kim and Hyunjun Park and Sungmin Yoo and Tae{-}Hwang Kong and Jun{-}Hyeok Yang and Michael Choi and Jongshin Shin and Hyung{-}Min Lee}, title = {A Hybrid Always-Dual-Path Recursive Step-Down Converter Using Adaptive Switching Level Control Achieving 95.4{\%} Efficiency with 288m{\(\Omega\)} Large-DCR Inductor}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772867}, doi = {10.1109/CICC53496.2022.9772867}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/JungKPYKYCSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeJMW22, author = {Jeongseok Lee and Doohwan Jung and David Joseph Munzer and Hua Wang}, title = {A Compact Wideband Joint Bidirectional Class-G Digital Doherty Switched-Capacitor Transmitter and N-Path Quadrature Receiver through Capacitor Bank Sharing}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772864}, doi = {10.1109/CICC53496.2022.9772864}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeJMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/TabarJYCF022, author = {Maryam Tabar and Wooyong Jung and Amulya Yadav and Owen Wilson Chavez and Ashley Flores and Dongwon Lee}, editor = {Mohammad Al Hasan and Li Xiong}, title = {{WARNER:} Weakly-Supervised Neural Network to Identify Eviction Filing Hotspots in the Absence of Court Records}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {3514--3523}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557128}, doi = {10.1145/3511808.3557128}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/TabarJYCF022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/LiF0YADTAZMYJSL22, author = {Yuan Li and Biaoyan Fang and Jiayuan He and Hiyori Yoshikawa and Saber A. Akhondi and Christian Druckenbrodt and Camilo Thorne and Zubair Afzal and Zenan Zhai and Kojiro Machi and Masaharu Yoshioka and Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae and Darshini Mahendran and Christina Tang and Bridget T. McInnes and Timothy Baldwin and Karin Verspoor}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Extended Overview of ChEMU 2022 Evaluation Campaign: Information Extraction in Chemical Patents}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {758--781}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-62.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:41 +0100}, biburl = {https://dblp.org/rec/conf/clef/LiF0YADTAZMYJSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/EoPMSKLL22, author = {Sugyeong Eo and Chanjun Park and Hyeonseok Moon and Jaehyung Seo and Gyeongmin Kim and Jungseob Lee and Heuiseok Lim}, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {{QUAK:} {A} Synthetic Quality Estimation Dataset for Korean-English Neural Machine Translation}, booktitle = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, pages = {5181--5190}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/2022.coling-1.460}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/EoPMSKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimKA022, author = {Youngwook Kim and Jae{-}Myung Kim and Zeynep Akata and Jungwoo Lee}, title = {Large Loss Matters in Weakly Supervised Multi-Label Classification}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {14136--14145}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01376}, doi = {10.1109/CVPR52688.2022.01376}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimKA022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/AhnCLGKJRPMK22, author = {Minseon Ahn and Andrew Chang and Donghun Lee and Jongmin Gim and Jungmin Kim and Jaemin Jung and Oliver Rebholz and Vincent Pham and Krishna T. Malladi and Yang{-}Seok Ki}, editor = {Spyros Blanas and Norman May}, title = {Enabling {CXL} Memory Expansion for In-Memory Database Management Systems}, booktitle = {International Conference on Management of Data, DaMoN 2022, Philadelphia, PA, USA, 13 June 2022}, pages = {8:1--8:5}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3533737.3535090}, doi = {10.1145/3533737.3535090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/damon/AhnCLGKJRPMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/LeeSALKCRTJUKK22, author = {Donghun Lee and Jinin So and Minseon Ahn and Jong{-}Geon Lee and Jungmin Kim and Jeonghyeon Cho and Oliver Rebholz and Vishnu Charan Thummala and Ravi Shankar JV and Sachin Suresh Upadhya and Mohammed Ibrahim Khan and Jin Hyun Kim}, editor = {Spyros Blanas and Norman May}, title = {Improving In-Memory Database Operations with Acceleration {DIMM} (AxDIMM)}, booktitle = {International Conference on Management of Data, DaMoN 2022, Philadelphia, PA, USA, 13 June 2022}, pages = {2:1--2:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3533737.3535093}, doi = {10.1145/3533737.3535093}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/damon/LeeSALKCRTJUKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KamKKPL22, author = {Jaewon Kam and Jungeon Kim and Soongjin Kim and Jaesik Park and Seungyong Lee}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {CostDCNet: Cost Volume Based Depth Completion for a Single {RGB-D} Image}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13662}, pages = {257--274}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20086-1\_15}, doi = {10.1007/978-3-031-20086-1\_15}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KamKKPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/TanKK22, author = {Yew Lee Tan and Adams Wai{-}Kin Kong and Jung{-}Jae Kim}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Pure Transformer with Integrated Experts for Scene Text Recognition}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXVIII}}, series = {Lecture Notes in Computer Science}, volume = {13688}, pages = {481--497}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19815-1\_28}, doi = {10.1007/978-3-031-19815-1\_28}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/TanKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ParkLSYLJYJAC22, author = {Changhyun Park and Hongsuk Lee and Eun Sub Shim and Jungbin Yun and Kyungho Lee and Yun{-}Hwan Jung and Sukki Yoon and Ilyun Jeong and JungChak Ahn and Duckhyun Chang}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat}, title = {World's first 16: 4: 1 triple conversion gain sensor with all-pixel {AF} for 82.4dB single exposure {HDR}}, booktitle = {Imaging Sensors and Systems 2022, online, January 15-26, 2022}, pages = {1--4}, publisher = {Society for Imaging Science and Technology}, year = {2022}, url = {https://doi.org/10.2352/EI.2022.34.7.ISS-257}, doi = {10.2352/EI.2022.34.7.ISS-257}, timestamp = {Fri, 21 Jul 2023 13:58:07 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ParkLSYLJYJAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/AzamKLL22, author = {Ali Azam and Venkatesh Kommangunta and Jung{-}Hyun Lee and Kang{-}Yoon Lee}, title = {A Design of Low-Power Dynamic Latch Comparator with Adaptive Power Control for12-bit Charge Sharing {SAR} ADCs}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748544}, doi = {10.1109/ICEIC54506.2022.9748544}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/AzamKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JeongLLNKC22, author = {Incheol Jeong and Jongwon Lee and Dayeon Lee and Mukhamadiyev Abdinabi Nuralievich and Jungyoon Kim and Jinsoo Cho}, title = {A functional design for smart glasses for low vision people that provides visual assistance based on various image processing technologies}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748188}, doi = {10.1109/ICEIC54506.2022.9748188}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JeongLLNKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeLJALKHJ22, author = {Sumin Lee and Ki{-}Beom Lee and Sunghwan Joo and Hong Keun Ahn and Junghyup Lee and Dohyung Kim and Bumsub Ham and Seong{-}Ook Jung}, title = {{SIF-NPU:} {A} 28nm 3.48 {TOPS/W} 0.25 TOPS/mm\({}^{\mbox{2}}\) {CNN} Accelerator with Spatially Independent Fusion for Real-Time {UHD} Super-Resolution}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {97--100}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911509}, doi = {10.1109/ESSCIRC55480.2022.9911509}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeLJALKHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LimGLKHKJSJJ22, author = {Sehee Lim and Youngin Goh and Young Kyu Lee and Dong Han Ko and Junghyeon Hwang and Minki Kim and Yeongseok Jeong and Hunbeom Shin and Sanghun Jeon and Seong{-}Ook Jung}, title = {A Highly Integrated Crosspoint Array Using Self-rectifying {FTJ} for Dual-mode Operations: {CAM} and {PUF}}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {113--116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911355}, doi = {10.1109/ESSCIRC55480.2022.9911355}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/LimGLKHKJSJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SeoKJKLL22, author = {Seong Hoon Seo and Soosung Kim and Sung Jun Jung and Sangwoo Kwon and Hyunseung Lee and Jae W. Lee}, title = {A 40nm 5.6TOPS/W 239GOPS/mm\({}^{\mbox{2}}\) Self-Attention Processor with Sign Random Projection-based Approximation}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {85--88}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911343}, doi = {10.1109/ESSCIRC55480.2022.9911343}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SeoKJKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocast/JungwirthL22, author = {Mario Jungwirth and Wei{-}chen Lee}, editor = {Roberto Moreno{-}D{\'{\i}}az and Franz Pichler and Alexis Quesada{-}Arencibia}, title = {A Digital Twin Demonstrator for Research and Teaching in Universities}, booktitle = {Computer Aided Systems Theory - {EUROCAST} 2022 - 18th International Conference, Las Palmas de Gran Canaria, Spain, February 20-25, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13789}, pages = {547--553}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25312-6\_64}, doi = {10.1007/978-3-031-25312-6\_64}, timestamp = {Mon, 26 Jun 2023 20:47:36 +0200}, biburl = {https://dblp.org/rec/conf/eurocast/JungwirthL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangCCHSLO22, author = {Wan{-}Jung Chang and Ming{-}Che Chen and Tsung{-}Sheng Cheng and Chia{-}Hao Hsu and Jian{-}Ping Su and Shih{-}Hsiung Lee and Yang{-}Kun Ou}, title = {ThermalPose: {A} Real-Time 2D Human Skeleton Recognition System Using Thermal Imaging}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {290--291}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014222}, doi = {10.1109/GCCE56475.2022.10014222}, timestamp = {Sat, 28 Jan 2023 23:52:06 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ChangCCHSLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JeDCKSJL022, author = {Dong{-}Hyun Je and Aneesh Deshmukh and Sunwoo Cho and Dongmyoung Kim and Neha Sharma and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {Selective User Plane {(UP)} Security for Throughput Enhancement in Mobile Communication}, booktitle = {{IEEE} Globecom 2022 Workshops, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {1194--1199}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCWkshps56602.2022.10008490}, doi = {10.1109/GCWKSHPS56602.2022.10008490}, timestamp = {Tue, 17 Jan 2023 14:32:06 +0100}, biburl = {https://dblp.org/rec/conf/globecom/JeDCKSJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimLKJJL22, author = {Yongjun Kim and Junho Lee and Jaein Kim and Hyunseung Joo and Hui Won Je and Jungwon Lee}, title = {Channel State Feedback with Neural Networks: {A} Discrete Representation Learning Approach}, booktitle = {{IEEE} Globecom 2022 Workshops, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {184--189}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCWkshps56602.2022.10008631}, doi = {10.1109/GCWKSHPS56602.2022.10008631}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KimLKJJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/PennaKBBKLJ22, author = {Federico Penna and Hyukjoon Kwon and Dongwoon Bai and Jung Hyun Bae and Jaein Kim and Junho Lee and Hui Won Je}, title = {A Wideband Capacity Maximization Approach for {CSI} Feedback in Frequency Selective Channels}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {5935--5940}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001538}, doi = {10.1109/GLOBECOM48099.2022.10001538}, timestamp = {Tue, 17 Jan 2023 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/globecom/PennaKBBKLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeCJ22, author = {Timothy Lee and Andrew Chu and Tzyy{-}Ping Jung}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Varying Stressors in a Game with a Purpose Changes Human Stress Levels}, booktitle = {{HCI} International 2022 - Late Breaking Posters - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1654}, pages = {556--563}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19679-9\_70}, doi = {10.1007/978-3-031-19679-9\_70}, timestamp = {Tue, 06 Dec 2022 09:48:11 +0100}, biburl = {https://dblp.org/rec/conf/hci/LeeCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LeeCYLK22, author = {Jinha Lee and Jung Im Choi and Arthur Yeh and Qizhen Lan and Hyojung Kang}, title = {Geospatial Clustering Analysis on Drug Abuse Emergencies}, booktitle = {55th Hawaii International Conference on System Sciences, {HICSS} 2022, Virtual Event / Maui, Hawaii, USA, January 4-7, 2022}, pages = {1--10}, publisher = {ScholarSpace}, year = {2022}, url = {http://hdl.handle.net/10125/80036}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/LeeCYLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KimPPDKHLA22, author = {Michael Jaemin Kim and Jaehyun Park and Yeonhong Park and Wanju Doh and Namhoon Kim and Tae Jun Ham and Jae W. Lee and Jung Ho Ahn}, title = {Mithril: Cooperative Row Hammer Protection on Commodity {DRAM} Leveraging Managed Refresh}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1156--1169}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00088}, doi = {10.1109/HPCA53966.2022.00088}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/KimPPDKHLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KwakPKLYLS22, author = {Sonya S. Kwak and Seongah Park and Dahyun Kang and Hanna Lee and Jung Hyun Yang and Yoonseob Lim and Kahye Song}, editor = {Daisuke Sakamoto and Astrid Weiss and Laura M. Hiatt and Masahiro Shiomi}, title = {PopupBot, a Robotic Pop-up Space for Children: Origami-based Transformable Robotic Playhouse Recognizing Children's Intention}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2022, Sapporo, Hokkaido, Japan, March 7 - 10, 2022}, pages = {1196--1197}, publisher = {{IEEE} / {ACM}}, year = {2022}, url = {https://doi.org/10.1109/HRI53351.2022.9889439}, doi = {10.1109/HRI53351.2022.9889439}, timestamp = {Fri, 07 Oct 2022 14:19:05 +0200}, biburl = {https://dblp.org/rec/conf/hri/KwakPKLYLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimLLJ22, author = {Bumho Kim and Chang{-}Gyu Lim and Seong{-}Ho Lee and Yung{-}Joon Jung}, title = {A Framework of Large-Scale Virtual City Simulation with Land-Use Model}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {58--61}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728864}, doi = {10.23919/ICACT53585.2022.9728864}, timestamp = {Fri, 18 Mar 2022 11:45:43 +0100}, biburl = {https://dblp.org/rec/conf/icact/KimLLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimLLJ22a, author = {Bumho Kim and Chang{-}Gyu Lim and Seong{-}Ho Lee and Yung{-}Joon Jung}, title = {A Study on the Population Distribution Prediction in Large City using Agent-Based Simulation}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {68--71}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728882}, doi = {10.23919/ICACT53585.2022.9728882}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/KimLLJ22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeJJL022, author = {Seunghyun Lee and Woojae Jeong and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {A New Preamble Signal Design for Random Access in Sub-Terahertz 6G Cellular Systems}, booktitle = {2022 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2022, Seoul, Korea, May 16-20, 2022}, pages = {1147--1152}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCWorkshops53468.2022.9814469}, doi = {10.1109/ICCWORKSHOPS53468.2022.9814469}, timestamp = {Thu, 21 Jul 2022 16:15:18 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeJJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/KuoLLHW22, author = {Po{-}Yu Kuo and Yu{-}Hsuan Lee and Jin{-}Ting Lee and Mao{-}Jung Huang and Hsuan{-}Fu Wang}, title = {A Low Overshoot {DC-DC} Buck Converter with Improved Pulse Width Modulation Technique}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {385--386}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869192}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869192}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/KuoLLHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JungCRLKPP22, author = {Daeyoung Jung and Dongju Cha and Dongkyun Ryoo and Kyeongtak Lee and Junsik Kim and Soon{-}Gi Park and Sangheon Pack}, title = {Handover Performance of Split RAN-Based Vehicular Networks: {A} Simulation Study}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730374}, doi = {10.1109/ICCE53296.2022.9730374}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JungCRLKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkPLPKHJH22, author = {Choonghoon Park and Jonglae Park and Youngtae Lee and Bumgyu Park and Jungwook Kim and Donghee Han and Chulmin Jo and Woonhaing Hur}, title = {A new {DVFS} algorithm to minimize energy consumption on system-on-chip architecture and electrical characteristics}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730423}, doi = {10.1109/ICCE53296.2022.9730423}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkPLPKHJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeLJK22, author = {Hyunsoo Lee and Haemin Lee and Soyi Jung and Joongheon Kim}, title = {Stable Marriage Matching for Traffic-Aware Space-Air-Ground Integrated Networks: {A} Gale-Shapley Algorithmic Approach}, booktitle = {International Conference on Information Networking, {ICOIN} 2022, Jeju-si, Republic of Korea, January 12-15, 2022}, pages = {474--477}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICOIN53446.2022.9687261}, doi = {10.1109/ICOIN53446.2022.9687261}, timestamp = {Tue, 01 Feb 2022 17:24:19 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeLJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/HwangLR22, author = {Duhun Hwang and Eunjung Lee and Wonjong Rhee}, title = {AID-Purifier: {A} Light Auxiliary Network for Boosting Adversarial Defense}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {2401--2407}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956200}, doi = {10.1109/ICPR56361.2022.9956200}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/HwangLR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/TanCKKL22, author = {Yew Lee Tan and Ernest Yu Kai Chew and Adams Wai{-}Kin Kong and Jung{-}Jae Kim and Joo Hwee Lim}, title = {Portmanteauing Features for Scene Text Recognition}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {1499--1505}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956468}, doi = {10.1109/ICPR56361.2022.9956468}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/TanCKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeELY22, author = {Hosu Lee and Amre Eizad and Junyeong Lee and Jungwon Yoon}, title = {Development and Evaluation of a Gait Assistance System Based on Haptic Cane and Active Knee Orthosis}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {5419--5425}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9812307}, doi = {10.1109/ICRA46639.2022.9812307}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeELY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LimYRLKYJLM22, author = {Hyungtae Lim and Suyong Yeon and Soo{-}Hyun Ryu and Yonghan Lee and Youngji Kim and Jaeseong Yun and Euigon Jung and Donghwan Lee and Hyun Myung}, title = {A Single Correspondence Is Enough: Robust Global Registration to Avoid Degeneracy in Urban Environments}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {8010--8017}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9812018}, doi = {10.1109/ICRA46639.2022.9812018}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LimYRLKYJLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/JungKC0ZL022, author = {Chijung Jung and Doowon Kim and An Chen and Weihang Wang and Yunhui Zheng and Kyu Hyung Lee and Yonghwi Kwon}, title = {Hiding Critical Program Components via Ambiguous Translation}, booktitle = {44th {IEEE/ACM} 44th International Conference on Software Engineering, {ICSE} 2022, Pittsburgh, PA, USA, May 25-27, 2022}, pages = {1120--1132}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3510003.3510139}, doi = {10.1145/3510003.3510139}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/JungKC0ZL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/AnyanwuNKL022, author = {Goodness Oluchi Anyanwu and Cosmas Ifeanyi Nwakanma and Jung{-}Hyeon Kim and Jae{-}Min Lee and Dong{-}Seong Kim}, title = {Misbehavior Detection in Connected Vehicles using BurST-ADMA Dataset}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {874--878}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952947}, doi = {10.1109/ICTC55196.2022.9952947}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/AnyanwuNKL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/BaeLL22, author = {JungSook Bae and Hyun Lee and Heesoo Lee}, title = {A Study on Communication Technologies for Urban Air Mobility}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2235--2240}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952749}, doi = {10.1109/ICTC55196.2022.9952749}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/BaeLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoSJ0P0K22, author = {Junghyun Cho and Yejune Seo and Jiyeon Jang and Yejin Lee and Joong{-}Ki Park and Hosub Lee and Sungtek Kahng}, title = {A New Antenna System Using a Metasurface Abreast of New Satellites for {NTN} Communication}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {202--204}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952555}, doi = {10.1109/ICTC55196.2022.9952555}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoSJ0P0K22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChungCCCCKNKPLC22, author = {Heesang Chung and Sung{-}Woo Choi and Seung Nam Choi and Dae{-}Soon Cho and Jungpil Choi and Seon{-}Ae Kim and Gosan Noh and Junhyeong Kim and Manho Park and Namsuk Lee and Minsuk Choi and Jae{-}Su Song and Nakwoon Sung}, title = {Demonstration of millimeter wave vehicle-to-vehicle communication services in highway environment}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1428--1430}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952942}, doi = {10.1109/ICTC55196.2022.9952942}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChungCCCCKNKPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JinL22, author = {Jungha Jin and Inhye Lee}, title = {A Study on the Considerations for Establishing a Security Model for Non-face-to-face Telehealth}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1806--1810}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952606}, doi = {10.1109/ICTC55196.2022.9952606}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JinL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungBLKKKPCLS22, author = {Gyeyoung Jung and Sinyoung Bok and Yesung Lee and Mirae Kwak and Heejung Kim and Jaejeung Kim and William Park and Alex Choi and Minji Lee and Tony Smith}, title = {Drowsy Driving Prevention IoT System: Waking Up the Driver Through Responsiveness Check}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {429--434}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952524}, doi = {10.1109/ICTC55196.2022.9952524}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungBLKKKPCLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJLPYG22, author = {Sang{-}Su Kim and Hee{-}Tac Jung and Seung{-}Jae Lee and Jin{-}Ho Park and Sung{-}Hwan Yu and Jun{-}Hui Go}, title = {A Study of real-Time 4K drone images visualization to rescue for missing people base on web}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1594--1596}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952607}, doi = {10.1109/ICTC55196.2022.9952607}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimJLPYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKLL22b, author = {Hyun Yong Lee and Nac{-}Woo Kim and Jun{-}Gi Lee and Byung{-}Tak Lee}, title = {A Study of Prediction Methods for lithium-ion Battery Capacity}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1840--1845}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952452}, doi = {10.1109/ICTC55196.2022.9952452}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKLL22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLPL22, author = {Jungi Lee and Sangjoon Lee and Sangjun Park and Byung{-}Tak Lee}, title = {A study on the sleep quality analysis of single-person households based on life pattern data using ambient sensors}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1879--1881}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952494}, doi = {10.1109/ICTC55196.2022.9952494}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeLPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeS22b, author = {Junhee Lee and Jung{-}Sik Sung}, title = {A Traffic-Aware Dynamic Frame Adaptation Scheme for LoRaWAN Networks}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1710--1712}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952472}, doi = {10.1109/ICTC55196.2022.9952472}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeS22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonLLNY22, author = {Sung{-}Won Moon and Jiwon Lee and Jungsoo Lee and Do{-}Won Nam and Wonyoung Yoo}, title = {A Study on NeRF-based Synthetic Image Generation and Post-processing Method for Object Detection}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1560--1562}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952798}, doi = {10.1109/ICTC55196.2022.9952798}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MoonLLNY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkLJJNP22, author = {Sang{-}Kil Park and Yongsun Lee and Younseo Jeong and Jung Jin Ju and Ki{-}Dong Nam and Seongsu Park}, title = {A Functional Verification Study of Quantum Key Distribute Networks and Services with a Trusted Node applied in {KOREN}}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1663--1666}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952505}, doi = {10.1109/ICTC55196.2022.9952505}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkLJJNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SeoCJ0K22, author = {Yejune Seo and Junghyun Cho and Jiyeon Jang and Yejin Lee and Sungtek Kahng}, title = {A 5G Beamforming Antenna With IoT Sensors}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2400--2402}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952836}, doi = {10.1109/ICTC55196.2022.9952836}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SeoCJ0K22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/TabarJYCFL22, author = {Maryam Tabar and Wooyong Jung and Amulya Yadav and Owen Wilson Chavez and Ashley Flores and Dongwon Lee}, editor = {Luc De Raedt}, title = {Forecasting the Number of Tenants At-Risk of Formal Eviction: {A} Machine Learning Approach to Inform Public Policy}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {5178--5184}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/719}, doi = {10.24963/IJCAI.2022/719}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/TabarJYCFL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkKKHKHKL22, author = {Junghoon Park and Jaehong Kim and Dong Hyun Kim and Jungsik Hwang and Youngtae G. Kim and Seungyong Hyung and Soon{-}Heum Ko and Minhyung Lee}, title = {Design of a Soft Wearable Passive Fitness Device for Upper Limb Resistance Exercise}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {8488--8493}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981189}, doi = {10.1109/IROS47612.2022.9981189}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkKKHKHKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/SeoLC22, author = {Wonjeong Seo and Haseok Lee and Jungsu Choi}, title = {Continuous Calibration and Narrow Compensation Algorithm to Estimate a Joint Axis under the Various Conditions with Unit Sensor}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {6270--6276}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981747}, doi = {10.1109/IROS47612.2022.9981747}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/SeoLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeJLA22, author = {Ethan S. Lee and Jungwoo Joh and Dong{-}Seup Lee and Jes{\'{u}}s A. del Alamo}, title = {Impact of Gate Offset on {PBTI} of p-GaN Gate HEMTs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {21--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764442}, doi = {10.1109/IRPS48227.2022.9764442}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeJLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimLLBKPCCA22, author = {Hyoung{-}Jung Kim and Jae{-}Hyuk Lee and Jae{-}Geun Lim and Jun{-}Ho Boo and Ho{-}Jin Kim and Seong{-}Bo Park and Youngdon Choi and Jung{-}Hwan Choi and Gil{-}Cho Ahn}, title = {A 430-MS/s 7-b Asynchronous {SAR} {ADC} With a 40 fF Input Sampling Capacitor}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {235--236}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031358}, doi = {10.1109/ISOCC56007.2022.10031358}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimLLBKPCCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SeokSLK22, author = {Hyelin Seok and Hyoju Seo and Jungwon Lee and Yongtae Kim}, title = {A Novel Efficient Approximate Adder Design using Single Input Pair based Computation}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {57--58}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031341}, doi = {10.1109/ISOCC56007.2022.10031341}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SeokSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/0006L0KLYKMBS22, author = {Li Xu and Maya Lassiter and Xiao Wu and Yejoong Kim and Jungho Lee and Makoto Yasuda and Masaru Kawaminami and Marc Miskin and David T. Blaauw and Dennis Sylvester}, title = {A 210{\texttimes}340{\texttimes}50{\(\mathrm{\mu}\)}m Integrated {CMOS} System f0r Micro-Robots with Energy Harvesting, Sensing, Processing, Communication and Actuation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731743}, doi = {10.1109/ISSCC42614.2022.9731743}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/0006L0KLYKMBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungFCLSALJJPBJ22, author = {Taesub Jung and Masato Fujita and Jeongjin Cho and Kyungduck Lee and Doosik Seol and Sungmin An and Chanhee Lee and Youjin Jeong and Minji Jung and Sachoun Park and Seungki Baek and Seungki Jung and Seunghwan Lee and Jungbin Yun and Eun Sub Shim and Heetak Han and Eunkyung Park and Haesick Sul and Sehyeon Kang and Kyungho Lee and JungChak Ahn and Duckhyun Chang}, title = {A 1/1.57-inch 50Mpixel {CMOS} Image Sensor With 1.0{\(\mu\)}m All-Directional Dual Pixel by 0.5{\(\mu\)}m-Pitch Full-Depth Deep-Trench Isolation Technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {102--104}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731567}, doi = {10.1109/ISSCC42614.2022.9731567}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungFCLSALJJPBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKHPHOHLHL22, author = {Jaehong Jung and Seungjin Kim and Wonkang Kim and Jae{-}Yeol Han and Euiyoung Park and Seongwook Hwang and Seunghyun Oh and Sangwook Han and Kyungsoo Lee and Junho Huh and Jongwoo Lee}, title = {A 52MHz -158.2dBc/Hz {PN} @ 100kHz Digitally Controlled Crystal Oscillator Utilizing a Capacitive-Load-Dependent Dynamic Feedback Resistor in 28nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {60--62}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731592}, doi = {10.1109/ISSCC42614.2022.9731592}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKHPHOHLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungLKC22, author = {Yeonwook Jung and Seongseop Lee and Hyojun Kim and SeongHwan Cho}, title = {A Supply-Noise-Induced Jitter-Cancelling Clock Distribution Network for {LPDDR5} Mobile {DRAM} featuring a 2nd-order Adaptive Filter}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731682}, doi = {10.1109/ISSCC42614.2022.9731682}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungLKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungOKHLKPLYBKL22, author = {Jaehong Jung and Seunghyun Oh and Joo{-}Myoung Kim and Gihyeok Ha and Jinhyeon Lee and Seungjin Kim and Euiyoung Park and Jaehoon Lee and Yelim Yoon and Seungyong Bae and Wonkang Kim and Yong Lim and Kyungsoo Lee and Junho Huh and Jongwoo Lee and Thomas Byunghak Cho}, title = {A Single-Crystal-Oscillator-Based Clock-Management {IC} with 18{\texttimes} Start-Up Time Reduction and 0.68ppm/{\textordmasculine}C Duty-Cycled Machine-Learning-Based {RCO} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {58--60}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731781}, doi = {10.1109/ISSCC42614.2022.9731781}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungOKHLKPLYBKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangMBLBJKLSS22, author = {Kiseo Kang and Donggyu Minn and Seunghun Bae and Jaeho Lee and Seongun Bae and Gichang Jung and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Jae{-}Yoon Sim}, title = {A Cryo-CMOS Controller {IC} With Fully Integrated Frequency Generators for Superconducting Qubits}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {362--364}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731574}, doi = {10.1109/ISSCC42614.2022.9731574}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangMBLBJKLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJYKOLPJKL22, author = {Keun{-}Mok Kim and Kyung{-}Sik Choi and Hyunki Jung and Byeonghun Yun and Subin Kim and Wonkab Oh and Eui{-}Soo Lee and Sujin Park and Eui{-}Rim Jeong and Jinho Ko and Sang{-}Gug Lee}, title = {An {LPWAN} Radio with a Reconfigurable Data/Duty-Cycled-Wake-Up Receiver}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {404--406}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731641}, doi = {10.1109/ISSCC42614.2022.9731641}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJYKOLPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KocamanSRITSJJZ22, author = {Namik Kocaman and Ullas Singh and Bharath Raghavan and Arvindh Iyer and Kumar Thasari and Saurabh Surana and Jun Won Jung and Jaehun Jeong and Heng Zhang and Anand Vasani and Yonghyun Shim and Zhi Huang and Adesh Garg and Hsiang{-}bin Lee and Bo Wu and Feifei Liu and Ray Wang and Matthew Loh and Alex Wang and Mario Caresosa and Bo Zhang and Afshin Momtaz}, title = {An 182mW 1-60Gb/s Configurable {PAM-4/NRZ} Transceiver for Large Scale {ASIC} Integration in 7nm FinFET Technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {120--122}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731688}, doi = {10.1109/ISSCC42614.2022.9731688}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KocamanSRITSJJZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKBSJLJCGL22, author = {Sehwan Lee and Yoonsung Choi and Geunha Kim and Seungyeob Baik and Taeryoung Seol and Homin Jang and Doyoung Lee and Minkyu Je and Ji{-}Woong Choi and Arup K. George and Junghyup Lee}, title = {A 0.7V 17fJ/Step-FOMW 178.1dB-FOMSNDR 10kHz-BW 560mVPP True-ExG Biopotential Acquisition System with Parasitic-Insensitive 421M{\(\Omega\)} Input Impedance in 0.18{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {336--338}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731114}, doi = {10.1109/ISSCC42614.2022.9731114}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKBSJLJCGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJCYCKCKHOK22, author = {Sung{-}Woo Lee and Taejin Jeong and Yonghwan Cho and Jeongdu Yoo and Sung{-}Kyu Cho and Minkyu Kwon and Dae{-}Woong Cho and Sang Hee Kang and Jung{-}Wook Heo and Hyoung{-}Seok Oh and Sung{-}Ung Kwak}, title = {A Reconfigurable Series-Parallel Charger for Dual-Battery Applications with 89W 97.7\({}^{\mbox{{\%}}}\) Efficiency in Direct Charging Mode}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {476--478}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731772}, doi = {10.1109/ISSCC42614.2022.9731772}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJCYCKCKHOK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangSLJJPLWMKHZ22, author = {Adam Y. Wang and Yuguo Sheng and Wanlu Li and Doohwan Jung and Gregory Villiam Junek and Jongseok Park and Dongwon Lee and Mian Wang and Sushila Maharjan and Sagar R. Kumashi and Jin Hao and Yu Shrike Zhang and Kevin Eggan and Hua Wang}, title = {A {CMOS} Cellular Interface Array for Digital Physiology Featuring High-Density Multi-Modal Pixels and Reconfigurable Sampling Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {202--204}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731629}, doi = {10.1109/ISSCC42614.2022.9731629}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WangSLJJPLWMKHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/KimL22, author = {Jung{-}Hoon Kim and Young{-}Sik Lee}, title = {A Page-mapping Consistency Protecting Method for Soft Error Damage in Flash-based Storage}, booktitle = {{IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} 2022 - Workshops, Charlotte, NC, USA, October 31 - Nov. 3, 2022}, pages = {13--18}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSREW55968.2022.00032}, doi = {10.1109/ISSREW55968.2022.00032}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/KimL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iticse/JeffriesLK22, author = {Bryn Jeffries and Jung A. Lee and Irena Koprinska}, editor = {Brett A. Becker and Keith Quille and Mikko{-}Jussi Laakso and Erik Barendsen and Simon}, title = {115 Ways Not to Say Hello, World!: Syntax Errors Observed in a Large-Scale Online {CS0} Python Course}, booktitle = {ITiCSE 2022: Innovation and Technology in Computer Science Education, Dublin, Ireland, July 8 - 13, 2022, Volume 1}, pages = {337--343}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3502718.3524809}, doi = {10.1145/3502718.3524809}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iticse/JeffriesLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/0002HCLP22, author = {Jung{-}Ho Kim and Eui Jun Hwang and Sukmin Cho and Du Hui Lee and Jong C. Park}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {Sign Language Production With Avatar Layering: {A} Critical Use Case over Rare Words}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {1519--1528}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.163}, timestamp = {Mon, 10 Oct 2022 16:57:52 +0200}, biburl = {https://dblp.org/rec/conf/lrec/0002HCLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/ParkCKLS22, author = {Doeun Park and Myounglee Choo and Jinwoo Kim and Junghan Lee and Yee{-}Jin Shin}, editor = {Pourang P. Irani and Xiaojuan Ma and Jason Alexander and Bing{-}Yu Chen and Petra Isenberg}, title = {Conversational Agent for Creating Regularity in Children with {ADHD:} {A} Quantitative and Qualitative Pilot Study}, booktitle = {MobileHCI '22: Adjunct Publication of the 24th International Conference on Human-Computer Interaction with Mobile Devices and Services, Vancouver, BC, Canada, 28 September 2022 - 1 October 2022}, pages = {21:1--21:6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3528575.3551452}, doi = {10.1145/3528575.3551452}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/ParkCKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ParkLJY22, author = {Seong{-}A. Park and Hyung{-}Chul Lee and Chul{-}Woo Jung and Hyun{-}Lim Yang}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Attention Mechanisms for Physiological Signal Deep Learning: Which Attention Should We Take?}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13431}, pages = {613--622}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16431-6\_58}, doi = {10.1007/978-3-031-16431-6\_58}, timestamp = {Tue, 13 Dec 2022 14:39:06 +0100}, biburl = {https://dblp.org/rec/conf/miccai/ParkLJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KimLKSRKA22, author = {Jongmin Kim and Gwangho Lee and Sangpyo Kim and Gina Sohn and Minsoo Rhu and John Kim and Jung Ho Ahn}, title = {{ARK:} Fully Homomorphic Encryption Accelerator with Runtime Data Generation and Inter-Operation Key Reuse}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {1237--1254}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00086}, doi = {10.1109/MICRO56248.2022.00086}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/KimLKSRKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/JungPKLSP22, author = {Hyesil Jung and Hyeoun{-}Ae Park and Hannah Kang and MinSun Lee and Sumi Sung and Seul Ki Park}, editor = {Brigitte S{\'{e}}roussi and Patrick Weber and Ferdinand Dhombres and Cyril Grouin and Jan{-}David Liebe and Sylvia Pelayo and Andrea Pinna and Bastien Rance and Lucia Sacchi and Adrien Ugon and Arriel Benis and Parisis Gallos}, title = {Mapping Korean National Health Insurance Pharmaceutical Claim Codes to {SNOMED} {CT}}, booktitle = {Challenges of Trustable {AI} and Added-Value on Health - Proceedings of {MIE} 2022, Medical Informatics Europe, Nice, France, May 27-30, 2022}, series = {Studies in Health Technology and Informatics}, volume = {294}, pages = {297--301}, publisher = {{IOS} Press}, year = {2022}, url = {https://doi.org/10.3233/SHTI220462}, doi = {10.3233/SHTI220462}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mie/JungPKLSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/HanJWVZULLAHS22, author = {Runze Han and Craig K. Jones and Pengwei Wu and Prasad Vagdargi and Xiaoxuan Zhang and Ali Uneri and Junghoon Lee and Mark Luciano and William S. Anderson and Patrick A. Helm and Jeffrey H. Siewerdsen}, editor = {Cristian A. Linte and Jeffrey H. Siewerdsen}, title = {Deformable registration of {MRI} to intraoperative cone-beam {CT} of the brain using a joint synthesis and registration network}, booktitle = {Medical Imaging 2022: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12034}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2611783}, doi = {10.1117/12.2611783}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/HanJWVZULLAHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/ZabihollahyVSL22, author = {Fatemeh Zabihollahy and Akila N. Viswanathan and Ehud J. Schmidt and Junghoon Lee}, editor = {Cristian A. Linte and Jeffrey H. Siewerdsen}, title = {A deep learning-based clinical target volume segmentation in female pelvic {MRI} for radiation therapy planning}, booktitle = {Medical Imaging 2022: Image-Guided Procedures, Robotic Interventions, and Modeling, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12034}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2611102}, doi = {10.1117/12.2611102}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/ZabihollahyVSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/Duan0ZA0ALCRP22, author = {Peiyu Duan and Shuo Han and Lianrui Zuo and Yang An and Yihao Liu and Ahmed Alshareef and Junghoon Lee and Aaron Carass and Susan M. Resnick and Jerry L. Prince}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Cranial meninges reconstruction based on convolutional networks and deformable models: applications to longitudinal study of normal aging}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2613146}, doi = {10.1117/12.2613146}, timestamp = {Thu, 14 Mar 2024 14:38:36 +0100}, biburl = {https://dblp.org/rec/conf/miip/Duan0ZA0ALCRP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlis/MohamedILK22, author = {Amira Mohamed and Hatem Ibrahem and Jung{-}Hyun Lee and Kibum Kim}, editor = {Kim Jon{-}Lark}, title = {Machine Learning-Based Current Density Simulation for Direct Borohydride Fuel Cell}, booktitle = {Machine Learning and Artificial Intelligence - Proceedings of {MLIS} 2022, Virtual Event, Korea, 8-11 November 2022}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {360}, pages = {35--40}, publisher = {{IOS} Press}, year = {2022}, url = {https://doi.org/10.3233/FAIA220421}, doi = {10.3233/FAIA220421}, timestamp = {Mon, 28 Nov 2022 16:41:37 +0100}, biburl = {https://dblp.org/rec/conf/mlis/MohamedILK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LeeJJKLL22, author = {Kyungwon Lee and Yu{-}Kyung Jang and Jaewoo Jung and Dong Hwan Kim and Hyun{-}Jean Lee and Seung Ah Lee}, editor = {Jo{\~{a}}o Magalh{\~{a}}es and Alberto Del Bimbo and Shin'ichi Satoh and Nicu Sebe and Xavier Alameda{-}Pineda and Qin Jin and Vincent Oria and Laura Toni}, title = {EuglPollock: Rethinking Interspecies Collaboration through Art Making}, booktitle = {{MM} '22: The 30th {ACM} International Conference on Multimedia, Lisboa, Portugal, October 10 - 14, 2022}, pages = {1077--1084}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503161.3548151}, doi = {10.1145/3503161.3548151}, timestamp = {Fri, 14 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/LeeJJKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/ShinLAKKKCLPHS22, author = {Seongjin Shin and Sang{-}Woo Lee and Hwijeen Ahn and Sungdong Kim and HyoungSeok Kim and Boseop Kim and Kyunghyun Cho and Gichang Lee and Woo{-}Myoung Park and Jung{-}Woo Ha and Nako Sung}, editor = {Marine Carpuat and Marie{-}Catherine de Marneffe and Iv{\'{a}}n Vladimir Meza Ru{\'{\i}}z}, title = {On the Effect of Pretraining Corpora on In-context Learning by a Large-scale Language Model}, booktitle = {Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL} 2022, Seattle, WA, United States, July 10-15, 2022}, pages = {5168--5186}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.naacl-main.380}, doi = {10.18653/V1/2022.NAACL-MAIN.380}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/naacl/ShinLAKKKCLPHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KongJNLLJ22, author = {Seo Taek Kong and Soomin Jeon and Dongbin Na and Jaewon Lee and Hong{-}Seok Lee and Kyu{-}Hwan Jung}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {A Neural Pre-Conditioning Active Learning Algorithm to Reduce Label Complexity}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/d3b8ce5e27b1c622d1b3da22b215e59b-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KongJNLLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/ShimTLHJCCYLTDL22, author = {Hye{-}jin Shim and Hemlata Tak and Xuechen Liu and Hee{-}Soo Heo and Jee{-}weon Jung and Joon Son Chung and Soo{-}Whan Chung and Ha{-}Jin Yu and Bong{-}Jin Lee and Massimiliano Todisco and H{\'{e}}ctor Delgado and Kong Aik Lee and Md. Sahidullah and Tomi Kinnunen and Nicholas W. D. Evans}, editor = {Thomas Fang Zheng}, title = {Baseline Systems for the First Spoofing-Aware Speaker Verification Challenge: Score and Embedding Fusion}, booktitle = {Odyssey 2022: The Speaker and Language Recognition Workshop, 28 June - 1 July 2022, Beijing, China}, pages = {330--337}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Odyssey.2022-46}, doi = {10.21437/ODYSSEY.2022-46}, timestamp = {Mon, 23 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/odyssey/ShimTLHJCCYLTDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/FabregaVMMQNCVM22, author = {Josep Maria Fabrega and Francisco Javier Vilchez and Michela Svaluto Moreolo and Ricardo Mart{\'{\i}}nez and Andy N. Quispe Cornelio and Laia Nadal and Ramon Casellas and Ricard Vilalta and Raul Mu{\~{n}}oz and Christian Neumeyr and Seoyoung Lee and Jang{-}Uk Shin and Hyun{-}Do Jung and Giordano Mariani and Roland Heuvelmans and Alberto Gatto and Paola Parolari and Pierpaolo Boffi and Netsanet M. Tessema and Nicola Calabretta and David Larrabeiti and Juan P. {Fern{\'{a}}ndez Palacios}}, title = {Experimental Demonstration of a Metro Area Network with Terabit-capable Sliceable Bitrate Variable Transceiver using Direct Modulated VCSELs and Coherent Detection}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748625}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/FabregaVMMQNCVM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeSC22, author = {Jongsoo Lee and Jung Ah Shin and Dong{-}Kyu Chae}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {A diversity personalization approach towards recommending POIs for Jeju island}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {1804--1807}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3507244}, doi = {10.1145/3477314.3507244}, timestamp = {Wed, 11 May 2022 08:59:01 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/JungYL22, author = {Hyunwoo Jung and Juheon Yi and Youngki Lee}, editor = {Jeremy Gummeson and Sunghoon Ivan Lee and Jie Gao and Guoliang Xing}, title = {A Study on Thermal Issues in Mobile Extended Reality Applications}, booktitle = {Proceedings of the 20th {ACM} Conference on Embedded Networked Sensor Systems, SenSys 2022, Boston, Massachusetts, November 6-9, 2022}, pages = {797--799}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3560905.3568076}, doi = {10.1145/3560905.3568076}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/JungYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/JungLJ22, author = {Seung{-}Hyun Jung and Hyeyeon Lee and Hangyeol Jo}, editor = {Soon Ki Jung and HyungSeok Kim and Christian Sandor}, title = {Guernica, {\'{e}}res tu: {VR} Volumetric Capture as an Art Style: {A} Recreation of Pablo Picasso's Guernica in {VR} Form}, booktitle = {{SIGGRAPH} Asia 2022 XR, Daegu, Republic of Korea, December 6-9, 2022}, pages = {8:1--8:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550472.3558408}, doi = {10.1145/3550472.3558408}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/JungLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimLC22, author = {Dong{-}Geun Kim and Jungeun Lee and Seungmoon Choi}, editor = {Soon Ki Jung and Neil A. Dodgson}, title = {MMGrip: {A} Handheld Multimodal Haptic Device Combining Vibration, Impact, and Shear for Realistic Expression of Contact}, booktitle = {{SIGGRAPH} Asia 2022 Posters, {SA} 2022, Daegu, Republic of Korea, December 6-9, 2022}, pages = {37:1--37:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550082.3564177}, doi = {10.1145/3550082.3564177}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/DavisNJ22, author = {Dylan Lee Davis and Masaki Nakanishi and Tzyy{-}Ping Jung}, title = {A Comparison Study of Egocentric and Allocentric Visual Feedback for Motor-Imagery Brain-Computer Interfaces}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2022, Prague, Czech Republic, October 9-12, 2022}, pages = {1630--1635}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMC53654.2022.9945431}, doi = {10.1109/SMC53654.2022.9945431}, timestamp = {Thu, 01 Dec 2022 15:59:35 +0100}, biburl = {https://dblp.org/rec/conf/smc/DavisNJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ChoLH22, author = {Sungjae Cho and Jungeun Lee and Inseok Hwang}, editor = {Maneesh Agrawala and Jacob O. Wobbrock and Eytan Adar and Vidya Setlur}, title = {TouchVR: {A} Modality for Instant {VR} Experience}, booktitle = {The Adjunct Publication of the 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022- 2 November 2022}, pages = {73:1--73:3}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526114.3558650}, doi = {10.1145/3526114.3558650}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/ChoLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/GuptaBDJLOKK22, author = {Ankit Gupta and Adrita Barari and Damini and Keerthi Kiran Jagannathachar and Seungwoo Lee and Janghoon Oh and Jungha Kim and Min{-}Joo Kim}, title = {Identifying Combination of Defects and Unknown Defects on Semiconductor Wafers using Deep Learning and Hierarchical Reclustering}, booktitle = {35th International Conference on {VLSI} Design and 2022 21st International Conference on Embedded Systems, {VLSID} 2022, Bangalore, India, February 26 - March 2, 2022}, pages = {150--155}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSID2022.2022.00039}, doi = {10.1109/VLSID2022.2022.00039}, timestamp = {Thu, 29 Sep 2022 21:52:18 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/GuptaBDJLOKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/AtzeniLLNLMBLCN22, author = {Gabriele Atzeni and Jongyup Lim and Jiawei Liao and Alessandro Novello and Jungho Lee and Eunseong Moon and Michael Barrow and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Taekwang Jang}, title = {A 260{\texttimes}274 {\(\mu\)}m\({}^{\mbox{2}}\) 572 nW Neural Recording Micromote Using Near-Infrared Power Transfer and an {RF} Data Uplink}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {64--65}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830516}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830516}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/AtzeniLLNLMBLCN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/BaikSLKCGL22, author = {Seungyeob Baik and Taeryoung Seol and Sehwan Lee and Geunha Kim and SeongHwan Cho and Arup K. George and Junghyup Lee}, title = {A 2.54{\(\mu\)}J{\(\bullet\)}ppm\({}^{\mbox{2}}\)-FOMS Supply- and Temperature-Independent Time-Locked {\(\Delta\)}{\(\Sigma\)} Capacitance-to-Digital Converter in 0.18-{\(\mu\)}m {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {114--115}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830524}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830524}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/BaikSLKCGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HongCHLCSCYWRLC22, author = {Ming{-}Chun Hong and Yao{-}Jen Chang and Yu{-}Chen Hsin and Liang{-}Ming Liu and Kuan{-}Ming Chen and Yi{-}Hui Su and Guan{-}Long Chen and Shan{-}Yi Yang and I{-}Jung Wang and Sk. Ziaur Rahaman and Hsin{-}Han Lee and Shih{-}Ching Chiu and Chen{-}Yi Shih and Chih{-}Yao Wang and Fang{-}Ming Chen and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Minn{-}Tsong Lin and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 4K-400K Wide Operating-Temperature-Range {MRAM} Technology with Ultrathin Composite Free Layer and Magnesium Spacer}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {379--380}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830503}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830503}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/HongCHLCSCYWRLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KooDNSLOHLCCS22, author = {Byeongwoo Koo and Sunghan Do and Sang{-}Pil Nam and Heewook Shin and Sungno Lee and Eunhye Oh and Jaemin Hong and Jung{-}Ho Lee and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 8GS/s {RF} Sampling {DAC} with Code-Dependent Nonlinearity Compensation and Intersegmental Current-Mismatch Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {86--87}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830442}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830442}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KooDNSLOHLCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkLLSSLLCOKJ22, author = {Jung{-}Hun Park and Kwang{-}Hoon Lee and Yongjae Lee and Jung{-}Woo Sull and Yoonho Song and Sanghee Lee and Hyeonseok Lee and Hoyeon Cho and Jonghyun Oh and Han{-}Gon Ko and Deog{-}Kyoon Jeong}, title = {A 68.7-fJ/b/mm 375-GB/s/mm Single-Ended {PAM-4} Interface with Per-Pin Training Sequence for the Next-Generation {HBM} Controller}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {150--151}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830454}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830454}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkLLSSLLCOKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkLPSLGJBKHKY22, author = {Hye Yeon Park and Yunki Lee and Jonghoon Park and Hyunseok Song and Taesung Lee and Hyung Keun Gweon and Yunji Jung and Jeongmin Bae and Boseong Kim and Junwon Han and Seungwon Kim and Cheolsang Yoon and Jeongki Kim and Changkeun Lee and Sehoon Yoo and Euiyeol Kim and Hyunmin Baek and Howoo Park and Bumsuk Kim and JungChak Ahn and Joonseo Yim}, title = {Advanced novel optical stack technologies for high {SNR} in {CMOS} Image Sensor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {353--354}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830428}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830428}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkLPSLGJBKHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RieYBLKKPCUJSJC22, author = {Hyunsub Norbert Rie and Chang Soo Yoon and Jindo Byun and Sucheol Lee and Garam Kim and Joohwan Kim and Junyoung Park and Hyunyoon Cho and Youngdo Um and Hyungmin Jin and Kwangseob Shin and Minsu Jung and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and EunSeok Shin and Hyuk{-}Jun Kwon and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 40-Gb/s/pin Low-Voltage {POD} Single-Ended {PAM-4} Transceiver with Timing Calibrated Reset-less Slicer and Bidirectional T-Coil for {GDDR7} Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {148--149}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830507}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830507}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RieYBLKKPCUJSJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YangJLSKYYKKJPA22, author = {Giyoung Yang and Hakchul Jung and Jinyoung Lim and Jaewoo Seo and Ingyum Kim and Jisu Yu and Hyeoungyu You and Jeongsoon Kong and Garoom Kim and Minjae Jeong and Chanhee Park and Sera An and Woojin Rim and Hayoung Kim and Dalhee Lee and Sanghoon Baek and Jonghoon Jung and Taejoong Song and Jongwook Kye}, title = {Standard Cell Design Optimization with Advanced {MOL} Technology in 3nm {GAA} Process}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {363--364}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830450}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830450}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YangJLSKYYKKJPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YunLCKLKLKHKHKK22, author = {Jungbin Yun and Seungjoon Lee and Seungwon Cha and Jihun Kim and Jeongho Lee and Hanseok Kim and Eungkyu Lee and Seonok Kim and Seunghan Hong and Hyungchae Kim and Jinsuk Huh and Sungchul Kim and Kazunori Kakehi and Jae{-}Ho Kim and June{-}Mo Koo and Eunsang Cho and Heegeun Jeong and Howoo Park and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.6 {\unicode{13211}} Small Pixel for High Resolution {CMOS} Image Sensor with Full Well Capacity of 10, 000e- by Dual Vertical Transfer Gate Technology}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {351--352}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830254}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830254}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YunLCKLKLKHKHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/JuLHL22, author = {Yeong{-}Joon Ju and Gun{-}Hee Lee and Jung{-}Ho Hong and Seong{-}Whan Lee}, title = {Complete Face Recovery {GAN:} Unsupervised Joint Face Rotation and De-Occlusion from a Single-View Image}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {1173--1183}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00124}, doi = {10.1109/WACV51458.2022.00124}, timestamp = {Thu, 17 Feb 2022 14:50:06 +0100}, biburl = {https://dblp.org/rec/conf/wacv/JuLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/siggrapha/2022, editor = {Soon Ki Jung and Jehee Lee and Adam W. Bargteil}, title = {{SIGGRAPH} Asia 2022 Conference Papers, {SA} 2022, Daegu, Republic of Korea, December 6-9, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550469}, doi = {10.1145/3550469}, isbn = {978-1-4503-9470-3}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-10283, author = {Jee{-}weon Jung and Hemlata Tak and Hye{-}jin Shim and Hee{-}Soo Heo and Bong{-}Jin Lee and Soo{-}Whan Chung and Hong{-}Goo Kang and Ha{-}Jin Yu and Nicholas W. D. Evans and Tomi Kinnunen}, title = {{SASV} Challenge 2022: {A} Spoofing Aware Speaker Verification Challenge Evaluation Plan}, journal = {CoRR}, volume = {abs/2201.10283}, year = {2022}, url = {https://arxiv.org/abs/2201.10283}, eprinttype = {arXiv}, eprint = {2201.10283}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-10283.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-09439, author = {Jianping Zeng and Jongouk Choi and Xinwei Fu and Ajay Paddayuru Shreepathi and Dongyoon Lee and Changwoo Min and Changhee Jung}, title = {Enabling Volatile Caches for Energy Harvesting Systems}, journal = {CoRR}, volume = {abs/2202.09439}, year = {2022}, url = {https://arxiv.org/abs/2202.09439}, eprinttype = {arXiv}, eprint = {2202.09439}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-09439.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-06612, author = {Hyungtae Lim and Suyong Yeon and Soo{-}Hyun Ryu and Yonghan Lee and Youngji Kim and Jaeseong Yun and Euigon Jung and Donghwan Lee and Hyun Myung}, title = {A Single Correspondence Is Enough: Robust Global Registration to Avoid Degeneracy in Urban Environments}, journal = {CoRR}, volume = {abs/2203.06612}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.06612}, doi = {10.48550/ARXIV.2203.06612}, eprinttype = {arXiv}, eprint = {2203.06612}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-06612.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09976, author = {Hye{-}jin Shim and Hemlata Tak and Xuechen Liu and Hee{-}Soo Heo and Jee{-}weon Jung and Joon Son Chung and Soo{-}Whan Chung and Ha{-}Jin Yu and Bong{-}Jin Lee and Massimiliano Todisco and H{\'{e}}ctor Delgado and Kong Aik Lee and Md. Sahidullah and Tomi Kinnunen and Nicholas W. D. Evans}, title = {Baseline Systems for the First Spoofing-Aware Speaker Verification Challenge: Score and Embedding Fusion}, journal = {CoRR}, volume = {abs/2204.09976}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09976}, doi = {10.48550/ARXIV.2204.09976}, eprinttype = {arXiv}, eprint = {2204.09976}, timestamp = {Tue, 07 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-13509, author = {Seongjin Shin and Sang{-}Woo Lee and Hwijeen Ahn and Sungdong Kim and HyoungSeok Kim and Boseop Kim and Kyunghyun Cho and Gichang Lee and Woo{-}Myoung Park and Jung{-}Woo Ha and Nako Sung}, title = {On the Effect of Pretraining Corpora on In-context Learning by a Large-scale Language Model}, journal = {CoRR}, volume = {abs/2204.13509}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.13509}, doi = {10.48550/ARXIV.2204.13509}, eprinttype = {arXiv}, eprint = {2204.13509}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-13509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-00922, author = {Jongmin Kim and Gwangho Lee and Sangpyo Kim and Gina Sohn and John Kim and Minsoo Rhu and Jung Ho Ahn}, title = {{ARK:} Fully Homomorphic Encryption Accelerator with Runtime Data Generation and Inter-Operation Key Reuse}, journal = {CoRR}, volume = {abs/2205.00922}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.00922}, doi = {10.48550/ARXIV.2205.00922}, eprinttype = {arXiv}, eprint = {2205.00922}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-00922.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01851, author = {Uichin Lee and Gyuwon Jung and Eun{-}Yeol Ma and Jinsan Kim and Heepyung Kim and Hyunsoo Lee and Jumabek Alikhanov and Youngtae Noh and Heeyoung Kim}, title = {Toward Data-Driven Digital Therapeutics Analytics: Literature Review and Research Directions}, journal = {CoRR}, volume = {abs/2205.01851}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01851}, doi = {10.48550/ARXIV.2205.01851}, eprinttype = {arXiv}, eprint = {2205.01851}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01851.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-08187, author = {Hoil Lee and Fadhel Ayed and Paul Jung and Juho Lee and Hongseok Yang and Fran{\c{c}}ois Caron}, title = {Deep neural networks with dependent weights: Gaussian Process mixture limit, heavy tails, sparsity and compressibility}, journal = {CoRR}, volume = {abs/2205.08187}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.08187}, doi = {10.48550/ARXIV.2205.08187}, eprinttype = {arXiv}, eprint = {2205.08187}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-08187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09393, author = {Yeon Seonwoo and Juhee Son and Jiho Jin and Sang{-}Woo Lee and Ji{-}Hoon Kim and Jung{-}Woo Ha and Alice Oh}, title = {Two-Step Question Retrieval for Open-Domain {QA}}, journal = {CoRR}, volume = {abs/2205.09393}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09393}, doi = {10.48550/ARXIV.2205.09393}, eprinttype = {arXiv}, eprint = {2205.09393}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03740, author = {Youngwook Kim and Jae{-}Myung Kim and Zeynep Akata and Jungwoo Lee}, title = {Large Loss Matters in Weakly Supervised Multi-Label Classification}, journal = {CoRR}, volume = {abs/2206.03740}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03740}, doi = {10.48550/ARXIV.2206.03740}, eprinttype = {arXiv}, eprint = {2206.03740}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-00436, author = {Jonghun Kwak and Jungyu Ahn and Jinho Lee and Sungwoo Park}, title = {Shai-am: {A} Machine Learning Platform for Investment Strategies}, journal = {CoRR}, volume = {abs/2207.00436}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.00436}, doi = {10.48550/ARXIV.2207.00436}, eprinttype = {arXiv}, eprint = {2207.00436}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-00436.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-00758, author = {Akari Asai and Shayne Longpre and Jungo Kasai and Chia{-}Hsuan Lee and Rui Zhang and Junjie Hu and Ikuya Yamada and Jonathan H. Clark and Eunsol Choi}, title = {{MIA} 2022 Shared Task: Evaluating Cross-lingual Open-Retrieval Question Answering for 16 Diverse Languages}, journal = {CoRR}, volume = {abs/2207.00758}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.00758}, doi = {10.48550/ARXIV.2207.00758}, eprinttype = {arXiv}, eprint = {2207.00758}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-00758.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-01187, author = {Jinho Lee and Sungwoo Park and Jungyu Ahn and Jonghun Kwak}, title = {{ETF} Portfolio Construction via Neural Network trained on Financial Statement Data}, journal = {CoRR}, volume = {abs/2207.01187}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.01187}, doi = {10.48550/ARXIV.2207.01187}, eprinttype = {arXiv}, eprint = {2207.01187}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-01187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-06904, author = {Seong{-}A. Park and Hyung{-}Chul Lee and Chul{-}Woo Jung and Hyun{-}Lim Yang}, title = {Attention mechanisms for physiological signal deep learning: which attention should we take?}, journal = {CoRR}, volume = {abs/2207.06904}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.06904}, doi = {10.48550/ARXIV.2207.06904}, eprinttype = {arXiv}, eprint = {2207.06904}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-06904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-12232, author = {Daegyu Lee and Chanyoung Jung and Andrea Finazzi and Hyunki Seong and David Hyunchul Shim}, title = {Resilient Navigation and Path Planning System for High-speed Autonomous Race Car}, journal = {CoRR}, volume = {abs/2207.12232}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.12232}, doi = {10.48550/ARXIV.2207.12232}, eprinttype = {arXiv}, eprint = {2207.12232}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-12232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-07552, author = {Juhyung Park and Dongwon Park and Hyeong{-}Geol Shin and Eun{-}Jung Choi and Hongjun An and Minjun Kim and Dongmyung Shin and Se Young Chun and Jongho Lee}, title = {Coil2Coil: Self-supervised {MR} image denoising using phased-array coil images}, journal = {CoRR}, volume = {abs/2208.07552}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.07552}, doi = {10.48550/ARXIV.2208.07552}, eprinttype = {arXiv}, eprint = {2208.07552}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-07552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-08480, author = {Yassir Jedra and Junghyun Lee and Alexandre Prouti{\`{e}}re and Se{-}Young Yun}, title = {Nearly Optimal Latent State Decoding in Block MDPs}, journal = {CoRR}, volume = {abs/2208.08480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.08480}, doi = {10.48550/ARXIV.2208.08480}, eprinttype = {arXiv}, eprint = {2208.08480}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-08480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-15285, author = {Sugyeong Eo and Chanjun Park and Hyeonseok Moon and Jaehyung Seo and Gyeongmin Kim and Jungseob Lee and Heuiseok Lim}, title = {{QUAK:} {A} Synthetic Quality Estimation Dataset for Korean-English Neural Machine Translation}, journal = {CoRR}, volume = {abs/2209.15285}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.15285}, doi = {10.48550/ARXIV.2209.15285}, eprinttype = {arXiv}, eprint = {2209.15285}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-15285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14392, author = {Yoojin Choi and Mostafa El{-}Khamy and Jungwon Lee}, title = {Zero-Shot Learning of a Conditional Generative Adversarial Network for Data-Free Network Quantization}, journal = {CoRR}, volume = {abs/2210.14392}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14392}, doi = {10.48550/ARXIV.2210.14392}, eprinttype = {arXiv}, eprint = {2210.14392}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14392.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14682, author = {Jee{-}weon Jung and Hee{-}Soo Heo and Bong{-}Jin Lee and Jaesung Huh and Andrew Brown and Youngki Kwon and Shinji Watanabe and Joon Son Chung}, title = {In search of strong embedding extractors for speaker diarisation}, journal = {CoRR}, volume = {abs/2210.14682}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14682}, doi = {10.48550/ARXIV.2210.14682}, eprinttype = {arXiv}, eprint = {2210.14682}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14682.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02247, author = {Junghyun Koo and Marco A. Mart{\'{\i}}nez Ram{\'{\i}}rez and Wei{-}Hsiang Liao and Stefan Uhlich and Kyogu Lee and Yuki Mitsufuji}, title = {Music Mixing Style Transfer: {A} Contrastive Learning Approach to Disentangle Audio Effects}, journal = {CoRR}, volume = {abs/2211.02247}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02247}, doi = {10.48550/ARXIV.2211.02247}, eprinttype = {arXiv}, eprint = {2211.02247}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02247.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-04610, author = {Junhyeok Lee and Seungu Han and Hyunjae Cho and Wonbin Jung}, title = {PhaseAug: {A} Differentiable Augmentation for Speech Synthesis to Simulate One-to-Many Mapping}, journal = {CoRR}, volume = {abs/2211.04610}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.04610}, doi = {10.48550/ARXIV.2211.04610}, eprinttype = {arXiv}, eprint = {2211.04610}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-04610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-04963, author = {Yew Lee Tan and Adams Wai{-}Kin Kong and Jung{-}Jae Kim}, title = {Pure Transformer with Integrated Experts for Scene Text Recognition}, journal = {CoRR}, volume = {abs/2211.04963}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.04963}, doi = {10.48550/ARXIV.2211.04963}, eprinttype = {arXiv}, eprint = {2211.04963}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-04963.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05036, author = {Yew Lee Tan and Ernest Yu Kai Chew and Adams Wai{-}Kin Kong and Jung{-}Jae Kim and Joo Hwee Lim}, title = {Portmanteauing Features for Scene Text Recognition}, journal = {CoRR}, volume = {abs/2211.05036}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05036}, doi = {10.48550/ARXIV.2211.05036}, eprinttype = {arXiv}, eprint = {2211.05036}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05036.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10504, author = {Sang{-}Woo Lee and Sungdong Kim and Donghyeon Ko and Donghoon Ham and Youngki Hong and Shin Ah Oh and Hyunhoon Jung and Wangkyo Jung and Kyunghyun Cho and Dong{-}Hyun Kwak and Hyungsuk Noh and Woo{-}Myoung Park}, title = {Can Current Task-oriented Dialogue Models Automate Real-world Scenarios in the Wild?}, journal = {CoRR}, volume = {abs/2212.10504}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10504}, doi = {10.48550/ARXIV.2212.10504}, eprinttype = {arXiv}, eprint = {2212.10504}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BasherKLJ21, author = {Abol Basher and Byeong C. Kim and Kun Ho Lee and Ho Yub Jung}, title = {Volumetric Feature-Based Alzheimer's Disease Diagnosis From sMRI Data Using a Convolutional Neural Network and a Deep Neural Network}, journal = {{IEEE} Access}, volume = {9}, pages = {29870--29882}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3059658}, doi = {10.1109/ACCESS.2021.3059658}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BasherKLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungL21, author = {Seul Jung and Joon Woo Lee}, title = {Similarity Analysis Between a Nonmodel-Based Disturbance Observer and a Time-Delayed Controller for Robot Manipulators in Cartesian Space}, journal = {{IEEE} Access}, volume = {9}, pages = {122299--122307}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3109568}, doi = {10.1109/ACCESS.2021.3109568}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungLKKKLMCA21, author = {Wonkyung Jung and Eojin Lee and Sangpyo Kim and Jongmin Kim and Namhoon Kim and Keewoo Lee and Chohong Min and Jung Hee Cheon and Jung Ho Ahn}, title = {Accelerating Fully Homomorphic Encryption Through Architecture-Centric Analysis and Optimization}, journal = {{IEEE} Access}, volume = {9}, pages = {98772--98789}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3096189}, doi = {10.1109/ACCESS.2021.3096189}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungLKKKLMCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCLLSPKS21, author = {Dayoung Kim and Tae{-}Hyeon Kim and Yun{-}Yeong Choi and Geun{-}Ho Lee and Jungwon Lee and Wookyung Sun and Byung{-}Gook Park and Hyungjin Kim and Hyungsoon Shin}, title = {Selected Bit-Line Current {PUF:} Implementation of Hardware Security Primitive Based on a Memristor Crossbar Array}, journal = {{IEEE} Access}, volume = {9}, pages = {120901--120910}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108534}, doi = {10.1109/ACCESS.2021.3108534}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKCLLSPKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLPHL21, author = {Dongok Kim and Jungjun Kim and Heesun Lim and Jihwan Park and Junseo Han and Geun{-}Ho Lee}, title = {A Study on Accurate Initial Rotor Position Offset Detection for a Permanent Magnet Synchronous Motor Under a No-Load Condition}, journal = {{IEEE} Access}, volume = {9}, pages = {73662--73670}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3078821}, doi = {10.1109/ACCESS.2021.3078821}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKLPHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonYPPLLJHK21, author = {Soon{-}Jae Kweon and Woojin Yun and Hyunwoo Park and Jeong{-}Ho Park and Jung Hoon Lee and Jin Lee and Minkyu Je and Sohmyung Ha and Choul{-}Young Kim}, title = {A Precise Lesion Localization System Using a Magnetometer With Real-Time Baseline Cancellation for Laparoscopic Surgery}, journal = {{IEEE} Access}, volume = {9}, pages = {131648--131657}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113566}, doi = {10.1109/ACCESS.2021.3113566}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KweonYPPLLJHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeEJYR21, author = {Kyungeun Lee and Moonjung Eo and Euna Jung and Yoonjin Yoon and Wonjong Rhee}, title = {Short-Term Traffic Prediction With Deep Neural Networks: {A} Survey}, journal = {{IEEE} Access}, volume = {9}, pages = {54739--54756}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3071174}, doi = {10.1109/ACCESS.2021.3071174}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeEJYR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeEKPOY21, author = {Hosu Lee and Amre Eizad and Yeongmi Kim and Yeongchae Park and Min{-}Kyun Oh and Jungwon Yoon}, title = {Use of Vibrotactile Bracelets to Study Effects of Arm Swing Variation on Overground Gait}, journal = {{IEEE} Access}, volume = {9}, pages = {90896--90907}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3089715}, doi = {10.1109/ACCESS.2021.3089715}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeEKPOY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSJ21a, author = {Youn Joo Lee and Jae Kyu Suhr and Ho Gi Jung}, title = {Application Requirement-Driven Automatic {ISP} Parameter Tuning for a Rear View Monitoring Camera}, journal = {{IEEE} Access}, volume = {9}, pages = {82535--82549}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3086984}, doi = {10.1109/ACCESS.2021.3086984}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSJ21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSSK21, author = {Jungwon Lee and Hyoju Seo and Hyelin Seok and Yongtae Kim}, title = {A Novel Approximate Adder Design Using Error Reduced Carry Prediction and Constant Truncation}, journal = {{IEEE} Access}, volume = {9}, pages = {119939--119953}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108443}, doi = {10.1109/ACCESS.2021.3108443}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeSSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeYLHAC21, author = {Jung{-}Bok Lee and Tae{-}Hee Yoo and Eo{-}Hyung Lee and Byeong{-}Ha Hwang and Sung{-}Won Ahn and Choong{-}Hee Cho}, title = {High-Performance Software Load Balancer for Cloud-Native Architecture}, journal = {{IEEE} Access}, volume = {9}, pages = {123704--123716}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108801}, doi = {10.1109/ACCESS.2021.3108801}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeYLHAC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkYL21, author = {Ye{-}Seul Park and Dong{-}Yeon Yoo and Jung{-}Won Lee}, title = {Programmable Motion-Fault Detection for a Collaborative Robot}, journal = {{IEEE} Access}, volume = {9}, pages = {133123--133142}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3114505}, doi = {10.1109/ACCESS.2021.3114505}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RehmanHAAOKPYHY21, author = {Muhammad Riaz ur Rehman and Arash Hejazi and Imran Ali and Muhammad Asif and SeongJin Oh and Pervesh Kumar and YoungGun Pu and Sang{-}Sun Yoo and Keum{-}Cheol Hwang and Youngoo Yang and Yeonjae Jung and Hyungki Huh and Seokkee Kim and Joon{-}Mo Yoo and Kang{-}Yoon Lee}, title = {An Ultra-Low-Power 2.4 GHz All-Digital Phase-Locked Loop With Injection-Locked Frequency Multiplier and Continuous Frequency Tracking}, journal = {{IEEE} Access}, volume = {9}, pages = {152984--152992}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3123167}, doi = {10.1109/ACCESS.2021.3123167}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RehmanHAAOKPYHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongANLJ21, author = {Dae{-}Il Song and Junghwan Ahn and Young{-}Joon Nam and Ju Lee and Hyungkwan Jang}, title = {Open-Circuit Core Loss of Large Turbine Generators Considering the Influence of Key Bar Design}, journal = {{IEEE} Access}, volume = {9}, pages = {70662--70670}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3078461}, doi = {10.1109/ACCESS.2021.3078461}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongANLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ThingujamDKL21, author = {Terirama Thingujam and Quan Dai and Eunjin Kim and Jung{-}Hee Lee}, title = {A Simulation Study on the Effects of Interface Charges and Geometry on Vertical {GAA} GaN Nanowire {MOSFET} for Low-Power Application}, journal = {{IEEE} Access}, volume = {9}, pages = {101447--101453}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3097367}, doi = {10.1109/ACCESS.2021.3097367}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ThingujamDKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonPLKCJ21, author = {Seunghyun Yoon and Kunwoo Park and Minwoo Lee and Taegyun Kim and Meeyoung Cha and Kyomin Jung}, title = {Learning to Detect Incongruence in News Headline and Body Text via a Graph Neural Network}, journal = {{IEEE} Access}, volume = {9}, pages = {36195--36206}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3062029}, doi = {10.1109/ACCESS.2021.3062029}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonPLKCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/ShensonJHWWCE21, author = {Jared A. Shenson and Ivana Jankovic and Hyo Jung Hong and Benjamin Weia and Lee White and Jonathan H. Chen and Matthew Eisenberg}, title = {Engaging Housestaff as Informatics Collaborators: Educational and Operational Opportunities}, journal = {Appl. Clin. Inform.}, volume = {12}, number = {5}, pages = {1150--1156}, year = {2021}, url = {https://doi.org/10.1055/s-0041-1740258}, doi = {10.1055/S-0041-1740258}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/ShensonJHWWCE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/JeonLKRJLB21, author = {Kahyun Jeon and Ghang Lee and Seoungwoo Kang and Hyunsung Roh and Jeaeun Jung and Kyungha Lee and Mark Baldwin}, title = {A relational framework for smart information delivery manual {(IDM)} specifications}, journal = {Adv. Eng. Informatics}, volume = {49}, pages = {101319}, year = {2021}, url = {https://doi.org/10.1016/j.aei.2021.101319}, doi = {10.1016/J.AEI.2021.101319}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/JeonLKRJLB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodatamining/LeeL21a, author = {Jung Wun Lee and Seungyeoun Lee}, title = {A comparative study on the unified model based multifactor dimensionality reduction methods for identifying gene-gene interactions associated with the survival phenotype}, journal = {BioData Min.}, volume = {14}, number = {1}, pages = {17}, year = {2021}, url = {https://doi.org/10.1186/s13040-021-00248-9}, doi = {10.1186/S13040-021-00248-9}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodatamining/LeeL21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/JungCBLHYCHM21, author = {Sook Jung and Chun{-}Huai Cheng and Katheryn Buble and Taein Lee and Jodi L. Humann and Jing Yu and James Crabb and Heidi Hough and Dorrie Main}, title = {Tripal MegaSearch: a tool for interactive and customizable query and download of big data}, journal = {Database J. Biol. Databases Curation}, volume = {2021}, year = {2021}, url = {https://doi.org/10.1093/database/baab023}, doi = {10.1093/DATABASE/BAAB023}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/JungCBLHYCHM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bjet/JungL21, author = {Sung{-}Eun Jung and Kyunghwa Lee}, title = {A young child's dialogic appropriation of programmable robots}, journal = {Br. J. Educ. Technol.}, volume = {52}, number = {1}, pages = {394--410}, year = {2021}, url = {https://doi.org/10.1111/bjet.13012}, doi = {10.1111/BJET.13012}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bjet/JungL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LiLL21, author = {Jiefu Li and Jung{-}Youn Lee and Li Liao}, title = {A new algorithm to train hidden Markov models for biological sequences with partial labels}, journal = {{BMC} Bioinform.}, volume = {22}, number = {1}, pages = {162}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04080-0}, doi = {10.1186/S12859-021-04080-0}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LiLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/KimLCA21, author = {Hweesoo Kim and Sunjung Lee and Jaewan Choi and Jung Ho Ahn}, title = {Row-Streaming Dataflow Using a Chaining Buffer and Systolic Array+ Structure}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {1}, pages = {34--37}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3054371}, doi = {10.1109/LCA.2021.3054371}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/KimLCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/KimPLRA21, author = {Byeongho Kim and Jaehyun Park and Eojin Lee and Minsoo Rhu and Jung Ho Ahn}, title = {TRiM: Tensor Reduction in Memory}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {1}, pages = {5--8}, year = {2021}, url = {https://doi.org/10.1109/LCA.2020.3042805}, doi = {10.1109/LCA.2020.3042805}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/KimPLRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MangalHHJLYHC21, author = {Utkarsh Mangal and Arum Han and Kim Huisoo and Yun{-}Hoa Jung and Kee{-}Joon Lee and Hyung{-}Seog Yu and Jaejoon Hwang and Sunghwan Choi}, title = {Tomographic similarity scan with a computed modified absolute mandibular midsagittal plane for precise and objective localization of mandibular asymmetry}, journal = {Comput. Biol. Medicine}, volume = {134}, pages = {104465}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104465}, doi = {10.1016/J.COMPBIOMED.2021.104465}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MangalHHJLYHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/NaderiBSHVTCLLX21, author = {Amir Naderi and Haisong Bu and Jingcheng Su and Mao{-}Hsiang Huang and Khuong Vo and Ramses Seferino Trigo Torres and Jung{-}Chih Chiao and Juhyun Lee and Michael P. H. Lau and Xiaolei Xu and Hung Cao}, title = {Deep learning-based framework for cardiac function assessment in embryonic zebrafish from heart beating videos}, journal = {Comput. Biol. Medicine}, volume = {135}, pages = {104565}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104565}, doi = {10.1016/J.COMPBIOMED.2021.104565}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/NaderiBSHVTCLLX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ShakerALJN21, author = {Bilal Shaker and Sajjad Ahmad and Jingyu Lee and Chanjin Jung and Dokyun Na}, title = {\emph{In silico} methods and tools for drug discovery}, journal = {Comput. Biol. Medicine}, volume = {137}, pages = {104851}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104851}, doi = {10.1016/J.COMPBIOMED.2021.104851}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ShakerALJN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LeeBE21, author = {Jungah Lee and Laura Frances Bright and Matthew S. Eastin}, title = {Fear of Missing Out and Consumer Happiness on Instagram: {A} Serial Mediation of Social Media Influencer-Related Activities}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {24}, number = {11}, pages = {762--766}, year = {2021}, url = {https://doi.org/10.1089/cyber.2020.0431}, doi = {10.1089/CYBER.2020.0431}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbsn/LeeBE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/LeeCMLKKA21, author = {Juwon Lee and Hyungtae Cho and Il Moon and Igor Lubomirsky and Valery Kaplan and Junghwan Kim and Yuchan Ahn}, title = {Techno-economic assessment of carbonate melt flue gas desulfurization process}, journal = {Comput. Chem. Eng.}, volume = {146}, pages = {107227}, year = {2021}, url = {https://doi.org/10.1016/j.compchemeng.2021.107227}, doi = {10.1016/J.COMPCHEMENG.2021.107227}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/LeeCMLKKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/KimKNPL21, author = {Hyomin Kim and Jungeon Kim and Hyeonseo Nam and Jaesik Park and Seungyong Lee}, title = {Spatiotemporal Texture Reconstruction for Dynamic Objects Using a Single {RGB-D} Camera}, journal = {Comput. Graph. Forum}, volume = {40}, number = {2}, pages = {523--535}, year = {2021}, url = {https://doi.org/10.1111/cgf.142652}, doi = {10.1111/CGF.142652}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/KimKNPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ShinJHL21, author = {Ji Sun Shin and Minjae Jo and Jung Yeon Hwang and Jaehwan Lee}, title = {A Verifier-Based Password-Authenticated Key Exchange Using Tamper-Proof Hardware}, journal = {Comput. J.}, volume = {64}, number = {8}, pages = {1293--1302}, year = {2021}, url = {https://doi.org/10.1093/comjnl/bxaa178}, doi = {10.1093/COMJNL/BXAA178}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ShinJHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ShinL21, author = {Dong Ah Shin and Jung Chan Lee}, title = {Mathematical model of modified hybrid pump mechanism for cardiopulmonary resuscitation}, journal = {Comput. Methods Programs Biomed.}, volume = {206}, pages = {106106}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106106}, doi = {10.1016/J.CMPB.2021.106106}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ShinL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/LeeKAHCS21, author = {Hongkyu Lee and Jeehyeong Kim and Seyoung Ahn and Rasheed Hussain and Sunghyun Cho and Junggab Son}, title = {Digestive neural networks: {A} novel defense strategy against inference attacks in federated learning}, journal = {Comput. Secur.}, volume = {109}, pages = {102378}, year = {2021}, url = {https://doi.org/10.1016/j.cose.2021.102378}, doi = {10.1016/J.COSE.2021.102378}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/LeeKAHCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/MandiaMCLB21, author = {Anup Kumar Mandia and Bhaskaran Muralidharan and Jung{-}Hae Choi and Seung{-}Cheol Lee and Satadeep Bhattacharjee}, title = {{AMMCR:} Ab initio model for mobility and conductivity calculation by using Rode Algorithm}, journal = {Comput. Phys. Commun.}, volume = {259}, pages = {107697}, year = {2021}, url = {https://doi.org/10.1016/j.cpc.2020.107697}, doi = {10.1016/J.CPC.2020.107697}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/MandiaMCLB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/JungLLJL21, author = {Jae{-}Min Jung and Heung{-}Sik Lee and Jong{-}Ho Lee and Sunghoon Jung and Wang{-}Hee Lee}, title = {Development of a predictive model for soil temperature and its application to species distribution modeling of ant species in South Korea}, journal = {Ecol. Informatics}, volume = {61}, pages = {101220}, year = {2021}, url = {https://doi.org/10.1016/j.ecoinf.2021.101220}, doi = {10.1016/J.ECOINF.2021.101220}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/JungLLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimSLL21, author = {Suhyeon Kim and Wonho Sohn and Dongcheol Lim and Junghye Lee}, title = {A multi-stage data mining approach for liquid bulk cargo volume analysis based on bill of lading data}, journal = {Expert Syst. Appl.}, volume = {183}, pages = {115304}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115304}, doi = {10.1016/J.ESWA.2021.115304}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeHTL21, author = {Yen{-}Hsien Lee and Paul Jen{-}Hwa Hu and Wan{-}Jung Tsao and Liang Li}, title = {Use of a domain-specific ontology to support automated document categorization at the concept level: Method development and evaluation}, journal = {Expert Syst. Appl.}, volume = {174}, pages = {114681}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.114681}, doi = {10.1016/J.ESWA.2021.114681}, timestamp = {Fri, 28 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeHTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/MniszewskiBFNSA21, author = {Susan M. Mniszewski and James F. Belak and Jean{-}Luc Fattebert and Christian F. A. Negre and Stuart R. Slattery and Adetokunbo A. Adedoyin and Robert F. Bird and Choong{-}Seock Chang and Guangye Chen and St{\'{e}}phane Ethier and Shane Fogerty and Salman Habib and Christoph Junghans and Damien Lebrun{-}Grandi{\'{e}} and Jamaludin Mohd{-}Yusof and Stan G. Moore and Daniel Osei{-}Kuffuor and Steven J. Plimpton and Adrian Pope and Samuel Temple Reeve and Lee F. Ricketson and Aaron Scheinberg and Amil Y. Sharma and Michael E. Wall}, title = {Enabling particle applications for exascale computing platforms}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {35}, number = {6}, pages = {572--597}, year = {2021}, url = {https://doi.org/10.1177/10943420211022829}, doi = {10.1177/10943420211022829}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/MniszewskiBFNSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijics/ChengCSHL21, author = {Ting{-}Fang Cheng and Ying{-}Chin Chen and Zhu{-}Dao Song and Ngoc{-}Tu Huynh and Jung{-}San Lee}, title = {Secure session between an IoT device and a cloud server based on elliptic curve cryptosystem}, journal = {Int. J. Inf. Comput. Secur.}, volume = {15}, number = {1}, pages = {67--87}, year = {2021}, url = {https://doi.org/10.1504/IJICS.2021.115348}, doi = {10.1504/IJICS.2021.115348}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijics/ChengCSHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/KimZL21, author = {Dongwook Kim and Hangjung Zo and Junghwan Lee}, title = {Developing a new mobile network ownership model using a risk allocation framework}, journal = {Int. J. Mob. Commun.}, volume = {19}, number = {5}, pages = {658--682}, year = {2021}, url = {https://doi.org/10.1504/IJMC.2021.117386}, doi = {10.1504/IJMC.2021.117386}, timestamp = {Fri, 01 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmc/KimZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChunSLHJHKYPCCP21, author = {June Young Chun and Kyoung{-}Ho Song and Dong{-}eun Lee and Joo{-}Hee Hwang and Hyun Gul Jung and Eunjeong Heo and Hyung{-}sook Kim and Seonghae Yoon and Jeong Su Park and Pyoeng Gyun Choe and Jae{-}Yong Chung and Wan Beom Park and Ji Hwan Bang and Hee Hwang and Kyoung Un Park and Sang Won Park and Nam Joong Kim and Myoung{-}don Oh and Eu Suk Kim and Hong Bin Kim}, title = {Impact of a computerised clinical decision support system on vancomycin loading and the risk of nephrotoxicity}, journal = {Int. J. Medical Informatics}, volume = {149}, pages = {104403}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104403}, doi = {10.1016/J.IJMEDINF.2021.104403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChunSLHJHKYPCCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/OConnorZHL21, author = {Siobh{\'{a}}n O'Connor and Mengying Zhang and Michelle Honey and Jung Jae Lee}, title = {Digital professionalism on social media: {A} narrative review of the medical, nursing, and allied health education literature}, journal = {Int. J. Medical Informatics}, volume = {153}, pages = {104514}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104514}, doi = {10.1016/J.IJMEDINF.2021.104514}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/OConnorZHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/KonstantinouNLD21, author = {Dimitris Konstantinou and Chrysostomos Nicopoulos and Junghee Lee and Giorgos Dimitrakopoulos}, title = {Multicast-enabled network-on-chip routers leveraging partitioned allocation and switching}, journal = {Integr.}, volume = {77}, pages = {104--112}, year = {2021}, url = {https://doi.org/10.1016/j.vlsi.2020.10.008}, doi = {10.1016/J.VLSI.2020.10.008}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/KonstantinouNLD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SeshadriRSCACL21, author = {Sreenivas Sudarshan Seshadri and David Rodriguez and Mukunda Subedi and Kim{-}Kwang Raymond Choo and Sara Ahmed and Qian Chen and Junghee Lee}, title = {IoTCop: {A} Blockchain-Based Monitoring Framework for Detection and Isolation of Malicious Devices in Internet-of-Things Systems}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {5}, pages = {3346--3359}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3022033}, doi = {10.1109/JIOT.2020.3022033}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/SeshadriRSCACL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SongSLP21, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee and H. Vincent Poor}, title = {Sum-Throughput Maximization in NOMA-Based {WPCN:} {A} Cluster-Specific Beamforming Approach}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {13}, pages = {10543--10556}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2021.3049956}, doi = {10.1109/JIOT.2021.3049956}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SongSLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamc/HongLJL21, author = {Eunhye Hong and Eunjung Lee and Younghoon Jung and Mikyoung Lim}, title = {Numerical solution to the interface problem in a general domain using Moser's deformation method}, journal = {J. Appl. Math. Comput.}, volume = {65}, number = {1-2}, pages = {379--401}, year = {2021}, url = {https://doi.org/10.1007/s12190-020-01396-7}, doi = {10.1007/S12190-020-01396-7}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamc/HongLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KimTLSBSERLORLL21, author = {Jae Hyun Kim and Casey N. Ta and Cong Liu and Cynthia Sung and Alex M. Butler and Latoya A. Stewart and Lyudmila Ena and James R. Rogers and Junghwan Lee and Anna Ostropolets and Patrick B. Ryan and Hao Liu and Shing M. Lee and Mitchell S. V. Elkind and Chunhua Weng}, title = {Towards clinical data-driven eligibility criteria optimization for interventional {COVID-19} clinical trials}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {1}, pages = {14--22}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa276}, doi = {10.1093/JAMIA/OCAA276}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/KimTLSBSERLORLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/RogersLZCHW21, author = {James R. Rogers and Junghwan Lee and Ziheng Zhou and Ying Kuen Cheung and George Hripcsak and Chunhua Weng}, title = {Contemporary use of real-world data for clinical trial conduct in the United States: a scoping review}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {1}, pages = {144--154}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocaa224}, doi = {10.1093/JAMIA/OCAA224}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/RogersLZCHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/ByrdKYLO21, author = {Thomas F. Byrd IV and Jane S. Kim and Chen Yeh and Jungwha Lee and Kevin J. O'Leary}, title = {Technology acceptance and critical mass: Development of a consolidated model to explain the actual use of mobile health care communication tools}, journal = {J. Biomed. Informatics}, volume = {117}, pages = {103749}, year = {2021}, url = {https://doi.org/10.1016/j.jbi.2021.103749}, doi = {10.1016/J.JBI.2021.103749}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/ByrdKYLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LeeKSLPCKSY21, author = {Amy Junghyun Lee and Kyung Won Kim and Youngbin Shin and Jiwoo Lee and Hyo Jung Park and Young Chul Cho and Yousun Ko and Yu Sub Sung and Byung Sun Yoon}, title = {CDISC-compliant clinical trial imaging management system with automatic verification and data Transformation: Focusing on tumor response assessment data in clinical trials}, journal = {J. Biomed. Informatics}, volume = {117}, pages = {103782}, year = {2021}, url = {https://doi.org/10.1016/j.jbi.2021.103782}, doi = {10.1016/J.JBI.2021.103782}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LeeKSLPCKSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/LeeFGGJKMKCWDNN21, author = {Kuo{-}Hao Lee and Andrew D. Fant and Jiqing Guo and Andy Guan and Joslyn Jung and Mary Kudaibergenova and Williams E. Miranda and Therese Ku and Jianjing Cao and Soren Wacker and Henry J. Duff and Amy Hauck Newman and Sergei Yu Noskov and Lei Shi}, title = {Toward Reducing hERG Affinities for {DAT} Inhibitors with a Combined Machine Learning and Molecular Modeling Approach}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {9}, pages = {4266--4279}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.1c00856}, doi = {10.1021/ACS.JCIM.1C00856}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/LeeFGGJKMKCWDNN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/YangL21, author = {Jung{-}Min Yang and Dong{-}Eun Lee}, title = {Robust corrective control against a class of actuator attacks in input/state asynchronous sequential machines}, journal = {J. Frankl. Inst.}, volume = {358}, number = {2}, pages = {1403--1421}, year = {2021}, url = {https://doi.org/10.1016/j.jfranklin.2020.12.004}, doi = {10.1016/J.JFRANKLIN.2020.12.004}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/YangL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeelKKBKCSLSKAK21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Seung{-}Chul Shin and Myeonggyun Kye and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Jesuk Lee}, title = {A 1.2-Mpixel Indirect Time-of-Flight Image Sensor With 4-Tap 3.5-{\(\mu\)}m Pixels for Peak Current Mitigation and Multi-User Interference Cancellation}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {11}, pages = {3209--3219}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3112405}, doi = {10.1109/JSSC.2021.3112405}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KeelKKBKCSLSKAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKCJKL21, author = {Keun{-}Mok Kim and Subin Kim and Kyung{-}Sik Choi and Hyunki Jung and Jinho Ko and Sang{-}Gug Lee}, title = {A Sub-nW Single-Supply 32-kHz Sub-Harmonic Pulse Injection Crystal Oscillator}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {6}, pages = {1849--1858}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3016021}, doi = {10.1109/JSSC.2020.3016021}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimKCJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSJCYKOJKL21, author = {Keun{-}Mok Kim and Hyun{-}Gi Seok and Oh{-}Yong Jung and Kyung{-}Sik Choi and Byeonghun Yun and Subin Kim and Wonkab Oh and Eui{-}Rim Jeong and Jinho Ko and Sang{-}Gug Lee}, title = {A -123-dBm Sensitivity Split-Channel {BFSK} Reconfigurable Data/Wake-Up Receiver for Low-Power Wide-Area Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2656--2667}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3063134}, doi = {10.1109/JSSC.2021.3063134}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSJCYKOJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NaLLCLYSKKJJSCL21, author = {Dae{-}Hoon Na and Jang{-}Woo Lee and Seon{-}Kyoo Lee and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Anil Kavala and Tongsung Kim and Dong{-}Su Jang and Youngmin Jo and Ji{-}Yeon Shin and Byung{-}Kwan Chun and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jinyub Lee and Jai Hyuk Song}, title = {A 1.8-Gb/s/Pin 16-Tb {NAND} Flash Memory Multi-Chip Package With F-Chip for High-Performance and High-Capacity Storage}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {4}, pages = {1129--1140}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3052492}, doi = {10.1109/JSSC.2021.3052492}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NaLLCLYSKKJJSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/DobrowolskiKKL21, author = {Jan Dobrowolski and Byunghan Kim and Alexei Kolesnikov and Junguk Lee}, title = {The Relativized Lascar Groups, Type-Amalgamation, and algebraicity}, journal = {J. Symb. Log.}, volume = {86}, number = {2}, pages = {531--557}, year = {2021}, url = {https://doi.org/10.1017/jsl.2021.31}, doi = {10.1017/JSL.2021.31}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/DobrowolskiKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jtaer/LeeJLKP21, author = {Jungwon Lee and Okkyung Jung and Yunhye Lee and Ohsung Kim and Cheol Park}, title = {A Comparison and Interpretation of Machine Learning Algorithm for the Prediction of Online Purchase Conversion}, journal = {J. Theor. Appl. Electron. Commer. Res.}, volume = {16}, number = {5}, pages = {1472--1491}, year = {2021}, url = {https://doi.org/10.3390/jtaer16050083}, doi = {10.3390/JTAER16050083}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jtaer/LeeJLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/FiazZMLJ21, author = {Mustansar Fiaz and Muhammad Zaigham Zaheer and Arif Mahmood and Seung{-}Ik Lee and Soon Ki Jung}, title = {4G-VOS: Video Object Segmentation using guided context embedding}, journal = {Knowl. Based Syst.}, volume = {231}, pages = {107401}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.107401}, doi = {10.1016/J.KNOSYS.2021.107401}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/FiazZMLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ZhongLWRKKBNBTP21, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep metric learning-based image retrieval system for chest radiograph and its clinical applications in {COVID-19}}, journal = {Medical Image Anal.}, volume = {70}, pages = {101993}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.101993}, doi = {10.1016/J.MEDIA.2021.101993}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/ZhongLWRKKBNBTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/JwoLL21, author = {Jung{-}Sing Jwo and Ching{-}Sheng Lin and Cheng{-}Hsiung Lee}, title = {An Interactive Dashboard Using a Virtual Assistant for Visualizing Smart Manufacturing}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {5578239:1--5578239:9}, year = {2021}, url = {https://doi.org/10.1155/2021/5578239}, doi = {10.1155/2021/5578239}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/JwoLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/JwoLLW21, author = {Jung{-}Sing Jwo and Ching{-}Sheng Lin and Cheng{-}Hsiung Lee and Chenhao Wang}, title = {A Lightweight Application for Reading Digital Measurement and Inputting Condition Assessment in Manufacturing Industry}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {5555833:1--5555833:10}, year = {2021}, url = {https://doi.org/10.1155/2021/5555833}, doi = {10.1155/2021/5555833}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/JwoLLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/LeeL21, author = {Jee Young Lee and Jungwoo Lee}, title = {Current Research Trends in IoT Security: {A} Systematic Mapping Study}, journal = {Mob. Inf. Syst.}, volume = {2021}, pages = {8847099:1--8847099:25}, year = {2021}, url = {https://doi.org/10.1155/2021/8847099}, doi = {10.1155/2021/8847099}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mis/LeeL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/misq/HahnL21, author = {Jungpil Hahn and Gwanhoo Lee}, title = {The Complex Effects of Cross-Domain Knowledge on {IS} Development: {A} Simulation-Based Theory Development}, journal = {{MIS} Q.}, volume = {45}, number = {4}, pages = {2023--2054}, year = {2021}, url = {https://doi.org/10.25300/misq/2022/16292}, doi = {10.25300/MISQ/2022/16292}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/misq/HahnL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JungOLA21, author = {Juho Jung and Ryumduk Oh and Gwang Lee and Junho Ahn}, title = {Real-time unusual user event detection algorithm fusing vision, audio, activity, and dust patterns}, journal = {Multim. Tools Appl.}, volume = {80}, number = {28-29}, pages = {35773--35788}, year = {2021}, url = {https://doi.org/10.1007/s11042-020-09149-1}, doi = {10.1007/S11042-020-09149-1}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/JungOLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CarbonDGUHMBCDH21, author = {Seth Carbon and Eric Douglass and Benjamin M. Good and Deepak R. Unni and Nomi L. Harris and Christopher J. Mungall and Siddartha Basu and Rex L. Chisholm and Robert J. Dodson and Eric Hartline and Petra Fey and Paul D. Thomas and Laurent{-}Philippe Albou and Dustin Ebert and Michael J. Kesling and Huaiyu Mi and Anushya Muruganujan and Xiaosong Huang and Tremayne Mushayahama and Sandra A. LaBonte and Deborah A. Siegele and Giulia Antonazzo and Helen Attrill and Nick H. Brown and Phani V. Garapati and Steven J. Marygold and Vitor Trovisco and Gilberto dos Santos and Kathleen Falls and Christopher J. Tabone and Pinglei Zhou and Joshua L. Goodman and Victor B. Strelets and Jim Thurmond and Penelope Garmiri and Rizwan Ishtiaq and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Marcio Luis Acencio and Martin Kuiper and Astrid L{\ae}greid and Colin Logie and Ruth C. Lovering and Barbara Kramarz and Shirin C. C. Saverimuttu and Sandra M. Pinheiro and Heather Gunn and Renzhi Su and Katherine E. Thurlow and Marcus C. Chibucos and Michelle G. Giglio and Suvarna Nadendla and James B. Munro and Rebecca C. Jackson and Margaret J. Duesbury and Noemi del{-}Toro and Birgit H. M. Meldal and Kalpana Paneerselvam and Livia Perfetto and Pablo Porras and Sandra E. Orchard and Anjali Shrivastava and Hsin{-}Yu Chang and Robert D. Finn and Alex L. Mitchell and Neil D. Rawlings and Lorna J. Richardson and Amaia Sangrador{-}Vegas and Judith A. Blake and Karen R. Christie and Mary E. Dolan and Harold J. Drabkin and David P. Hill and Li Ni and Dmitry M. Sitnikov and Midori A. Harris and Stephen G. Oliver and Kim Rutherford and Valerie Wood and Jaqueline Hayles and J{\"{u}}rg B{\"{a}}hler and Elizabeth R. Bolton and Jeffrey DePons and Melinda R. Dwinell and G. Thomas Hayman and Mary L. Kaldunski and Anne E. Kwitek and Stanley J. F. Laulederkind and Cody Plasterer and Marek Tutaj and Mahima Vedi and Shur{-}Jen Wang and Peter D'Eustachio and Lisa Matthews and James P. Balhoff and Suzi A. Aleksander and Michael J. Alexander and J. Michael Cherry and Stacia R. Engel and Felix Gondwe and Kalpana Karra and Stuart R. Miyasato and Robert S. Nash and Matt Simison and Marek S. Skrzypek and Shuai Weng and Edith D. Wong and Marc Feuermann and Pascale Gaudet and Anne Morgat and Erica Bakker and Tanya Z. Berardini and Leonore Reiser and Shabari Subramaniam and Eva Huala and Cecilia N. Arighi and Andrea H. Auchincloss and Kristian B. Axelsen and Ghislaine Argoud{-}Puy and Alex Bateman and Marie{-}Claude Blatter and Emmanuel Boutet and Emily Bowler and Lionel Breuza and Alan J. Bridge and Ramona Britto and Hema Bye{-}A{-}Jee and Cristina Casals{-}Casas and Elisabeth Coudert and Paul Denny and Anne Estreicher and Maria Livia Famiglietti and George E. Georghiou and Arnaud Gos and Nadine Gruaz{-}Gumowski and Emma Hatton{-}Ellis and Chantal Hulo and Alexandr Ignatchenko and Florence Jungo and Kati Laiho and Philippe Le Mercier and Damien Lieberherr and Antonia Lock and Yvonne Lussi and Alistair MacDougall and Michele Magrane and Maria Jesus Martin and Patrick Masson and Darren A. Natale and Nevila Hyka{-}Nouspikel and Ivo Pedruzzi and Lucille Pourcel and Sylvain Poux and Sangya Pundir and Catherine Rivoire and Elena Speretta and Shyamala Sundaram and Nidhi Tyagi and Kate Warner and Rossana Zaru and Cathy H. Wu and Alexander D. Diehl and Juancarlos Chan and Christian A. Grove and Raymond Y. N. Lee and Hans{-}Michael M{\"{u}}ller and Daniela Raciti and Kimberly Van Auken and Paul W. Sternberg and Matthew Berriman and Michael Paulini and Kevin L. Howe and Sibyl Gao and Adam Wright and Lincoln Stein and Douglas G. Howe and Sabrina Toro and Monte Westerfield and Pankaj Jaiswal and Laurel Cooper and Justin Elser}, title = {The Gene Ontology resource: enriching a GOld mine}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D325--D334}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1113}, doi = {10.1093/NAR/GKAA1113}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/CarbonDGUHMBCDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/FrankishDJLLMSW21, author = {Adam Frankish and Mark Diekhans and Irwin Jungreis and Julien Lagarde and Jane E. Loveland and Jonathan M. Mudge and Cristina Sisu and James C. Wright and Joel Armstrong and If Barnes and Andrew E. Berry and Alexandra Bignell and Carles Boix and Silvia Carbonell Sala and Fiona Cunningham and Tom{\'{a}}s Di Domenico and Sarah M. Donaldson and Ian T. Fiddes and Carlos Garc{\'{\i}}a{-}Gir{\'{o}}n and Jose M. Gonzalez and Tiago Grego and Matthew Hardy and Thibaut Hourlier and Kevin L. Howe and Toby Hunt and Osagie G. Izuogu and Rory Johnson and Fergal J. Martin and Laura Mart{\'{\i}}nez and Shamika Mohanan and Paul Muir and Fabio C. P. Navarro and Anne Parker and Baikang Pei and Fernando Pozo and Ferriol Calvet Riera and Magali Ruffier and Bianca M. Schmitt and Eloise Stapleton and Marie{-}Marthe Suner and Irina Sycheva and Barbara Uszczynska{-}Ratajczak and Maxim Y. Wolf and Jinrui Xu and Yucheng T. Yang and Andrew D. Yates and Daniel R. Zerbino and Yan Zhang and Jyoti Choudhary and Mark Gerstein and Roderic Guig{\'{o}} and Tim J. P. Hubbard and Manolis Kellis and Benedict Paten and Michael L. Tress and Paul Flicek}, title = {{GENCODE} 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D916--D923}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1087}, doi = {10.1093/NAR/GKAA1087}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/FrankishDJLLMSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/KimJKCKLJ21, author = {Kyukwang Kim and Insu Jang and Mooyoung Kim and Jinhyuk Choi and Minseo Kim and Byungwook Lee and Inkyung Jung}, title = {3DIV update for 2021: a comprehensive resource of 3D genome and 3D cancer genome}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D38--D46}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1078}, doi = {10.1093/NAR/GKAA1078}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/KimJKCKLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ShiSFSOIZCKLSAL21, author = {Wenyu Shi and Qinglan Sun and Guomei Fan and Hideaki Sugawara and Moriya Ohkuma and Takashi Itoh and Yuguang Zhou and Man Cai and Song{-}Gun Kim and Jung{-}Sook Lee and Ivo Sedlacek and David R. Arahal and Teresa Lucena and Hiroko Kawasaki and Lyudmila Evtushenko and Bevan S. Weir and Sarah Alexander and Dlauchy D{\'{e}}nes and Somboon Tanasupawat and Lily Eurwilaichitr and Supawadee Ingsriswang and Bruno Gomez{-}Gil and Manzour H. Hazb{\'{o}}n and Marco A. Riojas and Chatrudee Suwannachart and Su Yao and Peter Vandamme and Fang Peng and Zenghui Chen and Dongmei Liu and Xiuqiang Sun and Xinjiao Zhang and Yuanchun Zhou and Zhen Meng and Linhuan Wu and Juncai Ma}, title = {gcType: a high-quality type strain genome database for microbial phylogenetic and functional research}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D694--D705}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa957}, doi = {10.1093/NAR/GKAA957}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ShiSFSOIZCKLSAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/ParkSMCRKZBGCWM21, author = {Jungkyu Park and Yoel Shoshan and Robert Mart{\'{\i}} and Pablo G{\'{o}}mez del Campo and Vadim Ratner and Daniel Khapun and Aviad Zlotnick and Ella Barkan and Flora Gilboa{-}Solomon and Jakub Chledowski and Jan Witowski and Alexandra Millet and Eric Kim and Alana Lewin and Kristine Pysarenko and Sardius Chen and Julia Goldberg and Shalin Patel and Anastasia Plaunova and Melanie Wegener and Stacey Wolfson and Jiyon Lee and Sana Hava and Sindhoora Murthy and Linda Du and Sushma Gaddam and Ujas Parikh and Laura Heacock and Linda Moy and Beatriu Reig and Michal Rosen{-}Zvi and Krzysztof J. Geras}, title = {Lessons from the first DBTex Challenge}, journal = {Nat. Mach. Intell.}, volume = {3}, number = {8}, pages = {735--736}, year = {2021}, url = {https://doi.org/10.1038/s42256-021-00378-z}, doi = {10.1038/S42256-021-00378-Z}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/natmi/ParkSMCRKZBGCWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/AnSJJOSPL21, author = {Hongjun An and Hyeong{-}Geol Shin and Sooyeon Ji and Woojin Jung and Sehong Oh and Dongmyung Shin and Juhyung Park and Jongho Lee}, title = {DeepResp: Deep learning solution for respiration-induced B\({}_{\mbox{0}}\) fluctuation artifacts in multi-slice {GRE}}, journal = {NeuroImage}, volume = {224}, pages = {117432}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2020.117432}, doi = {10.1016/J.NEUROIMAGE.2020.117432}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/AnSJJOSPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/Kim-SpoonHBELDK21, author = {Jungmeen Kim{-}Spoon and Toria Herd and Alexis Brieant and Jacob Elder and Jacob Lee and Kirby Deater{-}Deckard and Brooks King{-}Casas}, title = {A 4-year longitudinal neuroimaging study of cognitive control using latent growth modeling: developmental changes and brain-behavior associations}, journal = {NeuroImage}, volume = {237}, pages = {118134}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118134}, doi = {10.1016/J.NEUROIMAGE.2021.118134}, timestamp = {Wed, 14 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/Kim-SpoonHBELDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/0001SJLVKLSLYFD21, author = {Qi Dou and Tiffany Y. So and Meirui Jiang and Quande Liu and Varut Vardhanabhuti and Georgios Kaissis and Zeju Li and Weixin Si and Heather H. C. Lee and Kevin Yu and Zuxin Feng and Li Dong and Egon Burian and Friederike Jungmann and Rickmer Braren and Marcus R. Makowski and Bernhard Kainz and Daniel Rueckert and Ben Glocker and Simon C. H. Yu and Pheng{-}Ann Heng}, title = {Federated deep learning for detecting {COVID-19} lung abnormalities in {CT:} a privacy-preserving multinational validation study}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00431-6}, doi = {10.1038/S41746-021-00431-6}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/0001SJLVKLSLYFD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KangBCKHLPYYCYC21, author = {Si{-}Hyuck Kang and Hyunyoung Baek and Jihoon Cho and Seok Kim and Hee Hwang and Wonjae Lee and Jin Joo Park and Yeonyee E. Yoon and Chang{-}Hwan Yoon and Young{-}Seok Cho and Tae{-}Jin Youn and Goo{-}Yeong Cho and In{-}Ho Chae and Dong{-}Ju Choi and Sooyoung Yoo and Jung{-}Won Suh}, title = {Management of cardiovascular disease using an mHealth tool: a randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00535-z}, doi = {10.1038/S41746-021-00535-Z}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KangBCKHLPYYCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmcgit/YiBBJHLL21, author = {Changyoon Yi and Juhyun Bae and Nakkyu Baek and Jina Jung and Sunwoong Hur and Hyun{-}Jean Lee and Seung Ah Lee}, title = {ReMember: Using Biosignals to Recall Memories of Companion Animals}, journal = {Proc. {ACM} Comput. Graph. Interact. Tech.}, volume = {4}, number = {2}, pages = {29:1--29:7}, year = {2021}, url = {https://doi.org/10.1145/3465614}, doi = {10.1145/3465614}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmcgit/YiBBJHLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LeeTABCKMSYHP21, author = {Doris Jung Lin Lee and Dixin Tang and Kunal Agarwal and Thyne Boonmark and Caitlyn Chen and Jake Kang and Ujjaini Mukhopadhyay and Jerry Song and Micah Yong and Marti A. Hearst and Aditya G. Parameswaran}, title = {Lux: Always-on Visualization Recommendations for Exploratory Dataframe Workflows}, journal = {Proc. {VLDB} Endow.}, volume = {15}, number = {3}, pages = {727--738}, year = {2021}, url = {http://www.vldb.org/pvldb/vol15/p727-lee.pdf}, doi = {10.14778/3494124.3494151}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LeeTABCKMSYHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/MackePGLXH21, author = {Stephen Macke and Aditya G. Parameswaran and Hongpu Gong and Doris Jung Lin Lee and Doris Xin and Andrew Head}, title = {Fine-Grained Lineage for Safer Notebook Interactions}, journal = {Proc. {VLDB} Endow.}, volume = {14}, number = {6}, pages = {1093--1101}, year = {2021}, url = {http://www.vldb.org/pvldb/vol14/p1093-macke.pdf}, doi = {10.14778/3447689.3447712}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/MackePGLXH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/JeonJLCM21, author = {Jinwoo Jeon and Sungwook Jung and Eungchang Mason Lee and Duckyu Choi and Hyun Myung}, title = {Run Your Visual-Inertial Odometry on {NVIDIA} Jetson: Benchmark Tests on a Micro Aerial Vehicle}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {3}, pages = {5332--5339}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3075141}, doi = {10.1109/LRA.2021.3075141}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/JeonJLCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/JeonJLCM21a, author = {Jinwoo Jeon and Sungwook Jung and Eungchang Mason Lee and Duckyu Choi and Hyun Myung}, title = {Corrections to "Run Your Visual-Inertial Odometry on {NVIDIA} Jetson: Benchmark Tests on a Micro Aerial Vehicle"}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {3}, pages = {5840}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3085435}, doi = {10.1109/LRA.2021.3085435}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/JeonJLCM21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/KimLKRGPWPKS21, author = {Yeongjin Kim and Seung Ryeol Lee and Sungjun Kim and Tuani de Sa Rosa and Yujin Gong and Chaneun Park and Jae{-}Han Wang and Kiwon Park and Jung{-}Yup Kim and Dongjun Shin}, title = {Toward Sustainable and Accessible Mobility: {A} Functional Electrical Stimulation-Based Robotic Bike With a Fatigue-Compensation Algorithm and Mechanism for Cybathlon 2020}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {28}, number = {4}, pages = {32--42}, year = {2021}, url = {https://doi.org/10.1109/MRA.2021.3111835}, doi = {10.1109/MRA.2021.3111835}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ram/KimLKRGPWPKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPKKLBL21, author = {Jung{-}Hoon Kim and Ja{-}Rin Park and Soo{-}Hyun Kim and Jeonghoe Kim and Eunjeong Lee and Seungwoo Baek and Gyuwon Lee}, title = {A Detection of Convectively Induced Turbulence Using in Situ Aircraft and Radar Spectral Width Data}, journal = {Remote. Sens.}, volume = {13}, number = {4}, pages = {726}, year = {2021}, url = {https://doi.org/10.3390/rs13040726}, doi = {10.3390/RS13040726}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPKKLBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeCJBLAJJLK21, author = {Hyo{-}Jung Lee and Limseok Chang and Daniel A. Jaffe and Juseon Bak and Xiong Liu and Gonzalo Gonzalez Abad and Hyun{-}Young Jo and Yu{-}Jin Jo and Jae{-}Bum Lee and Cheol{-}Hee Kim}, title = {Ozone Continues to Increase in East Asia Despite Decreasing {NO2:} Causes and Abatements}, journal = {Remote. Sens.}, volume = {13}, number = {11}, pages = {2177}, year = {2021}, url = {https://doi.org/10.3390/rs13112177}, doi = {10.3390/RS13112177}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeCJBLAJJLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuYXW21, author = {Peng Liu and Yi Yang and Yu Xin and Chenghai Wang}, title = {Impact of Lightning Data Assimilation on Forecasts of a Leeward Slope Precipitation Event in the Western Margin of the Junggar Basin}, journal = {Remote. Sens.}, volume = {13}, number = {18}, pages = {3584}, year = {2021}, url = {https://doi.org/10.3390/rs13183584}, doi = {10.3390/RS13183584}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuYXW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/OhLGACCFLTJC21, author = {Sungchan Oh and Da{-}Young Lee and Carlos Gongora{-}Canul and Akash Ashapure and Joshua Carpenter and Andres Cruz{-}Sancan and Mariela Fern{\'{a}}ndez and Brenden Z. Lane and Darcy Telenko and Jinha Jung and Christian Cruz}, title = {Tar Spot Disease Quantification Using Unmanned Aircraft Systems {(UAS)} Data}, journal = {Remote. Sens.}, volume = {13}, number = {13}, pages = {2567}, year = {2021}, url = {https://doi.org/10.3390/rs13132567}, doi = {10.3390/RS13132567}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/OhLGACCFLTJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnKJNPPKLK21, author = {Jung Min Ahn and Byungik Kim and Jaehun Jong and Gibeom Nam and Lan Joo Park and Sanghyun Park and Taegu Kang and Jae{-}Kwan Lee and Jungwook Kim}, title = {Predicting Cyanobacterial Blooms Using Hyperspectral Images in a Regulated River}, journal = {Sensors}, volume = {21}, number = {2}, pages = {530}, year = {2021}, url = {https://doi.org/10.3390/s21020530}, doi = {10.3390/S21020530}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhnKJNPPKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnLYPKK21, author = {Hyo{-}Won Ahn and Soo{-}Yeon Lee and Hobeen Yu and Jin{-}Young Park and Kyung{-}A. Kim and Su{-}Jung Kim}, title = {Force Distribution of a Novel Core-Reinforced Multilayered Mandibular Advancement Device}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3383}, year = {2021}, url = {https://doi.org/10.3390/s21103383}, doi = {10.3390/S21103383}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnLYPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AnimLJ21, author = {Kyei Anim and Jung{-}Nam Lee and Young{-}Bae Jung}, title = {High-Gain Millimeter-Wave Patch Array Antenna for Unmanned Aerial Vehicle Application}, journal = {Sensors}, volume = {21}, number = {11}, pages = {3914}, year = {2021}, url = {https://doi.org/10.3390/s21113914}, doi = {10.3390/S21113914}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AnimLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AnimPLJKJ21, author = {Kyei Anim and Bonghyuk Park and Hui Dong Lee and Seunghyun Jang and Sunwoo Kong and Young{-}Bae Jung}, title = {A Simple Printed Cross-Dipole Antenna with Modified Feeding Structure and Dual-Layer Printed Reflector for Direction Finding Systems}, journal = {Sensors}, volume = {21}, number = {17}, pages = {5966}, year = {2021}, url = {https://doi.org/10.3390/s21175966}, doi = {10.3390/S21175966}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AnimPLJKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekYRLSKP21, author = {Suwhan Baek and Hyunsoo Yu and Jongryun Roh and Jungnyun Lee and Illsoo Sohn and Sayup Kim and Cheolsoo Park}, title = {Effect of a Recliner Chair with Rocking Motions on Sleep Efficiency}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8214}, year = {2021}, url = {https://doi.org/10.3390/s21248214}, doi = {10.3390/S21248214}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekYRLSKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiLLJ21, author = {Jaehyeop Choi and Chaehyeon Lee and Dong{-}Gyu Lee and Heechul Jung}, title = {SalfMix: {A} Novel Single Image-Based Data Augmentation Technique Using a Saliency Map}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8444}, year = {2021}, url = {https://doi.org/10.3390/s21248444}, doi = {10.3390/S21248444}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiLLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangLY21a, author = {Ah{-}Jung Jang and In{-}Seong Lee and Jong{-}Ryul Yang}, title = {Vital Signal Detection Using Multi-Radar for Reductions in Body Movement Effects}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7398}, year = {2021}, url = {https://doi.org/10.3390/s21217398}, doi = {10.3390/S21217398}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JangLY21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeonSCLJLKK21, author = {Munsu Jeon and Yejune Seo and Junghyun Cho and Changhyeong Lee and Jiyeon Jang and Yejin Lee and Hyung{-}Wook Kwon and Sungtek Kahng}, title = {Investigation on Beam Alignment of a Microstrip-Line Butler Matrix and an {SIW} Butler Matrix for 5G Beamforming Antennas through RF-to-RF Wireless Sensing and 64-QAM Tests}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6830}, year = {2021}, url = {https://doi.org/10.3390/s21206830}, doi = {10.3390/S21206830}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JeonSCLJLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoHKLY21, author = {Hongju Jo and Junghun Han and Yoon Suk Kim and Yongheum Lee and Sejung Yang}, title = {A Novel Method for Effective Cell Segmentation and Tracking in Phase Contrast Microscopic Images}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3516}, year = {2021}, url = {https://doi.org/10.3390/s21103516}, doi = {10.3390/S21103516}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoHKLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungLK21a, author = {Ju{-}Yeon Jung and Yeong{-}Bae Lee and Chang{-}Ki Kang}, title = {Novel Technique to Measure Pulse Wave Velocity in Brain Vessels Using a Fast Simultaneous Multi-Slice Excitation Magnetic Resonance Sequence}, journal = {Sensors}, volume = {21}, number = {19}, pages = {6352}, year = {2021}, url = {https://doi.org/10.3390/s21196352}, doi = {10.3390/S21196352}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungLK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangLKJSI21, author = {Hye{-}Seon Kang and Eung{-}Gu Lee and Cheol{-}Ki Kim and Andy Jung and Catherine Song and Sun Im}, title = {Cough Sounds Recorded via Smart Devices as Useful Non-Invasive Digital Biomarkers of Aspiration Risk: {A} Case Report}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8056}, year = {2021}, url = {https://doi.org/10.3390/s21238056}, doi = {10.3390/S21238056}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangLKJSI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangYHL21, author = {Seonghun Kang and Jung{-}Doung Yu and Won{-}Taek Hong and Jong{-}Sub Lee}, title = {Estimation of Cavities beneath Plate Structures Using a Microphone: Laboratory Model Tests}, journal = {Sensors}, volume = {21}, number = {9}, pages = {2941}, year = {2021}, url = {https://doi.org/10.3390/s21092941}, doi = {10.3390/S21092941}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangYHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHJL21, author = {Piljoong Kim and Sanghyun Han and Yunho Jung and Seongjoo Lee}, title = {A {PAPR} Reduction Technique for Fast Touch Sensors Adopting a Multiple Frequency Driving Method on Large Display Panels}, journal = {Sensors}, volume = {21}, number = {2}, pages = {429}, year = {2021}, url = {https://doi.org/10.3390/s21020429}, doi = {10.3390/S21020429}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKBACPCSKKSKP21, author = {Hyung{-}Mo Kim and Jaehi Kim and Sungje Bock and Jaehyun An and Yun{-}Sik Choi and Xuan{-}Hung Pham and Myeong Geun Cha and Bomi Seong and Wooyeon Kim and Yoon{-}Hee Kim and Hobeom Song and Jung{-}Won Kim and Seung{-}min Park and Sang Hun Lee and Won{-}Yeop Rho and Sangchul Lee and Dae Hong Jeong and Ho{-}Young Lee and Bong{-}Hyun Jun}, title = {Silver-Assembled Silica Nanoparticles in Lateral Flow Immunoassay for Visual Inspection of Prostate-Specific Antigen}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4099}, year = {2021}, url = {https://doi.org/10.3390/s21124099}, doi = {10.3390/S21124099}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKBACPCSKKSKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKLSKKCAL21, author = {Junwoo Kim and Keumhyun Kim and Dowon Lee and Yongha Shin and Sungsam Kang and Jung{-}Ryul Kim and Youngwoon Choi and Kyungwon An and Moonjoo Lee}, title = {Locking Multi-Laser Frequencies to a Precision Wavelength Meter: Application to Cold Atoms}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6255}, year = {2021}, url = {https://doi.org/10.3390/s21186255}, doi = {10.3390/S21186255}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKLSKKCAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimNKYPSKLHYJC21, author = {Bobae Kim and Uk{-}Won Nam and Sunghwan Kim and Sukwon Youn and Won{-}Kee Park and Jongdae Sohn and Hong Joo Kim and Seh{-}Wook Lee and Junga Hwang and Sung{-}Joon Ye and Insoo Jun and Young{-}Jun Choi}, title = {A Study on the Performance of a Silicon Photodiode Sensor for a Particle Dosimeter and Spectrometer}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8029}, year = {2021}, url = {https://doi.org/10.3390/s21238029}, doi = {10.3390/S21238029}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimNKYPSKLHYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYBKHKLJC21, author = {Jaehoon Kim and Junghyo Yoon and Jae{-}Yeong Byun and Hyunho Kim and Sewoon Han and Junghyun Kim and Jeong Hoon Lee and Han{-}Sang Jo and Seok Chung}, title = {Nano-Interstice Driven Powerless Blood Plasma Extraction in a Membrane Filter Integrated Microfluidic Device}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1366}, year = {2021}, url = {https://doi.org/10.3390/s21041366}, doi = {10.3390/S21041366}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYBKHKLJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeBLJL21, author = {Seokwon Lee and Inmo Ban and Myeong{-}jin Lee and Yunho Jung and Woo{-}Kyung Lee}, title = {Architecture Exploration of a Backprojection Algorithm for Real-Time Video {SAR}}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8258}, year = {2021}, url = {https://doi.org/10.3390/s21248258}, doi = {10.3390/S21248258}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeBLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCKS21, author = {Yongho Lee and Shinil Chang and Jungah Kim and Hyunchol Shin}, title = {A {CMOS} {RF} Receiver with Improved Resilience to OFDM-Induced Second-Order Intermodulation Distortion for MedRadio Biomedical Devices and Sensors}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5303}, year = {2021}, url = {https://doi.org/10.3390/s21165303}, doi = {10.3390/S21165303}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJLKKSKY21, author = {Jiyoung Lee and Seunghyun Jang and Jungbin Lee and Taehan Kim and Seonghan Kim and Jongbum Seo and Ki Hean Kim and Sejung Yang}, title = {Multi-Focus Image Fusion Using Focal Area Extraction in a Large Quantity of Microscopic Images}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7371}, year = {2021}, url = {https://doi.org/10.3390/s21217371}, doi = {10.3390/S21217371}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJLKKSKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeL21, author = {Jung Hyun Lee and Dong{-}Wook Lee}, title = {A Novel {AVM} Calibration Method Using Unaligned Square Calibration Boards}, journal = {Sensors}, volume = {21}, number = {7}, pages = {2265}, year = {2021}, url = {https://doi.org/10.3390/s21072265}, doi = {10.3390/S21072265}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLPNHK21, author = {Hyeonkeon Lee and Jongheon Lee and Honghyeon Park and Mi Song Nam and Yun Jung Heo and Sanghoek Kim}, title = {Batteryless, Miniaturized Implantable Glucose Sensor Using a Fluorescent Hydrogel}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8464}, year = {2021}, url = {https://doi.org/10.3390/s21248464}, doi = {10.3390/S21248464}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLPNHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePYKLSKK21, author = {Ahyeong Lee and Saetbyeol Park and Jinyoung Yoo and Jungsook Kang and Jongguk Lim and Youngwook Seo and Balgeum Kim and Giyoung Kim}, title = {Detecting Bacterial Biofilms Using Fluorescence Hyperspectral Imaging and Various Discriminant Analyses}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2213}, year = {2021}, url = {https://doi.org/10.3390/s21062213}, doi = {10.3390/S21062213}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePYKLSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuLTLHMLLWKLWC21, author = {Wen{-}Te Liu and Shang{-}Yang Lin and Cheng{-}Yu Tsai and Yi{-}Shin Liu and Wen{-}Hua Hsu and Arnab Majumdar and Chia{-}Mo Lin and Kang{-}Yun Lee and Dean Wu and Yi{-}Chun Kuan and Hsin{-}Chien Lee and Cheng{-}Jung Wu and Wun{-}Hao Cheng and Ying{-}Shuo Hsu}, title = {Comparison of Hospital-Based and Home-Based Obstructive Sleep Apnoea Severity Measurements with a Single-Lead Electrocardiogram Patch}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8097}, year = {2021}, url = {https://doi.org/10.3390/s21238097}, doi = {10.3390/S21238097}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiuLTLHMLLWKLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamKKCKKCLK21, author = {Kyeongsik Nam and Hyungseup Kim and Yongsu Kwon and Gyuri Choi and Taeyup Kim and Chulhong Kim and Dongil Cho and Junghoon Lee and Hyoungho Ko}, title = {A Four-Channel Low-Noise Readout {IC} for Air Flow Measurement Using Hot Wire Anemometer in 0.18 {\(\mu\)}m {CMOS} Technology}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4694}, year = {2021}, url = {https://doi.org/10.3390/s21144694}, doi = {10.3390/S21144694}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamKKCKKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OhCAYLYYHBYL21, author = {Taejoo Oh and Changseok Cho and Woo Hyun Ahn and Jong{-}Gwan Yook and Jangjae Lee and Shinjae You and Jinwoo Yim and Jungje Ha and Gihun Bae and Heung{-}Cheol You and Yongshik Lee}, title = {Plasma Generator with Dielectric Rim and {FSS} Electrode for Enhanced {RCS} Reduction Effect}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8486}, year = {2021}, url = {https://doi.org/10.3390/s21248486}, doi = {10.3390/S21248486}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/OhCAYLYYHBYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJCLK21, author = {Kuntai Park and Jiwoong Jung and Yong Choi and Hyuntae Leem and Yeonkyeong Kim}, title = {Feasibility Study of a Time-of-Flight Brain Positron Emission Tomography Employing Individual Channel Readout Electronics}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5566}, year = {2021}, url = {https://doi.org/10.3390/s21165566}, doi = {10.3390/S21165566}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJLKK21, author = {Soohyun Park and Soyi Jung and Haemin Lee and Joongheon Kim and Jae{-}Hyun Kim}, title = {Large-Scale Water Quality Prediction Using Federated Sensing and Learning: {A} Case Study with Real-World Sensing Big-Data}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1462}, year = {2021}, url = {https://doi.org/10.3390/s21041462}, doi = {10.3390/S21041462}, timestamp = {Mon, 26 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJSLCK21, author = {Yunjae Park and Changhyun Jung and Myeongseok Seong and Minjae Lee and Dong{-}Il Dan Cho and Taehyun Kim}, title = {A New Measurement Method for High Voltages Applied to an Ion Trap Generated by an {RF} Resonator}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1143}, year = {2021}, url = {https://doi.org/10.3390/s21041143}, doi = {10.3390/S21041143}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkJSLCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCAYPKHJL21, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Seyed Ali Hosseini Asl and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A Low-Band Multi-Gain {LNA} Design for Diversity Receive Module with 1.2 dB {NF}}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8340}, year = {2021}, url = {https://doi.org/10.3390/s21248340}, doi = {10.3390/S21248340}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCAYPKHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooAKHLSC21, author = {Sungwon Yoo and Shahzad Ahmed and Sun Kang and DuHyun Hwang and Jungjun Lee and Jungduck Son and Sung Ho Cho}, title = {Radar Recorded Child Vital Sign Public Dataset and Deep Learning-Based Age Group Classification Framework for Vehicular Application}, journal = {Sensors}, volume = {21}, number = {7}, pages = {2412}, year = {2021}, url = {https://doi.org/10.3390/s21072412}, doi = {10.3390/S21072412}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooAKHLSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooLLJC21, author = {Lee{-}Sun Yoo and Jung{-}Han Lee and Yong{-}Kuk Lee and Seom{-}Kyu Jung and Yosoon Choi}, title = {Application of a Drone Magnetometer System to Military Mine Detection in the Demilitarized Zone}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3175}, year = {2021}, url = {https://doi.org/10.3390/s21093175}, doi = {10.3390/S21093175}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooLLJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/CheonVKLCL21, author = {Manri Cheon and Toinon Vigier and Luk{\'{a}}s Krasula and Junghyuk Lee and Patrick Le Callet and Jong{-}Seok Lee}, title = {Ambiguity of objective image quality metrics: {A} new methodology for performance evaluation}, journal = {Signal Process. Image Commun.}, volume = {93}, pages = {116150}, year = {2021}, url = {https://doi.org/10.1016/j.image.2021.116150}, doi = {10.1016/J.IMAGE.2021.116150}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spic/CheonVKLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/SeoL21, author = {Jung{-}hyun Seo and Hyeong{-}Ok Lee}, title = {Recursively Divided Pancake Graphs with a Small Network Cost}, journal = {Symmetry}, volume = {13}, number = {5}, pages = {844}, year = {2021}, url = {https://doi.org/10.3390/sym13050844}, doi = {10.3390/SYM13050844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/SeoL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/LeeYAL21, author = {Wool{-}Rim Lee and Jungsub Yoon and J{\"{o}}rn Altmann and Jeong{-}Dong Lee}, title = {Model for identifying firm's product innovation dynamics: applied to the case of the Korean mobile phone industry}, journal = {Technol. Anal. Strateg. Manag.}, volume = {33}, number = {4}, pages = {335--348}, year = {2021}, url = {https://doi.org/10.1080/09537325.2020.1813271}, doi = {10.1080/09537325.2020.1813271}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taasm/LeeYAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BaekJMLN21, author = {SungHa Baek and Youngdon Jung and David Mohaisen and Sungjin Lee and DaeHun Nyang}, title = {SSD-Assisted Ransomware Detection and Data Recovery Techniques}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {10}, pages = {1762--1776}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3011214}, doi = {10.1109/TC.2020.3011214}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BaekJMLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimLKMJ21, author = {Ji{-}Young Kim and Jongsoo Lee and Ki{-}Ryong Kim and Byoung{-}Mo Moon and Seong{-}Ook Jung}, title = {A 0.166 pJ/b/pF, 3.5-5 Gb/s {TSV} {I/O} Interface With V\({}_{\mbox{OH}}\) Drift Control}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {6}, pages = {1822--1826}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2020.3039911}, doi = {10.1109/TCSII.2020.3039911}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimLKMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/JungKACL21, author = {Wonkyung Jung and Sangpyo Kim and Jung Ho Ahn and Jung Hee Cheon and Younho Lee}, title = {Over 100x Faster Bootstrapping in Fully Homomorphic Encryption through Memory-centric Optimization with GPUs}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2021}, number = {4}, pages = {114--148}, year = {2021}, url = {https://doi.org/10.46586/tches.v2021.i4.114-148}, doi = {10.46586/TCHES.V2021.I4.114-148}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/JungKACL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/KimLLK21, author = {Seho Kim and Changhee Lee and Jongsu Lee and Junghun Kim}, title = {Over-the-top bundled services in the Korean broadcasting and telecommunications market: Consumer preference analysis using a mixed logit model}, journal = {Telematics Informatics}, volume = {61}, pages = {101599}, year = {2021}, url = {https://doi.org/10.1016/j.tele.2021.101599}, doi = {10.1016/J.TELE.2021.101599}, timestamp = {Fri, 18 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tele/KimLLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeNKJKJ21, author = {Wonseo Lee and Jaekwang Nam and Jongyul Kim and Eunsoo Jung and Nahyun Kim and Gunhee Jang}, title = {Steering, Tunneling, and Stent Delivery of a Multifunctional Magnetic Catheter Robot to Treat Occlusive Vascular Disease}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {1}, pages = {391--400}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.2965480}, doi = {10.1109/TIE.2020.2965480}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeNKJKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/WonPLSCKKSSRCL21, author = {Jun Yeon Won and Haewook Park and Seung{-}Eun Lee and Jeong{-}Whan Son and Yina Chung and Guen Bae Ko and Kyeong Yun Kim and Junghyun Song and Seongho Seo and Yeunchul Ryu and Jun{-}Young Chung and Jae Sung Lee}, title = {Development and Initial Results of a Brain {PET} Insert for Simultaneous 7-Tesla {PET/MRI} Using an FPGA-Only Signal Digitization Method}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {6}, pages = {1579--1590}, year = {2021}, url = {https://doi.org/10.1109/TMI.2021.3062066}, doi = {10.1109/TMI.2021.3062066}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/WonPLSCKKSSRCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/WooDWLLJGEUA21, author = {Myung Kyun Woo and Lance DelaBarre and Matt Waks and Jingu Lee and Russell Luke Lagore and Steve Jungst and Andrea N. Grant and Yigitcan Eryaman and K{\^{a}}mil Ugurbil and Gregor Adriany}, title = {Comparison of 16-Channel Asymmetric Sleeve Antenna and Dipole Antenna Transceiver Arrays at 10.5 Tesla {MRI}}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {4}, pages = {1147--1156}, year = {2021}, url = {https://doi.org/10.1109/TMI.2020.3047354}, doi = {10.1109/TMI.2020.3047354}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/WooDWLLJGEUA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LinLWCL21, author = {Chia{-}Hung Lin and Yu{-}Chien Lin and Yen{-}Jung Wu and Wei{-}Ho Chung and Ta{-}Sung Lee}, title = {A Survey on Deep Learning-Based Vehicular Communication Applications}, journal = {J. Signal Process. Syst.}, volume = {93}, number = {4}, pages = {369--388}, year = {2021}, url = {https://doi.org/10.1007/s11265-020-01587-2}, doi = {10.1007/S11265-020-01587-2}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LinLWCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/SawantLJC21, author = {Ashwini Sawant and Ingeun Lee and Bang Chul Jung and Eunmi Choi}, title = {Ultimate Capacity Analysis of Orbital Angular Momentum Channels}, journal = {{IEEE} Wirel. Commun.}, volume = {28}, number = {1}, pages = {90--96}, year = {2021}, url = {https://doi.org/10.1109/MWC.001.2000258}, doi = {10.1109/MWC.001.2000258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/SawantLJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LeeLHC21, author = {Sanghyun Lee and Joohyung Lee and Jungyeon Hwang and Jun Kyun Choi}, title = {A Novel Deep Learning-Based IoT Device Transmission Interval Management Scheme for Enhanced Scalability in LoRa Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {11}, pages = {2538--2542}, year = {2021}, url = {https://doi.org/10.1109/LWC.2021.3106649}, doi = {10.1109/LWC.2021.3106649}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wcl/LeeLHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/SeoLHNPC21, author = {Yong{-}Jun Seo and Joohyung Lee and Jungyeon Hwang and Dusit Niyato and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Novel Joint Mobile Cache and Power Management Scheme for Energy-Efficient Mobile Augmented Reality Service in Mobile Edge Computing}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {5}, pages = {1061--1065}, year = {2021}, url = {https://doi.org/10.1109/LWC.2021.3057114}, doi = {10.1109/LWC.2021.3057114}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/SeoLHNPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/SongSL21, author = {Dongyeong Song and Wonjae Shin and Jungwoo Lee}, title = {A Maximum Throughput Design for Wireless Powered Communication Networks With {IRS-NOMA}}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {10}, number = {4}, pages = {849--853}, year = {2021}, url = {https://doi.org/10.1109/LWC.2020.3046722}, doi = {10.1109/LWC.2020.3046722}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/SongSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/HoggenmullerLHJ21, author = {Marius Hoggenm{\"{u}}ller and Wen{-}Ying Lee and Luke Hespanhol and Malte F. Jung and Martin Tomitsch}, editor = {Wendy Ju and Lora Oehlberg and Sean Follmer and Sarah E. Fox and Stacey Kuznetsov}, title = {Eliciting New Perspectives in RtD Studies through Annotated Portfolios: {A} Case Study of Robotic Artefacts}, booktitle = {{DIS} '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021}, pages = {1875--1886}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461778.3462134}, doi = {10.1145/3461778.3462134}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/HoggenmullerLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoiOHSJLZ21, author = {Seongho Choi and Kyoung{-}Woon On and Yu{-}Jung Heo and Ahjeong Seo and Youwon Jang and Min Su Lee and Byoung{-}Tak Zhang}, title = {DramaQA: Character-Centered Video Story Understanding with Hierarchical {QA}}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {1166--1174}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i2.16203}, doi = {10.1609/AAAI.V35I2.16203}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/ChoiOHSJLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimL0JP21, author = {Jungeun Kim and Kookjin Lee and Dongeun Lee and Sheo Yon Jhin and Noseong Park}, title = {{DPM:} {A} Novel Training Method for Physics-Informed Neural Networks in Extrapolation}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {8146--8154}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i9.16992}, doi = {10.1609/AAAI.V35I9.16992}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimL0JP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KvingeNCLPCTAH21, author = {Henry Kvinge and Zachary New and Nico Courts and Jung H. Lee and Lauren A. Phillips and Courtney D. Corley and Aaron Tuor and Andrew Avila and Nathan O. Hodas}, editor = {Isabelle Guyon and Jan N. van Rijn and S{\'{e}}bastien Treguer and Joaquin Vanschoren}, title = {Fuzzy Simplicial Networks: {A} Topology-Inspired Model to Improve Task Generalization in Few-shot Learning}, booktitle = {{AAAI} Workshop on Meta-Learning and MetaDL Challenge, MetaDL@AAAI 2021, virtual, February 9, 2021}, series = {Proceedings of Machine Learning Research}, volume = {140}, pages = {77--89}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v140/kvinge21a.html}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KvingeNCLPCTAH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SeonwooLKHO21, author = {Yeon Seonwoo and Sang{-}Woo Lee and Ji{-}Hoon Kim and Jung{-}Woo Ha and Alice Oh}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Weakly Supervised Pre-Training for Multi-Hop Retriever}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, pages = {694--704}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-acl.62}, doi = {10.18653/V1/2021.FINDINGS-ACL.62}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/SeonwooLKHO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/HanKYLCWH21, author = {Jungsu Han and Jeong{-}Heon Kim and Aram Youn and Jinhee Lee and Yunsuh Chun and Jongsoo Woo and James Won{-}Ki Hong}, title = {Cos-CBDC: Design and Implementation of {CBDC} on Cosmos Blockchain}, booktitle = {22nd Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2021, Tainan, Taiwan, September 8-10, 2021}, pages = {303--308}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/APNOMS52696.2021.9562672}, doi = {10.23919/APNOMS52696.2021.9562672}, timestamp = {Wed, 20 Oct 2021 16:44:30 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/HanKYLCWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/approx/0002LT21, author = {Eun Jung Kim and Euiwoong Lee and Dimitrios M. Thilikos}, editor = {Mary Wootters and Laura Sanit{\`{a}}}, title = {A Constant-Factor Approximation for Weighted Bond Cover}, booktitle = {Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, {APPROX/RANDOM} 2021, August 16-18, 2021, University of Washington, Seattle, Washington, {USA} (Virtual Conference)}, series = {LIPIcs}, volume = {207}, pages = {7:1--7:14}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.APPROX/RANDOM.2021.7}, doi = {10.4230/LIPICS.APPROX/RANDOM.2021.7}, timestamp = {Tue, 21 Sep 2021 09:36:32 +0200}, biburl = {https://dblp.org/rec/conf/approx/0002LT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KanCLL21, author = {Yao{-}Chiang Kan and Kuan{-}Tzu Chen and Hsueh{-}Chun Lin and Junghsi Lee}, title = {A Parking Monitoring System Using {FMCW} Radars}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1931--1934}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689577}, timestamp = {Wed, 09 Feb 2022 09:03:08 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/KanCLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/GuEJLKC21, author = {Yinchuan Gu and Chris Eom and Jake Jung and Brian Lee and Edwin Kim and Kanyu Cao}, editor = {Fan Ye and Ting{-}Ao Tang}, title = {A 2-stage with 3-stack 1-tap {DFE} Sense Amplifier based on Dual Reference for High Speed {\&} Low Power {DRAM} Interface}, booktitle = {14th {IEEE} International Conference on ASIC, {ASICON} 2021, Kunming, China, October 26-29, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASICON52560.2021.9620343}, doi = {10.1109/ASICON52560.2021.9620343}, timestamp = {Mon, 31 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asicon/GuEJLKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/DoSLLJ21, author = {Hyungrok Do and Jung{-}Woo Sull and Seunghyun Lee and Kwangho Lee and Deog{-}Kyoon Jeong}, title = {A 64 Gb/s 2.09 pJ/b {PAM-4} {VCSEL} Transmitter with Bandwidth Extension Techniques in 40 nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634801}, doi = {10.1109/A-SSCC53895.2021.9634801}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/DoSLLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JinBCYPKCCKL21, author = {Hyungmin Jin and Jindo Byun and Hyunyoon Cho and Hojun Yoon and Jin{-}Hee Park and Kyoungsoo Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 24Gb/s/pin {PAM-4} Built Out Tester chip enabling {PAM-4} chips test with {NRZ} interface {ATE}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634750}, doi = {10.1109/A-SSCC53895.2021.9634750}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JinBCYPKCCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JuLJJ21, author = {Haram Ju and Kwangho Lee and Woosong Jung and Deog{-}Kyoon Jeong}, title = {A 48Gb/s 2.4pJ/b {PAM-4} Baud-Rate Digital {CDR} with Stochastic Phase Detection Technique in 40nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634737}, doi = {10.1109/A-SSCC53895.2021.9634737}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JuLJJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungKJLCJKLHJ21, author = {Yoontae Jung and Soon{-}Jae Kweon and Hyuntak Jeon and Taeju Lee and Injun Choi and Kyeongwon Jeong and Mi Kyung Kim and Hyunjoo Jenny Lee and Sohmyung Ha and Minkyu Je}, title = {A 99.5dB-DR 5kHz-BW Closed-Loop Neural-Recording {IC} based on Continuous-Time Dynamic-Zoom {\(\Delta\)}{\(\Sigma\)} {ADC} with Automatic AFE-Gain Control}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634824}, doi = {10.1109/A-SSCC53895.2021.9634824}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JungKJLCJKLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKKJPKCSJJYLS21, author = {Tongsung Kim and Anil Kavala and Hyunsuk Kang and Youngmin Jo and Jungjune Park and Kyoungtae Kang and Byung{-}Kwan Chun and Dong{-}Ho Shin and Dong{-}Su Jang and Byunghoon Jeong and Chiweon Yoon and Jinyub Lee and Jai Hyuk Song}, title = {A Hybrid {ZQ} Calibration Design for High-Density Flash Memory Toggle 5.0 High-speed Interface}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634722}, doi = {10.1109/A-SSCC53895.2021.9634722}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKKJPKCSJJYLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeJJLJ21, author = {Kwangho Lee and Woosong Jung and Haram Ju and Jinhyung Lee and Deog{-}Kyoon Jeong}, title = {A 48 Gb/s {PAM4} receiver with Baud-rate phase-detector for multi-level signal modulation in 40 nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634775}, doi = {10.1109/A-SSCC53895.2021.9634775}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeJJLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/YoonKSLCHSKKHCH21, author = {Kye{-}Seok Yoon and Hye{-}Bong Ko and Jin{-}Woo So and Sung{-}Woo Lee and Sung{-}Kyu Cho and Woon{-}Hyung Heo and Ho{-}Sung Son and Seung{-}Hoon Kim and Dong{-}Joon Kim and Kwon{-}Yub Hyung and Dae{-}Woong Cho and Jung{-}Wook Heo and Hyoung{-}Seok Oh and Sung{-}Ung Kwak}, title = {Zero Current Detector with Slope Judgement Calibration in Mobile Battery Charger {IC}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634777}, doi = {10.1109/A-SSCC53895.2021.9634777}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/YoonKSLCHSKKHCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/YuLY21, author = {Sheng{-}Jung Yu and Yu{-}Chi Lee and Chia{-}Hsiang Yang}, title = {A 1.18mW Double Ratchet Cryptographic Processor with Backward Secrecy for IoT Devices}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634765}, doi = {10.1109/A-SSCC53895.2021.9634765}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/YuLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/JungYL21, author = {Wontae Jung and Kangbin Yim and Kyungroul Lee}, editor = {Leonard Barolli}, title = {Vulnerability Analysis of a Secure {USB} Memory: Based on a Commercial Product {D}}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 16th International Conference on Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2021, Fukuoka, Japan, 28-30 October 2021}, series = {Lecture Notes in Networks and Systems}, volume = {346}, pages = {279--283}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-90072-4\_30}, doi = {10.1007/978-3-030-90072-4\_30}, timestamp = {Wed, 27 Oct 2021 09:51:39 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/JungYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgi/LeeJLJK21, author = {Sungmin Lee and Hoijoon Jung and Euro Lee and Younhyun Jung and Seon Tae Kim}, editor = {Nadia Magnenat{-}Thalmann and Victoria Interrante and Daniel Thalmann and George Papagiannakis and Bin Sheng and Jinman Kim and Marina L. Gavrilova}, title = {A Preliminary Work: Mixed Reality-Integrated Computer-Aided Surgical Navigation System for Paranasal Sinus Surgery Using Microsoft HoloLens 2}, booktitle = {Advances in Computer Graphics - 38th Computer Graphics International Conference, {CGI} 2021, Virtual Event, September 6-10, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13002}, pages = {633--641}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89029-2\_47}, doi = {10.1007/978-3-030-89029-2\_47}, timestamp = {Mon, 11 Jul 2022 17:18:14 +0200}, biburl = {https://dblp.org/rec/conf/cgi/LeeJLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KangJLH21, author = {Woojin Kang and In{-}Taek Jung and Daeho Lee and Jin{-}Hyuk Hong}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Styling Words: {A} Simple and Natural Way to Increase Variability in Training Data Collection for Gesture Recognition}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {318:1--318:12}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445457}, doi = {10.1145/3411764.3445457}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/KangJLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeTC21, author = {Chi{-}Jung Lee and Hsin{-}Ruey Tsai and Bing{-}Yu Chen}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {HairTouch: Providing Stiffness, Roughness and Surface Height Differences Using Reconfigurable Brush Hairs on a {VR} Controller}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {133:1--133:13}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445285}, doi = {10.1145/3411764.3445285}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/LeeTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/RotoMCLLZ21, author = {Virpi Roto and Val Mitchell and Stuart Cockbill and Jung{-}Joo Lee and Effie Lai{-}Chong Law and John Zimmerman}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi}, title = {Introduction to Service Design for {UX} Designers}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama Japan, May 8-13, 2021, Extended Abstracts}, pages = {148:1--148:3}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411763.3444999}, doi = {10.1145/3411763.3444999}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/RotoMCLLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/XinWLSP21, author = {Doris Xin and Eva Yiwei Wu and Doris Jung Lin Lee and Niloufar Salehi and Aditya G. Parameswaran}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Whither AutoML? Understanding the Role of Automation in Machine Learning Workflows}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {83:1--83:16}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445306}, doi = {10.1145/3411764.3445306}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/XinWLSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YooSPKLN21, author = {Jung Eun Yoo and Kwanggyoon Seo and Sanghun Park and Jaedong Kim and Dawon Lee and Junyong Noh}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Virtual Camera Layout Generation using a Reference Video}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {580:1--580:11}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445437}, doi = {10.1145/3411764.3445437}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YooSPKLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LeeWKLPJ21, author = {Minwoo Lee and Seungpil Won and Juae Kim and Hwanhee Lee and Cheon{-}Eum Park and Kyomin Jung}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {CrossAug: {A} Contrastive Data Augmentation Method for Debiasing Fact Verification Models}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {3181--3185}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3482078}, doi = {10.1145/3459637.3482078}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/LeeWKLPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/LeeJLPH21, author = {Yoon Kyung Lee and Yoonwon Jung and Inju Lee and Jae Eun Park and Sowon Hahn}, editor = {W. Tecumseh Fitch and Claus Lamm and Helmut Leder and Kristin Te{\ss}mar{-}Raible}, title = {Building a Psychological Ground Truth Dataset with Empathy and Theory-of-Mind During the {COVID-19} Pandemic}, booktitle = {Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021}, publisher = {cognitivesciencesociety.org}, year = {2021}, url = {https://escholarship.org/uc/item/950900w7}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/LeeJLPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/colt/0001LP0V21, author = {Christopher Jung and Changhwa Lee and Mallesh M. Pai and Aaron Roth and Rakesh Vohra}, editor = {Mikhail Belkin and Samory Kpotufe}, title = {Moment Multicalibration for Uncertainty Estimation}, booktitle = {Conference on Learning Theory, {COLT} 2021, 15-19 August 2021, Boulder, Colorado, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {134}, pages = {2634--2678}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v134/jung21a.html}, timestamp = {Wed, 25 Aug 2021 17:11:16 +0200}, biburl = {https://dblp.org/rec/conf/colt/0001LP0V21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JungFLLEK21, author = {Giju Jung and Mohammed E. Fouda and Sugil Lee and Jongeun Lee and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Cost- and Dataset-free Stuck-at Fault Mitigation for ReRAM-based Deep Learning Accelerators}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1733--1738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474226}, doi = {10.23919/DATE51398.2021.9474226}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JungFLLEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoDJSL21, author = {Hwisoo So and Moslem Didehban and Jinhyo Jung and Aviral Shrivastava and Kyoungwoo Lee}, title = {{CHITIN:} {A} Comprehensive In-thread Instruction Replication Technique Against Transient Faults}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1440--1445}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473917}, doi = {10.23919/DATE51398.2021.9473917}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SoDJSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/LeeCJKLLKOLHLOP21, author = {Seung{-}Wook Lee and Seungwon Cha and Dongyoung Jang and Mihye Kim and Haewon Lee and Nakyung Lee and Seonok Kim and Kwan{-}Young Oh and Daehyung Lee and Seunghan Hong and Hana Lee and Sunghoon Oh and Donghyuk Park and Yitae Kim and JungChak Ahn}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {A Low-Voltage 0.7 {\(\mathrm{\mu}\)}m Pixel with 6000 e- Full-Well Capacity for a Low-Power {CMOS} Image Sensor}, booktitle = {Imaging Sensors and Systems 2021, online, January 11-28, 2021}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2021}, url = {https://doi.org/10.2352/ISSN.2470-1173.2021.7.ISS-091}, doi = {10.2352/ISSN.2470-1173.2021.7.ISS-091}, timestamp = {Thu, 20 Jul 2023 16:45:52 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/LeeCJKLLKOLHLOP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/LimKYNJSPJYPPLN21, author = {Jungwook Lim and Jihun Kim and Long Yan and Hyunpil Noh and Seungki Jung and Doosik Seol and Junghyung Pyo and Taesub Jung and Jungbin Yun and Dukseo Park and Howoo Park and Kyungho Lee and Takashi Nagano and JungChak Ahn}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {A single chip {PPG} sensor with enhanced {IR} sensitivity for low power and small size}, booktitle = {Imaging Sensors and Systems 2021, online, January 11-28, 2021}, pages = {1--5}, publisher = {Society for Imaging Science and Technology}, year = {2021}, url = {https://doi.org/10.2352/ISSN.2470-1173.2021.7.ISS-066}, doi = {10.2352/ISSN.2470-1173.2021.7.ISS-066}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/LimKYNJSPJYPPLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JangLKJBK21, author = {Ahreum Jang and Hyun Jin Lee and Young Ho Kim and Han Jung and Pavlo Bidenko and Sanghyoan Kim}, title = {Effect of Barrier Layer on InAs/GaSb Type-II Superlattice nBn Detector}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369773}, doi = {10.1109/ICEIC51217.2021.9369773}, timestamp = {Fri, 26 Mar 2021 08:44:08 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/JangLKJBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JungJCKWLPLL21, author = {Dong Yun Jung and Hyun Gyu Jang and Doohyung Cho and Sungkyu Kwon and Jongil Won and Seong Hyun Lee and Kun Sik Park and Jong{-}Won Lim and Yong Ha Lee}, title = {Switching and heat-dissipation performance analysis of an LTCC-based leadless surface mount package using a power factor correction converter}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369757}, doi = {10.1109/ICEIC51217.2021.9369757}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JungJCKWLPLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KumarJJLKM21, author = {Konki Sravan Kumar and Ankhzaya Jamsarndorj and Dawoon Jung and Daehyun Lee and Jinwook Kim and Kyung{-}Ryoul Mun}, title = {Vision-based human joint angular velocity estimation during squat and walking on a treadmill actions}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {2186--2190}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630438}, doi = {10.1109/EMBC46164.2021.9630438}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KumarJJLKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/BerardLCJN21, author = {Alexandre Berard and Dain Lee and St{\'{e}}phane Clinchant and Kweon Woo Jung and Vassilina Nikoulina}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Efficient Inference for Multilingual Neural Machine Translation}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {8563--8583}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.674}, doi = {10.18653/V1/2021.EMNLP-MAIN.674}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/BerardLCJN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YoonJPBJCKLCCCK21, author = {Hojun Yoon and Wonjoo Jung and Jaewoo Park and Jindo Byun and Hyungmin Jin and Hyunyoon Cho and Youngmin Kim and Baek{-}Jin Lim and Young{-}Chul Cho and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Changsik Yoo and Sang{-}Hyun Lee}, title = {A 3.2-12.8Gb/s Duty-Cycle Compensating Quadrature Error Corrector for {DRAM} Interfaces, With Fast Locking and Low Power Characteristics}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {463--466}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567848}, doi = {10.1109/ESSCIRC53450.2021.9567848}, timestamp = {Thu, 28 Oct 2021 16:11:37 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YoonJPBJCKLCCCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/FiazAYBLKMFJ21, author = {Mustansar Fiaz and Kamran Ali and Sangbum Yun and Ki Yeol Baek and Hye Jin Lee and In Su Kim and Arif Mahmood and Sehar Shahzad Farooq and Soon Ki Jung}, editor = {Hieyong Jeong and Kazuhiko Sumi}, title = {Robust Tracking via Feature Enrichment and Overlap Maximization}, booktitle = {Frontiers of Computer Vision - 27th International Workshop, {IW-FCV} 2021, Daegu, South Korea, February 22-23, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1405}, pages = {17--30}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-81638-4\_2}, doi = {10.1007/978-3-030-81638-4\_2}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fcv/FiazAYBLKMFJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/RyuJLGR21, author = {Ju{-}Young Ryu and Jiwon Jung and Jaeyoung Lee and Youngjune Gwon and June{-}Koo Kevin Rhee}, title = {A Variational Quantum Algorithm for Ordered {SVD}}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021.9685404}, doi = {10.1109/GLOBECOM46510.2021.9685404}, timestamp = {Mon, 07 Feb 2022 09:24:07 +0100}, biburl = {https://dblp.org/rec/conf/globecom/RyuJLGR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimJJKL21, author = {Hong Jung Kim and Ho Min Jo and Hyun Seo Jang and Su Jin Kim and Yun Gil Lee}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Developing a Virtual Agent that Exhibits Behavioral Conformity as a Social Interaction in the Atypical Architectural Space}, booktitle = {{HCI} International 2021 - Posters - 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1420}, pages = {264--268}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78642-7\_35}, doi = {10.1007/978-3-030-78642-7\_35}, timestamp = {Thu, 22 Jul 2021 14:47:10 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimJJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeJKKJ21, author = {Yun Gil Lee and Hyun Seo Jang and Su Jin Kim and Hong Jung Kim and Ho Min Jo}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Developing a Technology of Tracing a Trigger Spot for Human Behavior through Voxelization of Atypical Architectural Shapes}, booktitle = {{HCI} International 2021 - Posters - 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1420}, pages = {278--283}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78642-7\_37}, doi = {10.1007/978-3-030-78642-7\_37}, timestamp = {Thu, 22 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeJKKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/0002LCJKD21, author = {Chun{-}Yi Liu and Yunju Lee and Wonil Choi and Myoungsoo Jung and Mahmut Taylan Kandemir and Chita R. Das}, title = {{GSSA:} {A} Resource Allocation Scheme Customized for 3D {NAND} SSDs}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {426--439}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00043}, doi = {10.1109/HPCA51647.2021.00043}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/0002LCJKD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/JungPJPL21, author = {Jaehoon Jung and Daeyoung Park and Gangwon Jo and Jungho Park and Jaejin Lee}, editor = {Erwin Laure and Stefano Markidis and Ana Lucia Verbanescu and Jay F. Lofstead}, title = {SnuRHAC: {A} Runtime for Heterogeneous Accelerator Clusters with {CUDA} Unified Memory}, booktitle = {{HPDC} '21: The 30th International Symposium on High-Performance Parallel and Distributed Computing, Virtual Event, Sweden, June 21-25, 2021}, pages = {107--120}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431379.3460647}, doi = {10.1145/3431379.3460647}, timestamp = {Wed, 30 Jun 2021 16:55:43 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/JungPJPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/CabreraHKLMV21, author = {Anthony M. Cabrera and Seth Hitefield and Jungwon Kim and Seyong Lee and Narasinga Rao Miniskar and Jeffrey S. Vetter}, title = {Toward Performance Portable Programming for Heterogeneous Systems on a Chip: {A} Case Study with Qualcomm Snapdragon SoC}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622794}, doi = {10.1109/HPEC49654.2021.9622794}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpec/CabreraHKLMV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/KimLJV21, author = {Jungwon Kim and Seyong Lee and Beau Johnston and Jeffrey S. Vetter}, title = {{IRIS:} {A} Portable Runtime System Exploiting Multiple Heterogeneous Programming Systems}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622873}, doi = {10.1109/HPEC49654.2021.9622873}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpec/KimLJV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimLLJ21, author = {Bumho Kim and Chang{-}Gyu Lim and Seong{-}Ho Lee and Yung{-}Joon Jung}, title = {A Study on the Population Distribution Prediction in Large City using Agent-Based Simulation}, booktitle = {23rd International Conference on Advanced Communication Technology, {ICACT} 2021, Pyeongchang, South Korea, February 7-10, 2021}, pages = {68--71}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ICACT51234.2021.9370797}, doi = {10.23919/ICACT51234.2021.9370797}, timestamp = {Fri, 18 Mar 2022 11:48:11 +0100}, biburl = {https://dblp.org/rec/conf/icact/KimLLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/FerraroKLKJLLJK21, author = {Andres Ferraro and Yuntae Kim and Soohyeon Lee and Biho Kim and Namjun Jo and Semi Lim and Suyon Lim and Jungtaek Jang and Sehwan Kim and Xavier Serra and Dmitry Bogdanov}, title = {Melon Playlist Dataset: {A} Public Dataset for Audio-Based Playlist Generation and Music Tagging}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {536--540}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413552}, doi = {10.1109/ICASSP39728.2021.9413552}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/FerraroKLKJLLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KoSJLS21, author = {Yohan Ko and Hwisoo So and Jinhyo Jung and Kyoungwoo Lee and Aviral Shrivastava}, title = {Comprehensive Failure Analysis against Soft Errors from Hardware and Software Perspectives}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {204--207}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00041}, doi = {10.1109/ICCD53106.2021.00041}, timestamp = {Tue, 28 Dec 2021 14:09:48 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KoSJLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/JungLGCC21, author = {Sanghun Jung and Jungsoo Lee and Daehoon Gwak and Sungha Choi and Jaegul Choo}, title = {Standardized Max Logits: {A} Simple yet Effective Approach for Identifying Unexpected Road Obstacles in Urban-Scene Segmentation}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {15405--15414}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01514}, doi = {10.1109/ICCV48922.2021.01514}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/JungLGCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiEL21, author = {Yoojin Choi and Mostafa El{-}Khamy and Jungwon Lee}, title = {Zero-Shot Learning Of {A} Conditional Generative Adversarial Network For Data-Free Network Quantization}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {3552--3556}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506776}, doi = {10.1109/ICIP42928.2021.9506776}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/ChoiEL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/LeeLY21, author = {Zne{-}Jung Lee and Chou{-}Yuan Lee and Jie Yao}, editor = {Teen{-}Hang Meen}, title = {A Distributed Simulated Annealing Based Decision Tree {(DSABDT)} for Cancer Classification}, booktitle = {4th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2021, Taichung, Taiwan, July 23-25, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICKII51822.2021.9574706}, doi = {10.1109/ICKII51822.2021.9574706}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/LeeLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/AzizahLJS21, author = {Riski Nur Azizah and Yeasom Lee and Jason J. Jung and Bong{-}Soo Sohn}, title = {Gesture Recognition for Note Generation in {VR} Rhythm Game}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {521--524}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9333868}, doi = {10.1109/ICOIN50884.2021.9333868}, timestamp = {Fri, 12 Feb 2021 11:35:06 +0100}, biburl = {https://dblp.org/rec/conf/icoin/AzizahLJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeLSH21, author = {Meng{-}Ju Lee and Jhong{-}Ting Luo and Jia{-}Jung Shao and Nen{-}Fu Huang}, title = {A Trustworthy Food Resume Traceability System Based on Blockchain Technology}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {546--552}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9334025}, doi = {10.1109/ICOIN50884.2021.9334025}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeLSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeePKK21, author = {Haemin Lee and Soohyun Park and Junghyun Kim and Joongheon Kim}, title = {Auction-based Deep Learning Computation Offloading for Truthful Edge Computing: {A} Myerson Auction Approach}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {457--459}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9334016}, doi = {10.1109/ICOIN50884.2021.9334016}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeePKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/HamMLJASWKCJAL21, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Jaeyun Jung and Hyoungjoo Ahn and Wook Song and Sangjung Woo and Parichay Kapoor and Dongju Chae and Gichan Jang and Yongjoo Ahn and Jihoon Lee}, title = {NNStreamer: Efficient and Agile Development of On-Device {AI} Systems}, booktitle = {43rd {IEEE/ACM} International Conference on Software Engineering: Software Engineering in Practice, {ICSE} {(SEIP)} 2021, Madrid, Spain, May 25-28, 2021}, pages = {198--207}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSE-SEIP52600.2021.00029}, doi = {10.1109/ICSE-SEIP52600.2021.00029}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/HamMLJASWKCJAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangOJJL21, author = {Hyunjoo Kang and Seung{-}Hee Oh and Sang{-}Lim Ju and Woo{-}Sug Jung and Yong{-}Tae Lee}, title = {A Proposal of Parameter Extension for Multilanguage in 5G {CBS}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1437--1440}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621021}, doi = {10.1109/ICTC52510.2021.9621021}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangOJJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLLL21a, author = {Nac{-}Woo Kim and HyunYong Lee and Jun{-}Gi Lee and Byung{-}Tak Lee}, title = {Sound-based anomaly detection using a locally constrained capsule network}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {73--75}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621183}, doi = {10.1109/ICTC52510.2021.9621183}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimLLL21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLLR21, author = {Jung{-}Bin Kim and Hyoungsoo Lim and Sanguk Lee and Joon{-}Gyu Ryu}, title = {A Statistical Approach for Assessing Time-to-First-Fix Performance of Global Navigation Satellite Systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {955--957}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620855}, doi = {10.1109/ICTC52510.2021.9620855}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimLLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeJHCCK21, author = {Sung{-}Hun Lee and Yong{-}An Jung and Dong{-}Cheul Han and Soo{-}Hyun Cho and Hyun{-}Kyu Cho and Byoung{-}Yong Kim}, title = {A Study on 5G Communication-Based Smart Logistics Cart System}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {596--598}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621197}, doi = {10.1109/ICTC52510.2021.9621197}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeJHCCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLKL21, author = {Jun{-}Gi Lee and HyunYong Lee and Nac{-}Woo Kim and Byung{-}Tak Lee}, title = {A Study on Online {ARIMA} Algorithms applying various gradient descent optimization algorithms for Time Series Prediction}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1104--1106}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620990}, doi = {10.1109/ICTC52510.2021.9620990}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeMNLOY21, author = {Ji Won Lee and Sung{-}Won Moon and Do{-}Won Nam and Jungsoo Lee and Ah Reum Oh and Won{-}Young Yoo}, title = {A study on the application of knowledge distillation in ship type classification model development}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {280--282}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620866}, doi = {10.1109/ICTC52510.2021.9620866}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeMNLOY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeMNLOY21a, author = {Jungsoo Lee and Sung{-}Won Moon and Do{-}Won Nam and Ji Won Lee and Ah Reum Oh and Wonyoung Yoo}, title = {A Study on American Football Player Tracking Based on Video Through Deep Learning and {GPS} Convergence}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1114--1116}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621106}, doi = {10.1109/ICTC52510.2021.9621106}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeMNLOY21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonLLONY21, author = {Sung{-}Won Moon and Ji Won Lee and Jungsoo Lee and Ah Reum Oh and Do{-}Won Nam and Won{-}Young Yoo}, title = {A Study on the Improvement of Fine-grained Ship Classification through Data Augmentation Using Generative Adversarial Networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1230--1232}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620888}, doi = {10.1109/ICTC52510.2021.9620888}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/MoonLLONY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhLMLNY21, author = {Ah Reum Oh and Ji Won Lee and Sung{-}Won Moon and Jungsoo Lee and Do{-}Won Nam and Won{-}Young Yoo}, title = {On Constructing Vessel Dataset Structure Using GAN-based Data Augmentation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1700--1702}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620827}, doi = {10.1109/ICTC52510.2021.9620827}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/OhLMLNY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/RiveraOVRJLJK21, author = {Patricio Rivera and Jiheon Oh and Edwin Valarezo and Gahyeon Ryu and Hwanseok Jung and Jin Hyunk Lee and Jin Gyun Jeong and Tae{-}Seong Kim}, title = {Reward Shaping to Learn Natural Object Manipulation With an Anthropomorphic Robotic Hand and Hand Pose Priors via On-Policy Reinforcement Learning}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {167--171}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620901}, doi = {10.1109/ICTC52510.2021.9620901}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/RiveraOVRJLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SeoJC0JLK21, author = {Yejune Seo and Munsu Jeon and Junghyun Cho and Yejin Lee and Jiyeon Jang and Changhyeong Lee and Sungtek Kahng}, title = {A Small and Angle-Diversity Antenna Mountable for the Small Drone}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {994--996}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620900}, doi = {10.1109/ICTC52510.2021.9620900}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SeoJC0JLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeL21, author = {Jung{-}Hyun Lee and Kang{-}Yoon Lee}, title = {A Design of 20MS/s 12-bit Charge Sharing {SAR} {ADC} for Ultrasound Diagnostic Medical Devices}, booktitle = {Twelfth International Conference on Ubiquitous and Future Networks, {ICUFN} 2021, Jeju Island, South Korea, August 17-20, 2021}, pages = {239--241}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICUFN49451.2021.9528807}, doi = {10.1109/ICUFN49451.2021.9528807}, timestamp = {Wed, 27 Jul 2022 14:31:30 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeJCJPSSC21, author = {Jung{-}Hoon Lee and Min{-}Su Jeong and Jin{-}Uk Cho and Hyun{-}Kyu Jeon and Jong{-}Hyeok Park and Kyoung{-}Deok Shin and Su{-}Jeong Song and Yun{-}Gyung Cheong}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Developing a Ophthalmic Chatbot System}, booktitle = {15th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2021, Seoul, South Korea, January 4-6, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMCOM51814.2021.9377398}, doi = {10.1109/IMCOM51814.2021.9377398}, timestamp = {Thu, 25 Mar 2021 12:01:12 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/LeeJCJPSSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/JungLKP21, author = {Yoon Taek Jung and Yeji Lee and Minhwa Kim and Sang{-}Eun Park}, title = {Combined use of Optical and Sar Data for Thermokarst Terrain: {A} Case Stduy in Central Yakutia}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {5589--5591}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554270}, doi = {10.1109/IGARSS47720.2021.9554270}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/JungLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/KimKYLL21, author = {So Hyeon Kim and Ji Hun Kim and Jung Ahn Yang and Jung Yeon Lee and Jee Hang Lee}, editor = {Jong{-}Hoon Kim and Madhusudan Singh and Javed Khan and Uma Shanker Tiwary and Mriganka Sur and Dhananjay Singh}, title = {Touching Minds: Deep Generative Models Composing the Digital Contents to Practice Mindfulness}, booktitle = {Intelligent Human Computer Interaction - 13th International Conference, {IHCI} 2021, Kent, OH, USA, December 20-22, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13184}, pages = {106--116}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98404-5\_9}, doi = {10.1007/978-3-030-98404-5\_9}, timestamp = {Wed, 25 Oct 2023 08:25:58 +0200}, biburl = {https://dblp.org/rec/conf/ihci/KimKYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interact/YapLR21, author = {Christine Ee Ling Yap and Jung{-}Joo Lee and Virpi Roto}, editor = {Carmelo Ardito and Rosa Lanzilotti and Alessio Malizia and Helen Petrie and Antonio Piccinno and Giuseppe Desolda and Kori Inkpen}, title = {How {HCI} Interprets Service Design: {A} Systematic Literature Review}, booktitle = {Human-Computer Interaction - {INTERACT} 2021 - 18th {IFIP} {TC} 13 International Conference, Bari, Italy, August 30 - September 3, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12933}, pages = {259--280}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85616-8\_16}, doi = {10.1007/978-3-030-85616-8\_16}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interact/YapLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungHKCL21, author = {Jee{-}weon Jung and Hee{-}Soo Heo and Youngki Kwon and Joon Son Chung and Bong{-}Jin Lee}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {Three-Class Overlapped Speech Detection Using a Convolutional Recurrent Neural Network}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {3086--3090}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-149}, doi = {10.21437/INTERSPEECH.2021-149}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungHKCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JangLKPACKKYAPL21, author = {Jun{-}Woo Jang and Sehwan Lee and Dongyoung Kim and Hyunsun Park and Ali Shafiee Ardestani and Yeongjae Choi and Channoh Kim and Yoojin Kim and Hyeongseok Yu and Hamzah Abdel{-}Aziz and Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Myeong Woo Kim and Hanwoong Jung and Heewoo Nam and Dongguen Lim and Seungwon Lee and Joon{-}Ho Song and Suknam Kwon and Joseph Hassoun and Sukhwan Lim and Changkyu Choi}, title = {Sparsity-Aware and Re-configurable {NPU} Architecture for Samsung Flagship Mobile SoC}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {15--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00011}, doi = {10.1109/ISCA52012.2021.00011}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/JangLKPACKKYAPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/VenkataramaniSW21, author = {Swagath Venkataramani and Vijayalakshmi Srinivasan and Wei Wang and Sanchari Sen and Jintao Zhang and Ankur Agrawal and Monodeep Kar and Shubham Jain and Alberto Mannari and Hoang Tran and Yulong Li and Eri Ogawa and Kazuaki Ishizaki and Hiroshi Inoue and Marcel Schaal and Mauricio J. Serrano and Jungwook Choi and Xiao Sun and Naigang Wang and Chia{-}Yu Chen and Allison Allain and James Bonanno and Nianzheng Cao and Robert Casatuta and Matthew Cohen and Bruce M. Fleischer and Michael Guillorn and Howard Haynie and Jinwook Jung and Mingu Kang and Kyu{-}Hyoun Kim and Siyu Koswatta and Sae Kyu Lee and Martin Lutz and Silvia M. Mueller and Jinwook Oh and Ashish Ranjan and Zhibin Ren and Scot Rider and Kerstin Schelm and Michael Scheuermann and Joel Silberman and Jie Yang and Vidhi Zalani and Xin Zhang and Ching Zhou and Matthew M. Ziegler and Vinay Shah and Moriyoshi Ohara and Pong{-}Fei Lu and Brian W. Curran and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {RaPiD: {AI} Accelerator for Ultra-low Precision Training and Inference}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {153--166}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00021}, doi = {10.1109/ISCA52012.2021.00021}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/VenkataramaniSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/ChinthavaliLSCT21, author = {Supriya Chinthavali and Sangkeun Lee and Michael R. Starke and Junghoon Chae and Varisara Tansakul and Jeffrey Munk and Helia Zandi and P. Teja Kuruganti and Heather Buckberry and Mahabir Bhandari and James Leverette}, title = {Data Analysis Approach for Large Data Volumes in a Connected Community}, booktitle = {{IEEE} Power {\&} Energy Society Innovative Smart Grid Technologies Conference, {ISGT} 2021, Washington, DC, USA, February 16-18, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISGT49243.2021.9372256}, doi = {10.1109/ISGT49243.2021.9372256}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/ChinthavaliLSCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiRLPLHJ21, author = {Hong{-}Seok Choi and Seungha Roh and Sanghee Lee and Jung{-}Hoon Park and Kwanghoon Lee and Young{-}Ha Hwang and Deog{-}Kyoon Jeong}, title = {A 6b 48-GS/s Asynchronous 2b/cycle Time-Interleaved {ADC} in 28-nm {CMOS}}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {127--128}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613967}, doi = {10.1109/ISOCC53507.2021.9613967}, timestamp = {Mon, 06 Dec 2021 17:33:24 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChoiRLPLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JinKCLRCK21, author = {Junghoon Jin and Seungjun Kim and Sunguk Choi and Pil{-}Ho Lee and Sang{-}jae Rhee and Ki{-}hwan Choi and Jongsun Kim}, title = {A 7.68 GHz Fast-Lock Low-Jitter Digital {MDLL}}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {311--312}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613940}, doi = {10.1109/ISOCC53507.2021.9613940}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JinKCLRCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeL21, author = {Jung{-}Hyun Lee and Kang{-}Yoon Lee}, title = {A Design of Low-Power Bootstrapped {CMOS} Switch for 20MS/s 12-bit Charge Sharing {SAR} ADCs}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {5--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613861}, doi = {10.1109/ISOCC53507.2021.9613861}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeLJAJJ21, author = {Ki Beom Lee and Sumin Lee and Sunghwan Joo and Hong Keun Ahn and Young Seok Jung and Seong{-}Ook Jung}, title = {{CNN} encryption using {XOR} Gate for Hardware Optimization}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {359--360}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9614013}, doi = {10.1109/ISOCC53507.2021.9614013}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeLJAJJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/JungLKKLMCA21, author = {Wonkyung Jung and Eojin Lee and Sangpyo Kim and Namhoon Kim and Keewoo Lee and Chohong Min and Jung Hee Cheon and Jung Ho Ahn}, title = {Accelerating Fully Homomorphic Encryption Through Microarchitecture-Aware Analysis and Optimization}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2021, Stony Brook, NY, USA, March 28-30, 2021}, pages = {237--239}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPASS51385.2021.00045}, doi = {10.1109/ISPASS51385.2021.00045}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/JungLKKLMCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {144--146}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365791}, doi = {10.1109/ISSCC42613.2021.9365791}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AgrawalLSZKVCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungRKLKPJJKPKP21, author = {Sung{-}jin Jung and Jeil Ryu and Wanghyun Kim and Seunghoon Lee and Jongboo Kim and Hyelim Park and Taeyoul Jang and Haedo Jeong and Juhwa Kim and Jeongho Park and Raeyoung Kim and Jeonghoon Park and HeeJae Jo and Whee Jin Kim and Jangbeom Yang and Bongjin Sohn and Yuncheol Han and Inchun Lim and Seoungjae Yoo and Changsoon Park and Dae{-}Geun Jang and Byung{-}Hoon Ko and Jeongwook Lim and Jihon Kim and Kyungho Lee and Jesuk Lee and Yongin Park and Long Yan}, title = {A 400-to-1000nm 24{\(\mu\)} {W} Monolithic {PPG} Sensor with 0.3A/W Spectral Responsivity for Miniature Wearables}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {388--390}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366035}, doi = {10.1109/ISSCC42613.2021.9366035}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungRKLKPJJKPKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KeelKKBKCSLJSHA21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Heeyoung Jo and Seung{-}Chul Shin and Sunjoo Hong and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Kyoungmin Koh and Yongin Park}, title = {A 4-tap 3.5 {\(\mu\)}m 1.2 Mpixel Indirect Time-of-Flight {CMOS} Image Sensor with Peak Current Mitigation and Multi-User Interference Cancellation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {106--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365854}, doi = {10.1109/ISSCC42613.2021.9365854}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KeelKKBKCSLJSHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBBPJHKJNPLC21, author = {Dongsu Kim and Jun{-}Suk Bang and Jongbeom Baek and Seungchan Park and Young{-}Ho Jung and Jae{-}Yeol Han and Ik{-}Hwan Kim and Sung{-}Youb Jung and Takahiro Nomiyama and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {33.9 {A} Hybrid Switching Supply Modulator Achieving 130MHz Envelope-Tracking Bandwidth and 10W Output Power for 2G/3G/LTE/NR {RF} Power Amplifiers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {476--478}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365986}, doi = {10.1109/ISSCC42613.2021.9365986}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimBBPJHKJNPLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKJLLKJALBKJL21, author = {Jongsoo Lee and Byoungjoong Kang and Seongwon Joo and Seokwon Lee and Joongho Lee and Seunghoon Kang and Ikkyun Jo and Suseop Ahn and Jaeseung Lee and Jeongyeol Bae and Won Ko and Woniun Jung and Sangho Lee and Sangsung Lee and Euiyoung Park and Sungiun Lee and Jeongkyun Woo and Jaehoon Lee and Yanghoon Lee and Kyungmin Lee and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {6.1 {A} Low-Power and Low-Cost 14nm FinFET {RFIC} Supporting Legacy Cellular and 5G {FR1}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {90--92}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365736}, doi = {10.1109/ISSCC42613.2021.9365736}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKJLLKJALBKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkJLLLJLKJSLK21, author = {Jun{-}Seok Park and Jun{-}Woo Jang and Heonsoo Lee and Dongwoo Lee and Sehwan Lee and Hanwoong Jung and Seungwon Lee and Suknam Kwon and Kyung{-}Ah Jeong and Joon{-}Ho Song and Sukhwan Lim and Inyup Kang}, title = {9.5 {A} 6K-MAC Feature-Map-Sparsity-Aware Neural Processing Unit in 5nm Flagship Mobile SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {152--154}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365928}, doi = {10.1109/ISSCC42613.2021.9365928}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkJLLLJLKJSLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRKCKLBKKBJK21, author = {Taejoong Song and Woojin Rim and Hoonki Kim and Keun Hwi Cho and Taeyeong Kim and Taejung Lee and Geumjong Bae and Dong{-}Won Kim and S. D. Kwon and Sanghoon Baek and Jonghoon Jung and Jongwook Kye and Hakchul Jung and Hyungtae Kim and Soon{-}Moon Jung and Jaehong Park}, title = {24.3 {A} 3nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-BL and an Adaptive Cell-Power Assist Circuit}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {338--340}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365988}, doi = {10.1109/ISSCC42613.2021.9365988}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRKCKLBKKBJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/YooJLJ21, author = {Taewon Yoo and Hyewon Jeong and Donghwan Lee and Hyunggu Jung}, editor = {Tracy Hammond and Katrien Verbert and Dennis Parra}, title = {LectYS: {A} System for Summarizing Lecture Videos on YouTube}, booktitle = {{IUI} '21: 26th International Conference on Intelligent User Interfaces, College Station, TX, USA, April 13-17, 2021, Companion}, pages = {90--92}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3397482.3450722}, doi = {10.1145/3397482.3450722}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/YooJLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwocl/CabreraYLXALJKB21, author = {Anthony M. Cabrera and Aaron R. Young and Jacob Lambert and Zhili Xiao and Amy An and Seyong Lee and Zheming Jin and Jungwon Kim and Jeremy Buhler and Roger D. Chamberlain and Jeffrey S. Vetter}, editor = {Simon McIntosh{-}Smith}, title = {Toward Evaluating High-Level Synthesis Portability and Performance between Intel and Xilinx FPGAs}, booktitle = {IWOCL'21: International Workshop on OpenCL, Munich Germany, April, 2021}, pages = {7:1--7:9}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3456669.3456699}, doi = {10.1145/3456669.3456699}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwocl/CabreraYLXALJKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/OhMLHPLA21, author = {Deok{-}Jae Oh and Yaebin Moon and Eojin Lee and Tae Jun Ham and Yongjun Park and Jae W. Lee and Jung Ho Ahn}, editor = {J{\"{o}}rg Henkel and Xu Liu}, title = {MaPHeA: a lightweight memory hierarchy-aware profile-guided heap allocation framework}, booktitle = {{LCTES} '21: 22nd {ACM} {SIGPLAN/SIGBED} International Conference on Languages, Compilers, and Tools for Embedded Systems, Virtual Event, Canada, 22 June, 2021}, pages = {24--36}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461648.3463844}, doi = {10.1145/3461648.3463844}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lctrts/OhMLHPLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LiuLTRBLKSW21, author = {Cong Liu and Hao Liu and Casey N. Ta and James Roger and Alex Butler and Junghwan Lee and Jaehyun Kim and Ning Shang and Chunhua Weng}, editor = {Paula Otero and Philip Scott and Susan Z. Martin and Elaine Huesing}, title = {Evaluation of Criteria2Query: Towards Augmented Intelligence for Cohort Identification}, booktitle = {{MEDINFO} 2021: One World, One Health - Global Partnership for Digital Innovation - Proceedings of the 18th World Congress on Medical and Health Informatics, Virtual Event, 2-4 October 2021}, series = {Studies in Health Technology and Informatics}, volume = {290}, pages = {297--300}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI220082}, doi = {10.3233/SHTI220082}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LiuLTRBLKSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ParkKYLRA21, author = {Jaehyun Park and Byeongho Kim and Sungmin Yun and Eojin Lee and Minsoo Rhu and Jung Ho Ahn}, title = {TRiM: Enhancing Processor-Memory Interfaces with Scalable Tensor Reduction in Memory}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {268--281}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480080}, doi = {10.1145/3466752.3480080}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/ParkKYLRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ZengCFSLMJ21, author = {Jianping Zeng and Jongouk Choi and Xinwei Fu and Ajay Paddayuru Shreepathi and Dongyoon Lee and Changwoo Min and Changhee Jung}, title = {ReplayCache: Enabling Volatile Cachesfor Energy Harvesting Systems}, booktitle = {{MICRO} '21: 54th Annual {IEEE/ACM} International Symposium on Microarchitecture, Virtual Event, Greece, October 18-22, 2021}, pages = {170--182}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3466752.3480102}, doi = {10.1145/3466752.3480102}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/ZengCFSLMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/HanJKWVULLAS21, author = {Runze Han and Craig K. Jones and Michael D. Ketcha and Pengwei Wu and Prasad Vagdargi and Ali Uneri and Junghoon Lee and Mark Luciano and William S. Anderson and Jeffrey H. Siewerdsen}, editor = {Cristian A. Linte and Jeffrey H. Siewerdsen}, title = {Deformable {MR-CT} image registration using an unsupervised synthesis and registration network for neuro-endoscopic surgery}, booktitle = {Medical Imaging 2021: Image-Guided Procedures, Robotic Interventions, and Modeling, Online, February 15-20, 2021}, series = {{SPIE} Proceedings}, volume = {11598}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2581567}, doi = {10.1117/12.2581567}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miigp/HanJKWVULLAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SinghPWCLP21, author = {Mallika Singh and Eleanor Pahl and Shangxian Wang and Aaron Carass and Junghoon Lee and Jerry L. Prince}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Accurate estimation of total intracranial volume in {MRI} using a multi-tasked image-to-image translation network}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2582264}, doi = {10.1117/12.2582264}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/SinghPWCLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/AsaiKCLCH21, author = {Akari Asai and Jungo Kasai and Jonathan H. Clark and Kenton Lee and Eunsol Choi and Hannaneh Hajishirzi}, editor = {Kristina Toutanova and Anna Rumshisky and Luke Zettlemoyer and Dilek Hakkani{-}T{\"{u}}r and Iz Beltagy and Steven Bethard and Ryan Cotterell and Tanmoy Chakraborty and Yichao Zhou}, title = {{XOR} {QA:} Cross-lingual Open-Retrieval Question Answering}, booktitle = {Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2021, Online, June 6-11, 2021}, pages = {547--564}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.naacl-main.46}, doi = {10.18653/V1/2021.NAACL-MAIN.46}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/AsaiKCLCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LeeYDKBSJ21, author = {Hwanhee Lee and Seunghyun Yoon and Franck Dernoncourt and Doo Soon Kim and Trung Bui and Joongbo Shin and Kyomin Jung}, editor = {Kristina Toutanova and Anna Rumshisky and Luke Zettlemoyer and Dilek Hakkani{-}T{\"{u}}r and Iz Beltagy and Steven Bethard and Ryan Cotterell and Tanmoy Chakraborty and Yichao Zhou}, title = {{KPQA:} {A} Metric for Generative Question Answering Using Keyphrase Weights}, booktitle = {Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2021, Online, June 6-11, 2021}, pages = {2105--2115}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.naacl-main.170}, doi = {10.18653/V1/2021.NAACL-MAIN.170}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/naacl/LeeYDKBSJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/PeltonenNBRPLAA21, author = {Laura{-}Maria Peltonen and Raji Nibber and Lorraine Block and Charlene Ronquillo and Erika Lozada Perezmitre and Adrienne Lewis and Dari AlHuwail and Samira Ali and Mattias Georgsson and Eunjoo Jeon and Jude L. Tayaben and Ying{-}Li Lee and Chiu{-}Hsiang Kuo and Shao Hui Shu and Hanjen Hsu and Janine Sommer and Raymond Francis R. Sarmiento and Hyunggu Jung and Gabrielle Jacklin Eler and Martha K. Badger and Rui Zhao and Tong Zhou and Haley Deforest and Suleman Atique and Maxim Topaz and Lisiane Pruinelli}, editor = {Michelle Honey and Charlene Ronquillo and Ting{-}Ting Lee and Lucy Westbrooke}, title = {Nursing Informatics Research Trends: Findings from an International Survey}, booktitle = {Nurses and Midwives in the Digital Age - Selected Papers, Posters and Panels from the 15th International Congress in Nursing Informatics, Virtual Event, 23 August - 2 September 2021}, series = {Studies in Health Technology and Informatics}, volume = {284}, pages = {344--349}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI210741}, doi = {10.3233/SHTI210741}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/PeltonenNBRPLAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/BianRPHMDRVKYEF21, author = {Yusheng Bian and Koushik Ramachandran and Bo Peng and Brittany Hedrick and Scott Mills and Keith Donegan and Armand Rundquist and Ed Vail and Vaishnavi Karra and Firat Yasar and Monica Esopi and Benjamin Fasano and Asli Sahin and Thomas Houghton and Karen Nummy and Hanyi Ding and Takako Hirokawa and Kevin Dezfulian and Zhuo{-}Jie Wu and Daniel Fisher and Jim Pape and Jay Steffes and Louis Medina and Subharup Gupta Roy and Harry Cox and Bart Green and Jorge Lubguban and Won Suk Lee and Abdelsalam Aboketaf and Michal Rakowski and Kate McLean and Zoey Sowinski and Oh{-}Jung Kwon and Gabrielle Robert and Massimo Sorbara and Subramanian Krishnamurthy and Andy Stricker and Jae Kyu Cho and Ian Melville and Dave Riggs and Rod Augur and Norman Robson and Daniel Berger and Luke Lee and Vikas Gupta and Anthony Yu and Ken Giewont and Ted Letavic and John Pellerin}, title = {Hybrid {III-V} laser integration on a monolithic silicon photonic platform}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489712}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/BianRPHMDRVKYEF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HuangMMFLKLQLBH21, author = {Mengyuan Huang and Kelly Magruder and Yann Malinge and Parastou Fakhimi and Hao{-}Hsiang Liao and David Kohen and Gregory Lovell and Wei Qian and Kiyoung Lee and Carsten Brandt and Mahtab Hakami and Yen{-}Jung Chen and Erin Carabajal and Erle Guillermo and Seth Slavin and Ansheng Liu}, title = {Recess-type waveguide integrated germanium on silicon avalanche photodiode}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489428}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HuangMMFLKLQLBH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/JungDL21, author = {Wookeun Jung and Thanh Tuan Dao and Jaejin Lee}, editor = {Stephen N. Freund and Eran Yahav}, title = {DeepCuts: a deep learning optimization framework for versatile {GPU} workloads}, booktitle = {{PLDI} '21: 42nd {ACM} {SIGPLAN} International Conference on Programming Language Design and Implementation, Virtual Event, Canada, June 20-25, 2021}, pages = {190--205}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3453483.3454038}, doi = {10.1145/3453483.3454038}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pldi/JungDL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/re/JungPL21, author = {Ji{-}Wook Jung and Sihn{-}Hye Park and Seok{-}Won Lee}, title = {A Tool for Security Requirements Recommendation using Case-Based Problem Domain Ontology}, booktitle = {29th {IEEE} International Requirements Engineering Conference, {RE} 2021, Notre Dame, IN, USA, September 20-24, 2021}, pages = {438--439}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/RE51729.2021.00059}, doi = {10.1109/RE51729.2021.00059}, timestamp = {Mon, 22 Nov 2021 16:46:39 +0100}, biburl = {https://dblp.org/rec/conf/re/JungPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/LeeSRCGJ21, author = {Wen{-}Ying Lee and Mose Sakashita and Elizabeth Ricci and Houston Claure and Fran{\c{c}}ois Guimbreti{\`{e}}re and Malte F. Jung}, title = {Interactive Vignettes: Enabling Large-Scale Interactive {HRI} Research}, booktitle = {30th {IEEE} International Conference on Robot {\&} Human Interactive Communication, {RO-MAN} 2021, Vancouver, BC, Canada, August 8-12, 2021}, pages = {1289--1296}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/RO-MAN50785.2021.9515376}, doi = {10.1109/RO-MAN50785.2021.9515376}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/LeeSRCGJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secdev/LeeJLLK21, author = {Sungmin Lee and Yoonkyo Jung and Jaehyun Lee and Byoungyoung Lee and Ted "Taekyoung" Kwon}, title = {Android Remote Unlocking Service using Synthetic Password: {A} Hardware Security-preserving Approach}, booktitle = {{IEEE} Secure Development Conference, SecDev 2021, Atlanta, GA, USA, October 18-20, 2021}, pages = {63--70}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SecDev51306.2021.00025}, doi = {10.1109/SECDEV51306.2021.00025}, timestamp = {Thu, 30 Dec 2021 09:40:17 +0100}, biburl = {https://dblp.org/rec/conf/secdev/LeeJLLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/LeeQKO21, author = {Doris Jung Lin Lee and Abdul Quamar and Eser Kandogan and Fatma {\"{O}}zcan}, editor = {Guoliang Li and Zhanhuai Li and Stratos Idreos and Divesh Srivastava}, title = {Boomerang: Proactive Insight-Based Recommendations for Guiding Conversational Data Analysis}, booktitle = {{SIGMOD} '21: International Conference on Management of Data, Virtual Event, China, June 20-25, 2021}, pages = {2750--2754}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3448016.3452748}, doi = {10.1145/3448016.3452748}, timestamp = {Mon, 21 Jun 2021 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/LeeQKO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/KimLL21, author = {Ju Hyung Kim and Jung Hee Lee and Kyoung Jin Lee}, title = {A Study on the Issues Related to Building a Library Information System Based on Deep Learning}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {287--289}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00076}, doi = {10.1109/SNPDWINTER52325.2021.00076}, timestamp = {Thu, 22 Apr 2021 17:15:35 +0200}, biburl = {https://dblp.org/rec/conf/snpd/KimLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LeeKKS21, author = {Jung Hee Lee and Ju Hyung Kim and Yong Hwan Kim and Yongmin Song}, title = {A Study on Priorities for Utilization of {AI} Recruitment System}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {278--279}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00072}, doi = {10.1109/SNPDWINTER52325.2021.00072}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/snpd/LeeKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssbse/LeeJPLYY21, author = {Junghyun Lee and Chani Jung and Yoo Hwa Park and Dongmin Lee and Juyeon Yoon and Shin Yoo}, editor = {Una{-}May O'Reilly and Xavier Devroey}, title = {Preliminary Evaluation of {SWAY} in Permutation Decision Space via a Novel Euclidean Embedding}, booktitle = {Search-Based Software Engineering - 13th International Symposium, {SSBSE} 2021, Bari, Italy, October 11-12, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12914}, pages = {26--40}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-88106-1\_3}, doi = {10.1007/978-3-030-88106-1\_3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ssbse/LeeJPLYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sui/LeeHBBC21, author = {Chia{-}Yang Lee and Wei{-}An Hsieh and David Brickler and Sabarish V. Babu and Jung{-}Hong Chuang}, editor = {Francisco R. Ortega and Robert J. Teather and Gerd Bruder and Thammathip Piumsomboon and Benjamin Weyers and Anil Ufuk Batmaz and Kyle Johnsen and Christoph W. Borst}, title = {Design and Empirical Evaluation of a Novel Near-field Interaction Metaphor on Distant Object Manipulation in {VR}}, booktitle = {{SUI} '21: Symposium on Spatial User Interaction, Virtual Event, USA, November 9-10, 2021}, pages = {13:1--13:11}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3485279.3485296}, doi = {10.1145/3485279.3485296}, timestamp = {Fri, 04 Nov 2022 09:06:18 +0100}, biburl = {https://dblp.org/rec/conf/sui/LeeHBBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeLEPY21, author = {Geonhyup Lee and Hosu Lee and Amre Eizad and Sanghun Pyo and Jungwon Yoon}, title = {Design of Suspended Cable-Driven Parallel Robot with Series Elastic Actuator for 3-DOF Body Weight Support System}, booktitle = {18th International Conference on Ubiquitous Robots, {UR} 2021, Gangneung, South Korea), July 12-14, 2021}, pages = {134--138}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/UR52253.2021.9494688}, doi = {10.1109/UR52253.2021.9494688}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeLEPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/BilogrevicEPTHP21, author = {Igor Bilogrevic and Balazs Engedy and Judson L. Porter III and Nina Taft and Kamila Hasanbega and Andrew Paseltiner and Hwi Kyoung Lee and Edward Jung and Meggyn Watkins and P. J. McLachlan and Jason James}, editor = {Michael D. Bailey and Rachel Greenstadt}, title = {"Shhh...be quiet!" Reducing the Unwanted Interruptions of Notification Permission Prompts on Chrome}, booktitle = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, pages = {769--784}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/usenixsecurity21/presentation/bilogrevic}, timestamp = {Mon, 20 Nov 2023 08:57:49 +0100}, biburl = {https://dblp.org/rec/conf/uss/BilogrevicEPTHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChungKYHPLYLLKL21, author = {Taeyoung Chung and Sooa Kim and Jehyung Yoon and Heeseok Han and Kyung Soo Park and Hokyu Lee and Ho{-}Young Yoon and Sun{-}Kyu Lee and Jongyoon Lim and Yongjin Kwon and Jungbong Lee and Sung{-}Ung Kwak}, title = {A 10A/{\(\mu\)}s Fast Transient {AOT} Voltage Regulator on {DDR5} {DIMM} with Dithered Pseudo-Constant Switching Frequency Achieving -6dB Harmonic Suppression}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492332}, doi = {10.23919/VLSICIRCUITS52068.2021.9492332}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChungKYHPLYLLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HongBSKYKBCSKJC21, author = {Seunghwan Hong and Chang{-}Hyun Bae and Yoo{-}Chang Sung and Jaewoong Kim and Junsub Yoon and Sangwoo Kim and Jin{-}Hyeok Baek and Cheongryong Cho and Useung Shin and Sang{-}Kyeom Kim and Hwan{-}Chul Jung and Ho{-}Jun Chang and Jang{-}Hoo Kim and Jeongsik Hwang and Hyunki Kim and Ki{-}Won Lee and Dongmin Kim and Han{-}Ki Jeong and Myung{-}O. Kim and Kyomin Sohn and Jeong{-}Don Ihm and Changsik Yoo and Sang Joon Hwang}, title = {A Reflection and Crosstalk Canceling Continuous-Time Linear Equalizer for High-Speed {DDR} {SDRAM}}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492390}, doi = {10.23919/VLSICIRCUITS52068.2021.9492390}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HongBSKYKBCSKJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JoeLPKKKKLHRKPK21, author = {Insung Joe and Yunki Lee and Hye Yeon Park and Jong Uk Kim and Dongyeon Kang and Taehoon Kim and Minkwan Kim and Kwangmin Lee and Minsung Heo and Inho Ro and Jinhyung Kim and Inyong Park and Seokjin Kwon and Kisang Yoon and Dami Park and Changkyu Lee and Eunyoung Jo and Minhwan Jeon and Chanho Park and Kyung Rae Byun and Chong Kwang Chang and JaeSung Hur and Kijoong Yoon and Taeksoo Jeon and Jaehak Lee and Jungho Park and Bumsuk Kim and JungChak Ahn and Hyunchul Kim and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, title = {Development of Advanced Inter-Color-Filter Grid on Sub-Micron-Pixel {CMOS} Image Sensor for Mobile Cameras with High Sensitivity and High Resolution}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492339}, doi = {10.23919/VLSICIRCUITS52068.2021.9492339}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JoeLPKKKKLHRKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKJLLKJK21, author = {Gyeong{-}Gu Kang and Seok{-}Tae Koh and Woojin Jang and Jiho Lee and Seongjoo Lee and Ohjo Kwon and Keumdong Jung and Hyun{-}Sik Kim}, title = {A 12-Bit Mobile OLED/{\(\mu\)}LED Display Driver {IC} with Cascaded Loading-Free Capacitive Interpolation {DAC} and 6.24V/{\(\mu\)}s-Slew-Rate Buffer Amplifier}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492490}, doi = {10.23919/VLSICIRCUITS52068.2021.9492490}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKJLLKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LimLMBALCNPPKCP21, author = {Jongyup Lim and Jungho Lee and Eunseong Moon and Michael Barrow and Gabriele Atzeni and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Dennis Sylvester and Taekwang Jang}, title = {A Light Tolerant Neural Recording {IC} for Near-Infrared-Powered Free Floating Motes}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492459}, doi = {10.23919/VLSICIRCUITS52068.2021.9492459}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LimLMBALCNPPKCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SeoCJKSLKLBBKLS21, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Sung{-}Jae Byun and Daehee Bae and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Changrok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter {CMOS} Image Sensor with Pixel-Level {ADC} and In-Pixel Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492357}, doi = {10.23919/VLSICIRCUITS52068.2021.9492357}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/SeoCJKSLKLBBKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShimLPCYJLKLBKC21, author = {Eun Sub Shim and Kyungho Lee and Junghyung Pyo and Wooseok Choi and Jungbin Yun and Taesub Jung and Kyungduck Lee and Seyoung Kim and Chanhee Lee and Seungki Baek and Hyuncheol Kim and Sungsoo Choi and Junseok Yang and Kyoungmok Son and Jongwon Choi and Howoo Park and Bumsuk Kim and JungChak Ahn and Duckhyun Chang}, title = {All-Directional Dual Pixel Auto Focus Technology in {CMOS} Image Sensors}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492472}, doi = {10.23919/VLSICIRCUITS52068.2021.9492472}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShimLPCYJLKLBKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/HanKCKLCJCKK21, author = {Yunha Han and Hwiyeon Kim and Hyeshin Chu and Joohee Kim and Hyunwook Lee and Seunghyeong Choe and Dooyoung Jung and Dongil Chung and Bum Chul Kwon and Sungahn Ko}, editor = {Jure Leskovec and Marko Grobelnik and Marc Najork and Jie Tang and Leila Zia}, title = {Wait, Let's Think about Your Purchase Again: {A} Study on Interventions for Supporting Self-Controlled Online Purchases}, booktitle = {{WWW} '21: The Web Conference 2021, Virtual Event / Ljubljana, Slovenia, April 19-23, 2021}, pages = {2476--2487}, publisher = {{ACM} / {IW3C2}}, year = {2021}, url = {https://doi.org/10.1145/3442381.3450021}, doi = {10.1145/3442381.3450021}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/HanKCKLCJCKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/JungHSLMKYJC21, author = {Changwook Jung and Inho Hong and Diego S{\'{a}}ez{-}Trumper and Damin Lee and Jaehyeon Myung and Danu Kim and Jinhyuk Yun and Woo{-}Sung Jung and Meeyoung Cha}, editor = {Jure Leskovec and Marko Grobelnik and Marc Najork and Jie Tang and Leila Zia}, title = {Information flow on {COVID-19} over Wikipedia: {A} case study of 11 languages}, booktitle = {Companion of The Web Conference 2021, Virtual Event / Ljubljana, Slovenia, April 19-23, 2021}, pages = {627--628}, publisher = {{ACM} / {IW3C2}}, year = {2021}, url = {https://doi.org/10.1145/3442442.3452352}, doi = {10.1145/3442442.3452352}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/JungHSLMKYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-04834, author = {Doris Xin and Eva Yiwei Wu and Doris Jung Lin Lee and Niloufar Salehi and Aditya G. Parameswaran}, title = {Whither AutoML? Understanding the Role of Automation in Machine Learning Workflows}, journal = {CoRR}, volume = {abs/2101.04834}, year = {2021}, url = {https://arxiv.org/abs/2101.04834}, eprinttype = {arXiv}, eprint = {2101.04834}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-04834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-06371, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Jaeyun Jung and Hyoungjoo Ahn and Wook Song and Sangjung Woo and Parichay Kapoor and Dongju Chae and Gichan Jang and Yongjoo Ahn and Jihoon Lee}, title = {NNStreamer: Efficient and Agile Development of On-Device {AI} Systems}, journal = {CoRR}, volume = {abs/2101.06371}, year = {2021}, url = {https://arxiv.org/abs/2101.06371}, eprinttype = {arXiv}, eprint = {2101.06371}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-06371.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-07439, author = {Manri Cheon and Toinon Vigier and Luk{\'{a}}s Krasula and Junghyuk Lee and Patrick Le Callet and Jong{-}Seok Lee}, title = {Ambiguity of Objective Image Quality Metrics: {A} New Methodology for Performance Evaluation}, journal = {CoRR}, volume = {abs/2101.07439}, year = {2021}, url = {https://arxiv.org/abs/2101.07439}, eprinttype = {arXiv}, eprint = {2101.07439}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-07439.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-00201, author = {Andres Ferraro and Yuntae Kim and Soohyeon Lee and Biho Kim and Namjun Jo and Semi Lim and Suyon Lim and Jungtaek Jang and Sehwan Kim and Xavier Serra and Dmitry Bogdanov}, title = {Melon Playlist Dataset: a public dataset for audio-based playlist generation and music tagging}, journal = {CoRR}, volume = {abs/2102.00201}, year = {2021}, url = {https://arxiv.org/abs/2102.00201}, eprinttype = {arXiv}, eprint = {2102.00201}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-00201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-02463, author = {Juhung Park and Woojin Jung and Eun{-}Jung Choi and Se{-}Hong Oh and Dongmyung Shin and Hongjun An and Jongho Lee}, title = {DIFFnet: Diffusion parameter mapping network generalized for input diffusion gradient schemes and bvalues}, journal = {CoRR}, volume = {abs/2102.02463}, year = {2021}, url = {https://arxiv.org/abs/2102.02463}, eprinttype = {arXiv}, eprint = {2102.02463}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-02463.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-07070, author = {Doris Jung Lin Lee and Vidya Setlur and Melanie Tory and Karrie Karahalios and Aditya G. Parameswaran}, title = {Deconstructing Categorization in Visualization Recommendation: {A} Taxonomy and Comparative Study}, journal = {CoRR}, volume = {abs/2102.07070}, year = {2021}, url = {https://arxiv.org/abs/2102.07070}, eprinttype = {arXiv}, eprint = {2102.07070}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-07070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-01655, author = {Jinwoo Jeon and Sungwook Jung and Eungchang Mason Lee and Duckyu Choi and Hyun Myung}, title = {Run Your Visual-Inertial Odometry on {NVIDIA} Jetson: Benchmark Tests on a Micro Aerial Vehicle}, journal = {CoRR}, volume = {abs/2103.01655}, year = {2021}, url = {https://arxiv.org/abs/2103.01655}, eprinttype = {arXiv}, eprint = {2103.01655}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-01655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-05798, author = {Sunggoo Jung and Hanseob Lee and David Hyunchul Shim and Ali{-}akbar Agha{-}mohammadi}, title = {Robust Collision-free Lightweight Aerial Autonomy for Unknown Area Exploration}, journal = {CoRR}, volume = {abs/2103.05798}, year = {2021}, url = {https://arxiv.org/abs/2103.05798}, eprinttype = {arXiv}, eprint = {2103.05798}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-05798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-11470, author = {Ali Agha and Kyohei Otsu and Benjamin Morrell and David D. Fan and Rohan Thakker and Angel Santamaria{-}Navarro and Sung{-}Kyun Kim and Amanda Bouman and Xianmei Lei and Jeffrey A. Edlund and Muhammad Fadhil Ginting and Kamak Ebadi and Matthew Anderson and Torkom Pailevanian and Edward Terry and Michael T. Wolf and Andrea Tagliabue and Tiago Stegun Vaquero and Matteo Palieri and Scott Tepsuporn and Yun Chang and Arash Kalantari and Fernando Chavez and Brett Thomas Lopez and Nobuhiro Funabiki and Gregory Miles and Thomas Touma and Alessandro Buscicchio and Jesus Tordesillas and Nikhilesh Alatur and Jeremy Nash and William Walsh and Sunggoo Jung and Hanseob Lee and Christoforos Kanellakis and John Mayo and Scott Harper and Marcel Kaufmann and Anushri Dixit and Gustavo Correa and Carlyn Lee and Jay Gao and Gene Merewether and Jairo Maldonado{-}Contreras and Gautam Salhotra and Ma{\'{\i}}ra Saboia da Silva and Benjamin Ramtoula and Yuki Kubo and Seyed Abolfazl Fakoorian and Alexander Hatteland and Taeyeon Kim and Tara Bartlett and Alex Stephens and Leon Kim and Chuck Bergh and Eric Heiden and Thomas Lew and Abhishek Cauligi and Tristan Heywood and Andrew Kramer and Henry A. Leopold and Hyungho Chris Choi and Shreyansh Daftry and Olivier Toupet and Inhwan Wee and Abhishek Thakur and Micah Feras and Giovanni Beltrame and George Nikolakopoulos and David Hyunchul Shim and Luca Carlone and Joel Burdick}, title = {NeBula: Quest for Robotic Autonomy in Challenging Environments; {TEAM} CoSTAR at the {DARPA} Subterranean Challenge}, journal = {CoRR}, volume = {abs/2103.11470}, year = {2021}, url = {https://arxiv.org/abs/2103.11470}, eprinttype = {arXiv}, eprint = {2103.11470}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-11470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02878, author = {Jee{-}weon Jung and Hee{-}Soo Heo and Youngki Kwon and Joon Son Chung and Bong{-}Jin Lee}, title = {Three-class Overlapped Speech Detection using a Convolutional Recurrent Neural Network}, journal = {CoRR}, volume = {abs/2104.02878}, year = {2021}, url = {https://arxiv.org/abs/2104.02878}, eprinttype = {arXiv}, eprint = {2104.02878}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02878.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-03525, author = {Seo Taek Kong and Soomin Jeon and Jaewon Lee and Hongseok Lee and Kyu{-}Hwan Jung}, title = {Relieving the Plateau: Active Semi-Supervised Learning for a Better Landscape}, journal = {CoRR}, volume = {abs/2104.03525}, year = {2021}, url = {https://arxiv.org/abs/2104.03525}, eprinttype = {arXiv}, eprint = {2104.03525}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-03525.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-00121, author = {Doris Jung Lin Lee and Dixin Tang and Kunal Agarwal and Thyne Boonmark and Caitlyn Chen and Jake Kang and Ujjaini Mukhopadhyay and Jerry Song and Micah Yong and Marti A. Hearst and Aditya G. Parameswaran}, title = {Lux: Always-on Visualization Recommendations for Exploratory Data Science}, journal = {CoRR}, volume = {abs/2105.00121}, year = {2021}, url = {https://arxiv.org/abs/2105.00121}, eprinttype = {arXiv}, eprint = {2105.00121}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-00121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-00857, author = {Eun Jung Kim and Euiwoong Lee and Dimitrios M. Thilikos}, title = {A Constant-factor Approximation for Weighted Bond Cover}, journal = {CoRR}, volume = {abs/2105.00857}, year = {2021}, url = {https://arxiv.org/abs/2105.00857}, eprinttype = {arXiv}, eprint = {2105.00857}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-00857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.