default search action
Search dblp for Publications
export results for "M. Yano"
@article{DBLP:journals/access/Chaleenutthawut24, author = {Yatipa Chaleenutthawut and Vyacheslav Davydov and Michael Evdokimov and Sudarut Kasemsuk and Stanislav Kruglik and Grigorii Melnikov and Yury Yanovich}, title = {Loan Portfolio Dataset From MakerDAO Blockchain Project}, journal = {{IEEE} Access}, volume = {12}, pages = {24843--24854}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3363225}, doi = {10.1109/ACCESS.2024.3363225}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Chaleenutthawut24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YanoS24, author = {Toru Yano and Miho Sako}, title = {Energy-Saving Occupant-Feedback Control Method Under Preferred Air-Conditioner Settings of Occupants}, journal = {{IEEE} Access}, volume = {12}, pages = {29126--29143}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3367954}, doi = {10.1109/ACCESS.2024.3367954}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YanoS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/LiNITYYKOMK24, author = {Xiaotian Li and Koji Nakano and Yasuaki Ito and Daisuke Takafuji and Takashi Yazane and Junko Yano and Takumi Kato and Shiro Ozaki and Rie Mori and Ryota Katsuki}, title = {Bit duplication technique to generate hard quadratic unconstrained binary optimization problems with adjustable sizes}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {10}, year = {2024}, url = {https://doi.org/10.1002/cpe.7967}, doi = {10.1002/CPE.7967}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/LiNITYYKOMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/NaderalvojoudCYECPGRFHAH24, author = {Behzad Naderalvojoud and Catherine M. Curtin and Chen Yanover and Tal El{-}Hay and Byungjin Choi and Rae Woong Park and Javier Gracia{-}Tabuenca and Mary Pat Reeve and Thomas Falconer and Keith Humphreys and Steven M. Asch and Tina Hernandez{-}Boussard}, title = {Towards global model generalizability: independent cross-site feature evaluation for patient-level risk prediction models using the {OHDSI} network}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {5}, pages = {1051--1061}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocae028}, doi = {10.1093/JAMIA/OCAE028}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/NaderalvojoudCYECPGRFHAH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/RamonMEP24, author = {Yanou Ramon and David Martens and Theodoros Evgeniou and Stiene Praet}, title = {Can metafeatures help improve explanations of prediction models when using behavioral and textual data?}, journal = {Mach. Learn.}, volume = {113}, number = {7}, pages = {4245--4284}, year = {2024}, url = {https://doi.org/10.1007/s10994-021-05981-0}, doi = {10.1007/S10994-021-05981-0}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ml/RamonMEP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pcs/GevorkyanKKS24, author = {Migran N. Gevorkyan and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastyanov}, title = {Implementation of Analytic Projective Geometry for Computer Graphics}, journal = {Program. Comput. Softw.}, volume = {50}, number = {2}, pages = {153--165}, year = {2024}, url = {https://doi.org/10.1134/S0361768824020075}, doi = {10.1134/S0361768824020075}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pcs/GevorkyanKKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/NaorKYGBBHP24, author = {Shani Kimel Naor and Itay Ketko and Ran Yanovich and Amihai Gottlieb and Yotam Bahat and Oran Ben{-}Gal and Yuval Heled and Meir Plotnik}, title = {Bringing the field into the lab: a novel virtual reality outdoor march simulator for evaluating cognitive and physical performance}, journal = {Virtual Real.}, volume = {28}, number = {2}, pages = {120}, year = {2024}, url = {https://doi.org/10.1007/s10055-024-01013-z}, doi = {10.1007/S10055-024-01013-Z}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/NaorKYGBBHP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/YafuneYMS24, author = {Norimasa Yafune and Kazuto Yano and Keiichiro Mori and Toshikazu Sakano}, title = {Evaluation of RoCE Protocol in Backhaul Systems for Ultra-High-Speed THz Wireless {LAN}}, booktitle = {21st {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2024, Las Vegas, NV, USA, January 6-9, 2024}, pages = {811--814}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CCNC51664.2024.10454796}, doi = {10.1109/CCNC51664.2024.10454796}, timestamp = {Tue, 26 Mar 2024 22:14:36 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/YafuneYMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/XiaMZLYYLZYSJGZGL24, author = {Yifei Xia and Shuaizhe Ma and Wanqing Zhao and Jia Li and Ruixuan Yang and Yuye Yano and Xi Liu and Feiyang Zhang and Jianyu Yang and Wenbo Shi and Lei Jing and Xiaoyan Gui and Bing Zhang and Li Geng and Dan Li}, title = {An Integrated Burst-Mode 2R Receiver Employing Fast Residual Offset Canceller for {XGS-PON} in 40-nm {CMOS}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10528965}, doi = {10.1109/CICC60959.2024.10528965}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/XiaMZLYYLZYSJGZGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edgesys/MeloTPVYBL24, author = {Vinicius Dantas de Lima Melo and Myles Thiessen and Aleksey Panas and Alexandre da Silva Veith and Keijiro Yano and Oana Balmau and Eyal de Lara}, title = {PathFS: {A} File System for the Hierarchical Edge}, booktitle = {Proceedings of the 7th International Workshop on Edge Systems, Analytics and Networking, EdgeSys 2024, Athens, Greece, 22 April 2024}, pages = {55--60}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3642968.3654822}, doi = {10.1145/3642968.3654822}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edgesys/MeloTPVYBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/DeyMKYHI24, author = {Priyanka Dey and Sathishkumar Murugesan and Takahiro Kawaguchi and Yuichiro Yano and Yuko Hanado and Takayuki Ishizaki}, title = {Clock Steering Techniques for Atomic Clocks of Arbitrary Order}, booktitle = {European Control Conference, {ECC} 2024, Stockholm, Sweden, June 25-28, 2024}, pages = {2132--2137}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ECC64448.2024.10591293}, doi = {10.23919/ECC64448.2024.10591293}, timestamp = {Mon, 30 Sep 2024 15:20:52 +0200}, biburl = {https://dblp.org/rec/conf/eucc/DeyMKYHI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YanoKAKN24, author = {Daiki Yano and Masanao Koeda and Miho Asano and Takahiro Kunii and Hiroshi Noborio}, editor = {Masaaki Kurosu and Ayako Hashizume}, title = {Measurement and Evaluation of Organ Shifts in Real-Life Surgery}, booktitle = {Human-Computer Interaction - Thematic Area, {HCI} 2024, Held as Part of the 26th {HCI} International Conference, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14686}, pages = {253--263}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-60428-7\_18}, doi = {10.1007/978-3-031-60428-7\_18}, timestamp = {Wed, 12 Jun 2024 11:25:42 +0200}, biburl = {https://dblp.org/rec/conf/hci/YanoKAKN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/SenzakiKYT24, author = {Masaki Senzaki and Motoyu Katsumura and Ken'ichi Yano and Katsuhiko Torii}, title = {Robotic Prosthesis with Controllable Knee Angle that Responds to Changes in Gait Pattern}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444364}, doi = {10.1109/ICCE59016.2024.10444364}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/SenzakiKYT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichms/DongAYI24, author = {Yichen Dong and Andrijanto and Hiroaki Yano and Makoto Itoh}, title = {Elderly Pedestrian-Crossing Strategy When Perceiving an Autonomous Vehicle in a Shared Space}, booktitle = {4th {IEEE} International Conference on Human-Machine Systems, {ICHMS} 2024, Toronto, ON, Canada, May 15-17, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICHMS59971.2024.10555853}, doi = {10.1109/ICHMS59971.2024.10555853}, timestamp = {Thu, 27 Jun 2024 16:32:23 +0200}, biburl = {https://dblp.org/rec/conf/ichms/DongAYI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/NakaneYHYKOI24, author = {Aoi Nakane and Iori Yanokura and Shun Hasegawa and Naoya Yamaguchi and Kunio Kojima and Kei Okada and Masayuki Inaba}, title = {{WARABI} Hand: Five-fingered Robotic Hand with Flexible Skin and Force Sensors for Social Interaction}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {18120--18126}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610697}, doi = {10.1109/ICRA57147.2024.10610697}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/NakaneYHYKOI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/AkinsTCSYBMB24, author = {Alex Akins and Alan Tanner and Andreas Colliander and Nicole Schlegel and Igor Yanovsky and Kenza Boudad and Sidharth Misra and Shannon T. Brown}, title = {{STASIS:} {A} Concept for Sparse Interferometric Radiometry of the Antarctic Ice Sheet}, booktitle = {{IGARSS} 2024 - 2024 {IEEE} International Geoscience and Remote Sensing Symposium, Athens, Greece, July 7-12, 2024}, pages = {5--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IGARSS53475.2024.10641833}, doi = {10.1109/IGARSS53475.2024.10641833}, timestamp = {Thu, 26 Sep 2024 12:36:11 +0200}, biburl = {https://dblp.org/rec/conf/igarss/AkinsTCSYBMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanovskyUPR24, author = {Felix Yanovsky and Christine M. H. Unal and Aleksander Pitertsev and Herman Russchenberg}, title = {Analysis of 94 GHz Cloud Radar Calibration Based on Disdrometer Measurements}, booktitle = {{IGARSS} 2024 - 2024 {IEEE} International Geoscience and Remote Sensing Symposium, Athens, Greece, July 7-12, 2024}, pages = {520--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IGARSS53475.2024.10640682}, doi = {10.1109/IGARSS53475.2024.10640682}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanovskyUPR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/starsem/YanoTO24, author = {Taro Yano and Kunihiro Takeoka and Masafumi Oyamada}, editor = {Danushka Bollegala and Vered Shwartz}, title = {Relevance, Diversity, and Exclusivity: Designing Keyword-augmentation Strategy for Zero-shot Classifiers}, booktitle = {Proceedings of the 13th Joint Conference on Lexical and Computational Semantics, *SEM 2024, Mexico City, Mexico, June 20-21, 2024}, pages = {106--119}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.starsem-1.9}, doi = {10.18653/V1/2024.STARSEM-1.9}, timestamp = {Mon, 12 Aug 2024 18:46:33 +0200}, biburl = {https://dblp.org/rec/conf/starsem/YanoTO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-00383, author = {Anish Kundu and Giulia Barbareschi and Midori Kawaguchi and Yuichiro Yano and Mizuki Ohashi and Kaori Kitaoka and Aya Seike and Kouta Minamizawa}, title = {"I Wanted to Create my Ideal Self": Exploring Avatar Perception of {LGBTQ+} Users for Therapy in Virtual Reality}, journal = {CoRR}, volume = {abs/2409.00383}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.00383}, doi = {10.48550/ARXIV.2409.00383}, eprinttype = {arXiv}, eprint = {2409.00383}, timestamp = {Sat, 05 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-00383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KrasnoselskiiMY23, author = {Mikhail Krasnoselskii and Yash Madhwal and Yury Yanovich}, title = {{KRAMER:} Interpretable Rarity Meter for Crypto Collectibles}, journal = {{IEEE} Access}, volume = {11}, pages = {4283--4290}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3236080}, doi = {10.1109/ACCESS.2023.3236080}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KrasnoselskiiMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MadhwalYBPSS23, author = {Yash Madhwal and Yury Yanovich and S. Balachander and K. Harshini Poojaa and R. Saranya and B. Subashini}, title = {Enhancing Supply Chain Efficiency and Security: {A} Proof of Concept for IoT Device Integration With Blockchain}, journal = {{IEEE} Access}, volume = {11}, pages = {121173--121189}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3328569}, doi = {10.1109/ACCESS.2023.3328569}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MadhwalYBPSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/accs/KrundyshevMKSB23, author = {Vasiliy M. Krundyshev and Georgi A. Markov and Maxim O. Kalinin and P. V. Sem'yanov and Alexey G. Busygin}, title = {Cyberattack Detection in the Industrial Internet of Things Based on the Computation Model of Hierarchical Temporal Memory}, journal = {Autom. Control. Comput. Sci.}, volume = {57}, number = {8}, pages = {1040--1046}, year = {2023}, url = {https://doi.org/10.3103/S0146411623080114}, doi = {10.3103/S0146411623080114}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/accs/KrundyshevMKSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/MinamideYSA23, author = {Daichi Minamide and Ken'ichi Yano and Masahiro Sano and Takahiro Aoki}, title = {Automatic Design of Overflow System for Preventing Gas Defects by Considering the Direction of Molten Metal Flow}, journal = {Comput. Aided Des.}, volume = {163}, pages = {103586}, year = {2023}, url = {https://doi.org/10.1016/j.cad.2023.103586}, doi = {10.1016/J.CAD.2023.103586}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cad/MinamideYSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/YamadaMMOSYHNNKYMKKI23, author = {Koji Yamada and Mitsuru Muto and Masakazu Murakami and Shun Onishi and Koshiro Sugita and Keisuke Yano and Toshio Harumatsu and Nanako Nishida and Ayaka Nagano and Masato Kawano and Waka Yamada and Makoto Matsukubo and Takafumi Kawano and Tatsuru Kaji and Satoshi Ieiri}, title = {An analysis of the correlation between the efficacy of training using a high-fidelity disease-specific simulator and the clinical outcomes of laparoscopic surgery for congenital biliary dilatation in pediatric patients}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {18}, number = {1}, pages = {55--61}, year = {2023}, url = {https://doi.org/10.1007/s11548-022-02793-y}, doi = {10.1007/S11548-022-02793-Y}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/YamadaMMOSYHNNKYMKKI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cca/AbramovBRSZW23, author = {Sergei A. Abramov and Alexander B. Batkhin and Anna A. Ryabenko and Leonid A. Sevastianov and Yvette Zonn and Min Wu}, title = {The Fifth Conference "Computer Algebra" in Moscow}, journal = {{ACM} Commun. Comput. Algebra}, volume = {57}, number = {2}, pages = {72--84}, year = {2023}, url = {https://doi.org/10.1145/3614408.3614418}, doi = {10.1145/3614408.3614418}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cca/AbramovBRSZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/crl/BogusYZNMLBA23, author = {Ian Bogus and Candace A. Yano and Shannon Zachary and Jacob Nadal and Mary E. Miller and Helen N. Levenson and Fern Brody and Sara Amato}, title = {A Model to Determine Optimal Numbers of Monograph Copies for Preservation in Shared Print Collections}, journal = {Coll. Res. Libr.}, volume = {84}, number = {5}, year = {2023}, url = {https://doi.org/10.5860/crl.84.5.767}, doi = {10.5860/CRL.84.5.767}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/crl/BogusYZNMLBA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ReutovTMF23, author = {Aleksei Reutov and Andrey Tayduganov and Vladimir Mayboroda and Oleg Fat'yanov}, title = {Security of the Decoy-State {BB84} Protocol with Imperfect State Preparation}, journal = {Entropy}, volume = {25}, number = {11}, pages = {1556}, year = {2023}, url = {https://doi.org/10.3390/e25111556}, doi = {10.3390/E25111556}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/ReutovTMF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/YanoKM23, author = {Tomohiko Yano and Hiroki Kuzuno and Kenichi Magata}, title = {File Tracking and Visualization Methods Using a Network Graph to Prevent Information Leakage}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {106}, number = {9}, pages = {1339--1353}, year = {2023}, url = {https://doi.org/10.1587/transinf.2022icp0014}, doi = {10.1587/TRANSINF.2022ICP0014}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/YanoKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocss/NishimuraYY23, author = {Kazuo Nishimura and Tadashi Yagi and Makoto Yano}, title = {Parenting methods in relation to norm awareness, social success, and perspectives of family in adulthood}, journal = {J. Comput. Soc. Sci.}, volume = {6}, number = {2}, pages = {1193--1214}, year = {2023}, url = {https://doi.org/10.1007/s42001-020-00077-6}, doi = {10.1007/S42001-020-00077-6}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocss/NishimuraYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/SatoKIYMA23, author = {Mizuki Sato and Tatsuya Kamiyama and Kenta Iitani and Kazuyoshi Yano and Kohji Mitsubayashi and Takahiro Arakawa}, title = {Wearable Biosensor Utilizing Chitosan Biopolymer for Uric Acid Monitoring}, journal = {J. Robotics Mechatronics}, volume = {35}, number = {5}, pages = {1131--1134}, year = {2023}, url = {https://doi.org/10.20965/jrm.2023.p1131}, doi = {10.20965/JRM.2023.P1131}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jrm/SatoKIYMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/juq/AudouzeKBMNY23, author = {Christophe Audouze and Aaron Klein and Adrian Butscher and Nigel J. W. Morris and Prasanth Nair and Masayuki Yano}, title = {Robust Level-Set-Based Topology Optimization Under Uncertainties Using Anchored {ANOVA} Petrov-Galerkin Method}, journal = {{SIAM/ASA} J. Uncertain. Quantification}, volume = {11}, number = {3}, pages = {877--905}, year = {2023}, url = {https://doi.org/10.1137/22m1524722}, doi = {10.1137/22M1524722}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/juq/AudouzeKBMNY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pcs/KorolkovaGKS23, author = {Anna V. Korolkova and Migran N. Gevorkyan and Dmitry S. Kulyabov and Leonid A. Sevast'yanov}, title = {Computer Algebra Tools for Geometrization of Maxwell's Equations}, journal = {Program. Comput. Softw.}, volume = {49}, number = {4}, pages = {366--371}, year = {2023}, url = {https://doi.org/10.1134/S0361768823020111}, doi = {10.1134/S0361768823020111}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pcs/KorolkovaGKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/BeckelKYC23, author = {Maximiliano S. Beckel and Bruno Kaufman and Marcelo Yanovsky and Ariel Chernomoretz}, title = {Conserved and divergent signals in 5' splice site sequences across fungi, metazoa and plants}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {10}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1011540}, doi = {10.1371/JOURNAL.PCBI.1011540}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/BeckelKYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acit4/MatviienkoKYHTK23, author = {Halyna Matviienko and Svitlana Kucherkova and Viktoriia Yanovska and Viktoriia Hurochkina and Volodymyr Ternovsky and Marcin Kesy}, title = {Governmental Management and Regulatory Measures for Advancing {AI} in the Ukrainian Energy Sector as a Basis for Rapid and Sustainable Development of the Ukrainian Economy}, booktitle = {13th International Conference on Advanced Computer Information Technologies, {ACIT} 2023, Wroc{\l}aw, Poland, September 21-23, 2023}, pages = {303--307}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ACIT58437.2023.10275486}, doi = {10.1109/ACIT58437.2023.10275486}, timestamp = {Thu, 26 Oct 2023 17:26:34 +0200}, biburl = {https://dblp.org/rec/conf/acit4/MatviienkoKYHTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bionlp/YanoMA23, author = {Ken Yano and Makoto Miwa and Sophia Ananiadou}, editor = {Dina Demner{-}Fushman and Sophia Ananiadou and Kevin Cohen}, title = {{DISTANT:} Distantly Supervised Entity Span Detection and Classification}, booktitle = {The 22nd Workshop on Biomedical Natural Language Processing and BioNLP Shared Tasks, BioNLP@ACL 2023, Toronto, Canada, 13 July 2023}, pages = {171--177}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.bionlp-1.14}, doi = {10.18653/V1/2023.BIONLP-1.14}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bionlp/YanoMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/candar/TsukiyamaNIYYKOMK23, author = {Shunsuke Tsukiyama and Koji Nakano and Yasuaki Ito and Takashi Yazane and Junko Yano and Takumi Kato and Shiro Ozaki and Rie Mori and Ryota Katsuki}, title = {Solving the N-Queens Puzzle by a {QUBO} Model with Quadratic Size}, booktitle = {Eleventh International Symposium on Computing and Networking, {CANDAR} 2023, Matsue, Japan, November 28 - Dec. 1, 2023}, pages = {59--67}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CANDAR60563.2023.00015}, doi = {10.1109/CANDAR60563.2023.00015}, timestamp = {Fri, 16 Feb 2024 13:45:14 +0100}, biburl = {https://dblp.org/rec/conf/candar/TsukiyamaNIYYKOMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/ShibaharaYMNE23, author = {Riku Shibahara and Ken'ichi Yano and Masafumi Mori and Takeshi Nakamura and Kenji Eguchi}, title = {Optimal Design of Atmospheric Gas Flow Rate for Wire Coil Annealing Furnace for Specialty Steel}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2023, Bridgetown, Barbados, August 16-18, 2023}, pages = {508--513}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCTA54093.2023.10252291}, doi = {10.1109/CCTA54093.2023.10252291}, timestamp = {Thu, 28 Sep 2023 09:28:41 +0200}, biburl = {https://dblp.org/rec/conf/ccta/ShibaharaYMNE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YanoAOI23, author = {Tomoki Yano and Masayuki Ando and Kouyou Otsu and Tomoko Izumi}, editor = {Adela Coman and Simona Vasilache}, title = {Verification of the Effects of Voice and Personalized Disaster Information from an Agent on Awareness that Disaster is Relevant to Oneself}, booktitle = {Social Computing and Social Media - 15th International Conference, {SCSM} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14026}, pages = {548--557}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35927-9\_37}, doi = {10.1007/978-3-031-35927-9\_37}, timestamp = {Thu, 13 Jul 2023 13:25:08 +0200}, biburl = {https://dblp.org/rec/conf/hci/YanoAOI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/MatsumuroYS23, author = {Takayuki Matsumuro and Kazuto Yano and Toshikazu Sakano}, title = {Proactive Rank Adaptation Method Using Probabilistic Interference Arrival Information}, booktitle = {25th International Conference on Advanced Communication Technology, {ICACT} 2023, Pyeongchang, Korea, Republic of, February 19-22, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/ICACT56868.2023.10079263}, doi = {10.23919/ICACT56868.2023.10079263}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/MatsumuroYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/ChukhninaMPSY23, author = {Anna Chukhnina and Grigorii Melnikov and Anton Pecherkin and Aleksandr Sokolov and Yury Yanovich}, title = {B4B.World: Decentralized Influencer Marketing Platform}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2023, Dubai, United Arab Emirates, May 1-5, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICBC56567.2023.10174964}, doi = {10.1109/ICBC56567.2023.10174964}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/ChukhninaMPSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/KrasnoselskiiMSY23, author = {Mikhail Krasnoselskii and Yash Madhwal and Alexander Stepin and Yury Yanovich}, title = {{NFT} {SMASH:} Game to Test Your {NFT} Rarity Sense}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2023, Dubai, United Arab Emirates, May 1-5, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICBC56567.2023.10174898}, doi = {10.1109/ICBC56567.2023.10174898}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/KrasnoselskiiMSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/CherdakovKMY23, author = {Mikhail Cherdakov and Aleksey Kudashkin and Yash Madhwal and Yury Yanovich}, title = {Web3 Based Digital Rights Management in the Music Industry}, booktitle = {Proceedings of the 2023 6th International Conference on Blockchain Technology and Applications, {ICBTA} 2023, Xi'an, China, December 15-17, 2023}, pages = {24--29}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3651655.3651667}, doi = {10.1145/3651655.3651667}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/CherdakovKMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/PlishanMY23, author = {Yaroslav Plishan and Yash Madhwal and Yury Yanovich}, title = {Brain Logger: {A} Web3 Based Decentralized Social Network}, booktitle = {Proceedings of the 2023 6th International Conference on Blockchain Technology and Applications, {ICBTA} 2023, Xi'an, China, December 15-17, 2023}, pages = {103--107}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3651655.3651668}, doi = {10.1145/3651655.3651668}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/PlishanMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/TsarevaMY23, author = {Anastasia Tsareva and Yash Madhwal and Yury Yanovich}, title = {{CBDC} consensus algorithm design choice}, booktitle = {Proceedings of the 2023 6th International Conference on Blockchain Technology and Applications, {ICBTA} 2023, Xi'an, China, December 15-17, 2023}, pages = {12--18}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3651655.3651660}, doi = {10.1145/3651655.3651660}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/TsarevaMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/MurashigeKSYYKY23, author = {Keisuke Murashige and Yoshiyuki Kido and Shinji Shimojo and Hideto Yano and Tomoki Yoshihisa and Yukiko Kawai and Ryuta Yamaguchi}, title = {Implementation, Measurement, and Analysis of Cycling Environment for a Bicycle Navigation Application}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043186}, doi = {10.1109/ICCE56470.2023.10043186}, timestamp = {Tue, 21 Feb 2023 18:13:47 +0100}, biburl = {https://dblp.org/rec/conf/iccel/MurashigeKSYYKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ChukhninaMPSY23, author = {Anna Chukhnina and Grigorii Melnikov and Anton Pecherkin and Aleksandr Sokolov and Yury Yanovich}, title = {B4B.World Demo: Influencer Marketing Cross-Chain Platform}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {989--992}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00118}, doi = {10.1109/ICDCS57875.2023.00118}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/ChukhninaMPSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/MadhwalYC23, author = {Yash Madhwal and Yury Yanovich and Matteo Coveri}, title = {Demo: Blockchain Application for Hydrogen Production and Distribution Tracing}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {1001--1002}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00120}, doi = {10.1109/ICDCS57875.2023.00120}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/MadhwalYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ShevkoYZ23, author = {Marina Shevko and Yury Yanovich and Darya Zhukova}, title = {Demo: Decentralized Autonomous Organization with Centralized Crisis Resolution}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {1005--1008}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00112}, doi = {10.1109/ICDCS57875.2023.00112}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/ShevkoYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/AkinsTSCYMB23, author = {Alex Akins and Alan B. Tanner and Nicole{-}Jeanne Schlegel and Andreas Colliander and Igor Yanovsky and Sidharth Misra and Shannon T. Brown}, title = {Building Seasonal Maps of Antarctica's Temperature with Repeat-Pass Microwave Interferometry}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {352--355}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282007}, doi = {10.1109/IGARSS52108.2023.10282007}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/AkinsTSCYMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanovskyPWHNLZ23, author = {Igor Yanovsky and Derek J. Posselt and Longtao Wu and Svetla M. Hristova{-}Veleva and Hai Nguyen and Bjorn Lambrigtsen and Xubin Zeng}, title = {Atmospheric Motion Vector Retrieval Using the Total Variation-Based Optical Flow Method}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {3780--3783}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282495}, doi = {10.1109/IGARSS52108.2023.10282495}, timestamp = {Fri, 27 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanovskyPWHNLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/NakanoTIYYOKM23, author = {Koji Nakano and Daisuke Takafuji and Yasuaki Ito and Takashi Yazane and Junko Yano and Shiro Ozaki and Ryota Katsuki and Rie Mori}, title = {Diverse Adaptive Bulk Search: a Framework for Solving {QUBO} Problems on Multiple GPUs}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023 - Workshops, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {314--325}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPSW59300.2023.00060}, doi = {10.1109/IPDPSW59300.2023.00060}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/NakanoTIYYOKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ObinataKKYTYKSO23, author = {Yoshiki Obinata and Kento Kawaharazuka and Naoaki Kanazawa and Naoya Yamaguchi and Naoto Tsukamoto and Iori Yanokura and Shingo Kitagawa and Koki Shinjo and Kei Okada and Masayuki Inaba}, title = {Semantic Scene Difference Detection in Daily Life Patroling by Mobile Robots Using Pre-Trained Large-Scale Vision-Language Model}, booktitle = {{IROS}}, pages = {3228--3233}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342467}, doi = {10.1109/IROS55552.2023.10342467}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ObinataKKYTYKSO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/FukudaMYIIT23, author = {Yukiya Fukuda and Yuya Mii and Yuga Yano and Hidenari Iwai and Shintaro Inoue and Hakaru Tamukoh}, title = {Dense Traversability Estimation System for Extreme Environments}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2023, Anchorage, AK, USA, June 4-7, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IV55152.2023.10186556}, doi = {10.1109/IV55152.2023.10186556}, timestamp = {Tue, 08 Aug 2023 10:24:13 +0200}, biburl = {https://dblp.org/rec/conf/ivs/FukudaMYIIT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/NakaneYIOI23, author = {Aoi Nakane and Iori Yanokura and Aiko Ichikura and Kei Okada and Masayuki Inaba}, title = {Development of Robot Guidance System Using Hand-holding with Human and Measurement of Psychological Security}, booktitle = {32nd {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2023, Busan, Republic of Korea, August 28-31, 2023}, pages = {2030--2036}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RO-MAN57019.2023.10309607}, doi = {10.1109/RO-MAN57019.2023.10309607}, timestamp = {Thu, 23 Nov 2023 21:16:36 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/NakaneYIOI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09355, author = {Eric J. Parish and Masayuki Yano and Irina Tezaur and Traian Iliescu}, title = {Residual-based stabilized reduced-order models of the transient convection-diffusion-reaction equation obtained through discrete and continuous projection}, journal = {CoRR}, volume = {abs/2302.09355}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09355}, doi = {10.48550/ARXIV.2302.09355}, eprinttype = {arXiv}, eprint = {2302.09355}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09355.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01024, author = {Koji Nakano and Shunsuke Tsukiyama and Yasuaki Ito and Takashi Yazane and Junko Yano and Takumi Kato and Shiro Ozaki and Rie Mori and Ryota Katsuki}, title = {Dual-Matrix Domain-Wall: {A} Novel Technique for Generating Permutations by {QUBO} and Ising Models with Quadratic Sizes}, journal = {CoRR}, volume = {abs/2308.01024}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01024}, doi = {10.48550/ARXIV.2308.01024}, eprinttype = {arXiv}, eprint = {2308.01024}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-03357, author = {Yoshiki Obinata and Naoaki Kanazawa and Kento Kawaharazuka and Iori Yanokura and Soonhyo Kim and Kei Okada and Masayuki Inaba}, title = {Foundation Model based Open Vocabulary Task Planning and Executive System for General Purpose Service Robots}, journal = {CoRR}, volume = {abs/2308.03357}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.03357}, doi = {10.48550/ARXIV.2308.03357}, eprinttype = {arXiv}, eprint = {2308.03357}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-03357.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-16552, author = {Yoshiki Obinata and Kento Kawaharazuka and Naoaki Kanazawa and Naoya Yamaguchi and Naoto Tsukamoto and Iori Yanokura and Shingo Kitagawa and Koki Shinjo and Kei Okada and Masayuki Inaba}, title = {Semantic Scene Difference Detection in Daily Life Patroling by Mobile Robots using Pre-Trained Large-Scale Vision-Language Model}, journal = {CoRR}, volume = {abs/2309.16552}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.16552}, doi = {10.48550/ARXIV.2309.16552}, eprinttype = {arXiv}, eprint = {2309.16552}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-16552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12650, author = {Tomoya Shiba and Akinobu Mizutani and Yuga Yano and Tomohiro Ono and Shoshi Tokuno and Daiju Kanaoka and Yukiya Fukuda and Hayato Amano and Mayu Koresawa and Yoshifumi Sakai and Ryogo Takemoto and Katsunori Tamai and Kazuo Nakahara and Hiroyuki Hayashi and Satsuki Fujimatsu and Yusuke Mizoguchi and Moeno Anraku and Mayo Suzuka and Lu Shen and Kohei Maeda and Fumiya Matsuzaki and Ikuya Matsumoto and Kazuya Murai and Kosei Isomoto and Kim Minje and Yuichiro Tanaka and Takashi Morie and Hakaru Tamukoh}, title = {Hibikino-Musashi@Home 2023 Team Description Paper}, journal = {CoRR}, volume = {abs/2310.12650}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12650}, doi = {10.48550/ARXIV.2310.12650}, eprinttype = {arXiv}, eprint = {2310.12650}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14018, author = {Tatsuki Kobayashi and Yoshiko Maruyama and Isao Nambu and Shohei Yano and Yasuhiro Wada}, title = {Temporal convolutional neural networks to generate a head-related impulse response from one direction to another}, journal = {CoRR}, volume = {abs/2310.14018}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14018}, doi = {10.48550/ARXIV.2310.14018}, eprinttype = {arXiv}, eprint = {2310.14018}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15000, author = {Sofie Goethals and Sandra C. Matz and Foster J. Provost and Yanou Ramon and David Martens}, title = {The Impact of Cloaking Digital Footprints on User Privacy and Personalization}, journal = {CoRR}, volume = {abs/2312.15000}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15000}, doi = {10.48550/ARXIV.2312.15000}, eprinttype = {arXiv}, eprint = {2312.15000}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MadhwalBEYC22, author = {Yash Madhwal and Yari Borbon{-}Galvez and Niloofar Etemadi and Yury Yanovich and Alessandro Creazza}, title = {Proof of Delivery Smart Contract for Performance Measurements}, journal = {{IEEE} Access}, volume = {10}, pages = {69147--69159}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3185634}, doi = {10.1109/ACCESS.2022.3185634}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MadhwalBEYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/OnoKSTYMMAT22, author = {Tomohiro Ono and Daiju Kanaoka and Tomoya Shiba and Shoshi Tokuno and Yuga Yano and Akinobu Mizutani and Ikuya Matsumoto and Hayato Amano and Hakaru Tamukoh}, title = {Solution of World Robot Challenge 2020 Partner Robot Challenge (Real Space)}, journal = {Adv. Robotics}, volume = {36}, number = {17-18}, pages = {870--889}, year = {2022}, url = {https://doi.org/10.1080/01691864.2022.2115315}, doi = {10.1080/01691864.2022.2115315}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/OnoKSTYMMAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/KovacsEKFHGBOYS22, author = {Alexander Kovacs and Lukas Exl and Alexander Kornell and Johann Fischbacher and Markus Hovorka and Markus Gusenbauer and Leoni Breth and Harald Oezelt and Masao Yano and Noritsugu Sakuma and Akihito Kinoshita and Tetsuya Shoji and Akira Kato and Thomas Schrefl}, title = {Conditional physics informed neural networks}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {104}, pages = {106041}, year = {2022}, url = {https://doi.org/10.1016/j.cnsns.2021.106041}, doi = {10.1016/J.CNSNS.2021.106041}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/KovacsEKFHGBOYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/KonishiNYA22, author = {Tatsumi Konishi and Hiroyuki Nakano and Yoshikazu Yano and Michihiro Aoki}, title = {Spatial Vectors Effective for Nakagami-\emph{m} Fading {MIMO} Channels}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {105-A}, number = {3}, pages = {428--432}, year = {2022}, url = {https://doi.org/10.1587/transfun.2021tal0001}, doi = {10.1587/TRANSFUN.2021TAL0001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/KonishiNYA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/DuY22, author = {Eugene Du and Masayuki Yano}, title = {Efficient hyperreduction of high-order discontinuous Galerkin methods: Element-wise and point-wise reduced quadrature formulations}, journal = {J. Comput. Phys.}, volume = {466}, pages = {111399}, year = {2022}, url = {https://doi.org/10.1016/j.jcp.2022.111399}, doi = {10.1016/J.JCP.2022.111399}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/DuY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/MatsudaMYK22, author = {Eiko Matsuda and Daichi Misawa and Shiro Yano and Toshiyuki Kondo}, title = {Olfactory Cues to Reduce Retrograde Interference During the Simultaneous Learning of Conflicting Motor Tasks}, journal = {J. Robotics Mechatronics}, volume = {34}, number = {4}, pages = {746--755}, year = {2022}, url = {https://doi.org/10.20965/jrm.2022.p0746}, doi = {10.20965/JRM.2022.P0746}, timestamp = {Fri, 16 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/MatsudaMYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/IchikawaUYYOKAH22, author = {Mayumi Ichikawa and Ken Uematsu and Natsuko Yano and Masayoshi Yamada and Takashi Ono and Shohei Kawashiro and Hiroko Akamatsu and Yasuhito Hagiwara and Hiraku Sato and Kenji Nemoto}, title = {Implementation rate and effects of multidisciplinary team meetings on decision making about radiotherapy: an observational study at a single Japanese institution}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {111}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01849-y}, doi = {10.1186/S12911-022-01849-Y}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/IchikawaUYYOKAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LambrigtsenKMNP22, author = {Bjorn Lambrigtsen and Pekka Kangaslahti and Oliver Montes and Noppasin Niamsuwan and Derek J. Posselt and Jacola Roman and Mathias Schreier and Alan B. Tanner and Longtao Wu and Igor Yanovsky}, title = {A Geostationary Microwave Sounder: Design, Implementation and Performance}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {15}, pages = {623--640}, year = {2022}, url = {https://doi.org/10.1109/JSTARS.2021.3132238}, doi = {10.1109/JSTARS.2021.3132238}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/LambrigtsenKMNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/MiaoYG22, author = {Jiajing Miao and Jinli Yang and Jianyun Guan}, title = {Classification of Lorentzian Lie Groups Based on Codazzi Tensors Associated with Yano Connections}, journal = {Symmetry}, volume = {14}, number = {8}, pages = {1730}, year = {2022}, url = {https://doi.org/10.3390/sym14081730}, doi = {10.3390/SYM14081730}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/MiaoYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcns/AsefiMYG22, author = {Sajjad Asefi and Yash Madhwal and Yury Yanovich and Elena N. Gryazina}, title = {Application of Blockchain for Secure Data Transmission in Distributed State Estimation}, journal = {{IEEE} Trans. Control. Netw. Syst.}, volume = {9}, number = {4}, pages = {1611--1621}, year = {2022}, url = {https://doi.org/10.1109/TCNS.2021.3134135}, doi = {10.1109/TCNS.2021.3134135}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcns/AsefiMYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/NikolaouSLGBPCG22, author = {Panagiota Nikolaou and Yiannakis Sazeides and Alejandro Lampropulos and Denis Guilhot and Andrea Bartoli and George Papadimitriou and Athanasios Chatzidimitriou and Dimitris Gizopoulos and Konstantinos Tovletoglou and Lev Mukhanov and Georgios Karakonstantis}, title = {On the Evaluation of the Total-Cost-of-Ownership Trade-Offs in Edge vs Cloud Deployments: {A} Wireless-Denial-of-Service Case Study}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {7}, number = {2}, pages = {334--345}, year = {2022}, url = {https://doi.org/10.1109/TSUSC.2019.2894018}, doi = {10.1109/TSUSC.2019.2894018}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/NikolaouSLGBPCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/YanoKM22, author = {Tomohiko Yano and Hiroki Kuzuno and Kenichi Magata}, title = {Constructing a Network Graph of File Tracking Results Against Information Leakage}, booktitle = {17th Asia Joint Conference on Information Security, AsiaJCIS 2022, Baoding, China, July 15-16, 2022}, pages = {8--15}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AsiaJCIS57030.2022.00012}, doi = {10.1109/ASIAJCIS57030.2022.00012}, timestamp = {Tue, 04 Apr 2023 15:43:16 +0200}, biburl = {https://dblp.org/rec/conf/asiajcis/YanoKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/MinamideTYNSA22, author = {Daichi Minamide and Yuto Takagi and Ken'ichi Yano and Naoto Nakamura and Masahiro Sano and Takahiro Aoki}, title = {Optimum Design of Overflow System to Prevent Gas Defects Considering the Direction of Molten Metal Flow}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2022, Trieste, Italy, August 23-25, 2022}, pages = {740--745}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCTA49430.2022.9966016}, doi = {10.1109/CCTA49430.2022.9966016}, timestamp = {Tue, 20 Dec 2022 18:37:02 +0100}, biburl = {https://dblp.org/rec/conf/ccta/MinamideTYNSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/NikolaouSM22, author = {Panagiota Nikolaou and Yiannakis Sazeides and Maria K. Michael}, editor = {Luca Cassano and Sreejit Chakravarty and Alberto Bosio}, title = {{INTERPLAY:} An Intelligent Model for Predicting Performance Degradation due to Multi-cache Way-disabling}, booktitle = {{IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2022, Austin, TX, USA, October 19-21, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DFT56152.2022.9962363}, doi = {10.1109/DFT56152.2022.9962363}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/NikolaouSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/YanoYM22, author = {Hiroki Yano and Sumihiro Yoneyama and Hiroyoshi Miwa}, editor = {Leonard Barolli and Elis Kulla and Makoto Ikeda}, title = {Reliable Network Design Problem by Improving Node Reliability}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 10th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2022, Okayama, Japan, 24-26 February 2022}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {118}, pages = {42--51}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-95903-6\_6}, doi = {10.1007/978-3-030-95903-6\_6}, timestamp = {Wed, 19 Apr 2023 14:22:03 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/YanoYM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ShindoWYATW22, author = {Takahiro Shindo and Taiju Watanabe and Remina Yano and Marika Arimoto and Miho Takahashi and Hiroshi Watanabe}, title = {Super Resolution for {QR} Code Images}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {274--277}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014154}, doi = {10.1109/GCCE56475.2022.10014154}, timestamp = {Sat, 28 Jan 2023 23:52:06 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ShindoWYATW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/Haj-YahyaKYPRSM22, author = {Jawad Haj{-}Yahya and Jeremie S. Kim and Abdullah Giray Yaglik{\c{c}}i and Jisung Park and Efraim Rotem and Yanos Sazeides and Onur Mutlu}, title = {DarkGates: {A} Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1170--1183}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00089}, doi = {10.1109/HPCA53966.2022.00089}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/Haj-YahyaKYPRSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/MatsuuraHKYYOI22, author = {Yutaro Matsuura and Naoki Hiraoka and Kunio Kojima and Iori Yanokura and Hiroki Yoshioka and Kei Okada and Masayuki Inaba}, title = {Development of a Multi-Fingered Hand with a Multi-Step Locking Mechanism for Carrying Heavy Objects by a Humanoid Robot}, booktitle = {21st {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2022, Ginowan, Japan, November 28-30, 2022}, pages = {794--800}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/Humanoids53995.2022.10000142}, doi = {10.1109/HUMANOIDS53995.2022.10000142}, timestamp = {Tue, 17 Jan 2023 11:02:12 +0100}, biburl = {https://dblp.org/rec/conf/humanoids/MatsuuraHKYYOI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ias/MiyashitaYK22, author = {Megumi Miyashita and Shiro Yano and Toshiyuki Kondo}, editor = {Ivan Petrovic and Emanuele Menegatti and Ivan Markovic}, title = {Evaluation of Safe Reinforcement Learning with CoMirror Algorithm in a Non-Markovian Reward Problem}, booktitle = {Intelligent Autonomous Systems 17 - Proceedings of the 17th International Conference IAS-17, Zagreb, Croatia, 13-16 June 2022}, series = {Lecture Notes in Networks and Systems}, volume = {577}, pages = {62--72}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-22216-0\_5}, doi = {10.1007/978-3-031-22216-0\_5}, timestamp = {Fri, 27 Jan 2023 14:38:20 +0100}, biburl = {https://dblp.org/rec/conf/ias/MiyashitaYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/LiNITYYOKM22, author = {Xiaotian Li and Koji Nakano and Yasuaki Ito and Daisuke Takafuji and Takashi Yazane and Junko Yano and Shiro Ozaki and Ryota Katsuki and Rie Mori}, title = {Bit duplication technique to generate hard {QUBO} problems}, booktitle = {2022 Tenth International Symposium on Computing and Networking, {CANDAR} 2022 - Workshops, Himeji, Japan, November 21-24, 2022}, pages = {180--184}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CANDARW57323.2022.00029}, doi = {10.1109/CANDARW57323.2022.00029}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic-nc/LiNITYYOKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/NakanoITYYOKM22, author = {Koji Nakano and Yasuaki Ito and Daisuke Takafuji and Takashi Yazane and Junko Yano and Shiro Ozaki and Ryota Katsuki and Rie Mori}, title = {A benchmark {QUBO} problem inspired by digital halftoning based on the human visual system}, booktitle = {Tenth International Symposium on Computing and Networking, {CANDAR} 2022, Himeji, Japan, November 21-24, 2022}, pages = {56--65}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CANDAR57322.2022.00015}, doi = {10.1109/CANDAR57322.2022.00015}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic-nc/NakanoITYYOKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/KrasnoselskiiMY22, author = {Mikhail Krasnoselskii and Yash Madhwal and Yury Yanovich}, title = {{KRAMER:} Kanaria {NFT} Collection Rarity Meter}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2022, Shanghai, China, May 2-5, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICBC54727.2022.9805542}, doi = {10.1109/ICBC54727.2022.9805542}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/KrasnoselskiiMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/BelyaevaIKY22, author = {Sabina Belyaeva and Maksim Ivanov and Aleksey Korneev and Yury Yanovich}, title = {AmIOnline: Blockchain-based Availability Testing Service}, booktitle = {Proceedings of the 2022 5th International Conference on Blockchain Technology and Applications, {ICBTA} 2022, Xi'an, China, December 16-18, 2022}, pages = {122--128}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3581971.3581989}, doi = {10.1145/3581971.3581989}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/BelyaevaIKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/KurbatovRMYZ22, author = {Dmitry Kurbatov and Marianna Rybnikova and Yash Madhwal and Yury Yanovich and Gleb Zotov}, title = {OnlyTips: Blockchain-Driven Tips Service}, booktitle = {Proceedings of the 2022 5th International Conference on Blockchain Technology and Applications, {ICBTA} 2022, Xi'an, China, December 16-18, 2022}, pages = {129--139}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3581971.3581990}, doi = {10.1145/3581971.3581990}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/KurbatovRMYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/MadhwalPYY22, author = {Yash Madhwal and Eric Pieber and Yury Yanovich and Tatiana Yakushkina}, title = {Smart Contract Based Honey Production Supply Chain}, booktitle = {Proceedings of the 2022 5th International Conference on Blockchain Technology and Applications, {ICBTA} 2022, Xi'an, China, December 16-18, 2022}, pages = {70--76}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3581971.3581981}, doi = {10.1145/3581971.3581981}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/MadhwalPYY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/TsuchiyaSUYSF22, author = {Yoshihiko Tsuchiya and Norisato Suga and Kazunori Uruma and Kazuto Yano and Yoshinori Suzuki and Masaya Fujisawa}, title = {{WLAN} Throughput Prediction Using Deep Learning with Throughput, RSS, and {COR}}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2022, Penang, Malaysia, November 22-25, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPACS57703.2022.10082838}, doi = {10.1109/ISPACS57703.2022.10082838}, timestamp = {Sat, 22 Apr 2023 17:02:05 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/TsuchiyaSUYSF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/Haj-Yahya0BAK0K22, author = {Jawad Haj{-}Yahya and Haris Volos and Davide B. Bartolini and Georgia Antoniou and Jeremie S. Kim and Zhe Wang and Kleovoulos Kalaitzidis and Tom Rollet and Zhirui Chen and Ye Geng and Onur Mutlu and Yiannakis Sazeides}, title = {AgileWatts: An Energy-Efficient {CPU} Core Idle-State Architecture for Latency-Sensitive Server Applications}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {835--850}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00063}, doi = {10.1109/MICRO56248.2022.00063}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/Haj-Yahya0BAK0K22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/YanoKCMK22, author = {Takuya Yano and Yusuke Kawai and Hanwei Chen and Yuya Mikami and Kazutoshi Kato}, title = {{AND} Operation Between Two Terahertz Wave Signals from Different Transmitters for a Secure Wireless Communication}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9850185}, doi = {10.23919/OECC/PSC53152.2022.9850185}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/YanoKCMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/KatsumuraSYHT22, author = {Motoyu Katsumura and Masaki Senzaki and Ken'ichi Yano and Atsushi Hamada and Katsuhiko Torii}, title = {Robotic knee prosthesis to follow changes in real-time gait patterns}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2022, Narvik, Norway, January 9-12, 2022}, pages = {672--675}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SII52469.2022.9708880}, doi = {10.1109/SII52469.2022.9708880}, timestamp = {Mon, 21 Feb 2022 19:25:51 +0100}, biburl = {https://dblp.org/rec/conf/sii/KatsumuraSYHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/YanoIYNMKTA22, author = {Yuji Yano and Hisashi Iwamoto and Takuma Yoshimura and Yoshihiro Nishida and Tatsuya Mori and Kiyotaka Komoku and Hidekuni Takao and Kazutami Arimoto}, title = {28-m {W} Fully Embedded {AI} Techniques with On-site Learning for Low-Power Handy Tactile Sensing System}, booktitle = {2022 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2022, Hsinchu, Taiwan, April 18-21, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSI-DAT54769.2022.9768071}, doi = {10.1109/VLSI-DAT54769.2022.9768071}, timestamp = {Mon, 23 May 2022 16:36:24 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/YanoIYNMKTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/AndrijantoCKYI22, author = {Andrijanto and Zhangyijing Chen and Takuro Kodama and Hiroaki Yano and Makoto Itoh}, title = {Application of LargeSpace for Investigating Pedestrians' Behaviors when Interacting with Autonomous Vehicles in Shared Spaces}, booktitle = {2022 {IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops, Christchurch, New Zealand, March 12-16, 2022}, pages = {97--100}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VRW55335.2022.00032}, doi = {10.1109/VRW55335.2022.00032}, timestamp = {Fri, 22 Apr 2022 12:17:59 +0200}, biburl = {https://dblp.org/rec/conf/vr/AndrijantoCKYI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wac2/YanoMN22, author = {Maaya Yano and Naoki Masuyama and Yusuke Nojima}, title = {Behavior Analysis of Constrained Multiobjective Evolutionary Algorithms using Scalable Constrained Multi-Modal Distance Minimization Problems}, booktitle = {World Automation Congress, {WAC} 2022, San Antonio, TX, USA, October 11-15, 2022}, pages = {174--179}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/WAC55640.2022.9934365}, doi = {10.23919/WAC55640.2022.9934365}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wac2/YanoMN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-02550, author = {Jawad Haj{-}Yahya and Haris Volos and Davide B. Bartolini and Georgia Antoniou and Jeremie S. Kim and Zhe Wang and Kleovoulos Kalaitzidis and Tom Rollet and Zhirui Chen and Ye Geng and Onur Mutlu and Yiannakis Sazeides}, title = {AgileWatts: An Energy-Efficient {CPU} Core Idle-State Architecture for Latency-Sensitive Server Applications}, journal = {CoRR}, volume = {abs/2203.02550}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.02550}, doi = {10.48550/ARXIV.2203.02550}, eprinttype = {arXiv}, eprint = {2203.02550}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-02550.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-08778, author = {Masaki Yasuhara and Isao Nambu and Yoshiko Maruyama and Shohei Yano}, title = {Accuracy Enhancement for Ear Acoustic Authentication Using Between-class Features}, journal = {CoRR}, volume = {abs/2205.08778}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.08778}, doi = {10.48550/ARXIV.2205.08778}, eprinttype = {arXiv}, eprint = {2205.08778}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-08778.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-05988, author = {Shoki Miyagawa and Atsuyoshi Yano and Naoko Sawada and Isamu Ogawa}, title = {High-Dimensional Bayesian Optimization with Constraints: Application to Powder Weighing}, journal = {CoRR}, volume = {abs/2206.05988}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.05988}, doi = {10.48550/ARXIV.2206.05988}, eprinttype = {arXiv}, eprint = {2206.05988}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-05988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-11566, author = {Panagiota Nikolaou and Yiannakis Sazeides and Maria K. Michael}, title = {{INTERPLAY:} An Intelligent Model for Predicting Performance Degradation due to Multi-cache Way-disabling}, journal = {CoRR}, volume = {abs/2206.11566}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.11566}, doi = {10.48550/ARXIV.2206.11566}, eprinttype = {arXiv}, eprint = {2206.11566}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-11566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-03069, author = {Koji Nakano and Daisuke Takafuji and Yasuaki Ito and Takashi Yazane and Junko Yano and Shiro Ozaki and Ryota Katsuki and Rie Mori}, title = {Diverse Adaptive Bulk Search: a Framework for Solving {QUBO} Problems on Multiple GPUs}, journal = {CoRR}, volume = {abs/2207.03069}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.03069}, doi = {10.48550/ARXIV.2207.03069}, eprinttype = {arXiv}, eprint = {2207.03069}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-03069.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06696, author = {Tomoya Shiba and Tomohiro Ono and Shoshi Tokuno and Issei Uchino and Masaya Okamoto and Daiju Kanaoka and Kazutaka Takahashi and Kenta Tsukamoto and Yoshiaki Tsutsumi and Yugo Nakamura and Yukiya Fukuda and Yusuke Hoji and Hayato Amano and Yuma Kubota and Mayu Koresawa and Yoshifumi Sakai and Ryogo Takemoto and Katsunori Tamai and Kazuo Nakahara and Hiroyuki Hayashi and Satsuki Fujimatsu and Akinobu Mizutani and Yusuke Mizoguchi and Yuhei Yoshimitsu and Mayo Suzuka and Ikuya Matsumoto and Yuga Yano and Yuichiro Tanaka and Takashi Morie and Hakaru Tamukoh}, title = {Hibikino-Musashi@Home 2022 Team Description Paper}, journal = {CoRR}, volume = {abs/2211.06696}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06696}, doi = {10.48550/ARXIV.2211.06696}, eprinttype = {arXiv}, eprint = {2211.06696}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OnizawaKYYSFH21, author = {Naoya Onizawa and Makoto Kato and Hitoshi Yamagata and Koji Yano and Seiichi Shin and Hiroyuki Fujita and Takahiro Hanyu}, title = {Sparse Random Signals for Fast Convergence on Invertible Logic}, journal = {{IEEE} Access}, volume = {9}, pages = {62890--62898}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3072048}, doi = {10.1109/ACCESS.2021.3072048}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OnizawaKYYSFH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ManciniRIYC21, author = {Estefania Mancini and Andres Rabinovich and Javier Iserte and Marcelo Yanovsky and Ariel Chernomoretz}, title = {Corrigendum to: ASpli: Integrative analysis of splicing landscapes through RNA-Seq assays}, journal = {Bioinform.}, volume = {37}, number = {12}, pages = {1783}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab345}, doi = {10.1093/BIOINFORMATICS/BTAB345}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ManciniRIYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ManciniRIYC21a, author = {Estefania Mancini and Andres Rabinovich and Javier Iserte and Marcelo Yanovsky and Ariel Chernomoretz}, title = {ASpli: integrative analysis of splicing landscapes through RNA-Seq assays}, journal = {Bioinform.}, volume = {37}, number = {17}, pages = {2609--2616}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab141}, doi = {10.1093/BIOINFORMATICS/BTAB141}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ManciniRIYC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cca/AbramovRSZ021, author = {Sergei A. Abramov and Anna A. Ryabenko and Leonid A. Sevastianov and Yvette Zonn and Min Wu}, title = {The fourth conference "computer algebra" in Moscow}, journal = {{ACM} Commun. Comput. Algebra}, volume = {55}, number = {2}, pages = {30--38}, year = {2021}, url = {https://doi.org/10.1145/3493492.3493494}, doi = {10.1145/3493492.3493494}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cca/AbramovRSZ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compnet/GodaYM21, author = {Masatoshi Goda and Ryosuke Yano and Takayuki Mizuno}, title = {Multivariate Hawkes process analysis of posts on a web service about uncomfortable gender experiences}, journal = {J. Complex Networks}, volume = {9}, number = {1}, year = {2021}, url = {https://doi.org/10.1093/comnet/cnab010}, doi = {10.1093/COMNET/CNAB010}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compnet/GodaYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compnet/GodaYM21a, author = {Masatoshi Goda and Ryosuke Yano and Takayuki Mizuno}, title = {Corrigendum to: Multivariate Hawkes process analysis of posts on a web service about uncomfortable gender experiences}, journal = {J. Complex Networks}, volume = {10}, number = {1}, year = {2021}, url = {https://doi.org/10.1093/comnet/cnab015}, doi = {10.1093/COMNET/CNAB015}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/compnet/GodaYM21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgt/BogomolnaiaGILM21, author = {Anna Bogomolnaia and Misha Gavrilovich and Egor Ianovski and Galina Lyapunova and Herv{\'{e}} Moulin and Alexander S. Nesterov and Marina Sandomirskaia and Fedor Sandomirskiy and Elena Yanovskaya}, title = {In memory of Victoria Kreps {(3} September 1945-3 March 2021)}, journal = {Int. J. Game Theory}, volume = {50}, number = {3}, pages = {597--601}, year = {2021}, url = {https://doi.org/10.1007/s00182-021-00770-4}, doi = {10.1007/S00182-021-00770-4}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgt/BogomolnaiaGILM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/RamonFMM21, author = {Yanou Ramon and R. A. Farrokhnia and Sandra C. Matz and David Martens}, title = {Explainable {AI} for Psychological Profiling from Behavioral Data: An Application to Big Five Personality Predictions from Financial Transaction Records}, journal = {Inf.}, volume = {12}, number = {12}, pages = {518}, year = {2021}, url = {https://doi.org/10.3390/info12120518}, doi = {10.3390/INFO12120518}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/RamonFMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcm/WebberYSHNHMS21, author = {Julian L. Webber and Kazuto Yano and Norisato Suga and Yafei Hou and Eiji Nii and Toshihide Higashimori and Abolfazl Mehbodniya and Yoshinori Suzuki}, title = {{WLAN} Interference Identification Using a Convolutional Neural Network for Factory Environments}, journal = {J. Commun.}, volume = {16}, number = {7}, pages = {276--283}, year = {2021}, url = {https://doi.org/10.12720/jcm.16.7.276-283}, doi = {10.12720/JCM.16.7.276-283}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcm/WebberYSHNHMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/OzekiMSYM21, author = {Tomoe Ozeki and Tetsuya Mouri and Hiroko Sugiura and Yuu Yano and Kunie Miyosawa}, title = {Impression Survey and Grounded Theory Analysis of the Development of Medication Support Robots for Patients with Schizophrenia}, journal = {J. Robotics Mechatronics}, volume = {33}, number = {4}, pages = {747--755}, year = {2021}, url = {https://doi.org/10.20965/jrm.2021.p0747}, doi = {10.20965/JRM.2021.P0747}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/OzekiMSYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsc/MalykhSY21, author = {Mikhail D. Malykh and Leonid A. Sevastyanov and Ying Yu}, title = {On symbolic integration of algebraic functions}, journal = {J. Symb. Comput.}, volume = {104}, pages = {563--579}, year = {2021}, url = {https://doi.org/10.1016/j.jsc.2020.09.002}, doi = {10.1016/J.JSC.2020.09.002}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsc/MalykhSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ColeWMNGKKSNYSB21, author = {Katherine M. Cole and Shau{-}Ming Wei and Pedro E. Martinez and Tuong{-}Vi Nguyen and Michael D. Gregory and J. Shane Kippenhan and Philip Kohn and Steven J. Soldin and Lynnette K. Nieman and Jack A. Yanovski and Peter J. Schmidt and Karen Faith Berman}, title = {The {NIMH} Intramural Longitudinal Study of the Endocrine and Neurobiological Events Accompanying Puberty: Protocol and rationale for methods and measures}, journal = {NeuroImage}, volume = {234}, pages = {117970}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117970}, doi = {10.1016/J.NEUROIMAGE.2021.117970}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ColeWMNGKKSNYSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YanoPSO21, author = {Michelle Sayuri Yano and Aluir Porf{\'{\i}}rio Dal Poz and Milton Hirokazu Shimabukuro and Henrique Candido de Oliveira}, title = {Snake-Based Model for Automatic Roof Boundary Extraction in the Object Space Integrating a High-Resolution Aerial Images Stereo Pair and 3D Roof Models}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1429}, year = {2021}, url = {https://doi.org/10.3390/rs13081429}, doi = {10.3390/RS13081429}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YanoPSO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Rosello-MechoDB21, author = {Xavier Rosello{-}Mecho and Martina Delgado{-}Pinar and Yuri O. Barmenkov and Alexander V. Kir'yanov and Miguel V. Andr{\'{e}}s}, title = {Application of {WGM} Resonances to the Measurement of the Temperature Increment of Ho and Ho-Yb Doped Optical Fibers Pumped at 1125 and 975 nm}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2094}, year = {2021}, url = {https://doi.org/10.3390/s21062094}, doi = {10.3390/S21062094}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Rosello-MechoDB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/HadjilambrouDAS21, author = {Zacharias Hadjilambrou and Shidhartha Das and Marco A. Antoniades and Yiannakis Sazeides}, title = {Harnessing {CPU} Electromagnetic Emanations for Resonance-Induced Voltage-Noise Characterization}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {9}, pages = {1338--1349}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3008851}, doi = {10.1109/TC.2020.3008851}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/HadjilambrouDAS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/AsaharaMOYMSS21, author = {Akinori Asahara and Hidekazu Morita and Kanta Ono and Masao Yano and Chiharu Mitsumata and Tetsuya Shoji and Kotaro Saito}, editor = {Jonghyun Lee and Eric F. Darve and Peter K. Kitanidis and Michael W. Mahoney and Anuj Karpatne and Matthew W. Farthing and Tyler J. Hesser}, title = {Bayesian-Inference-based Inverse Estimation of Small Angle Scattering}, booktitle = {Proceedings of the {AAAI} 2021 Spring Symposium on Combining Artificial Intelligence and Machine Learning with Physical Sciences, Stanford, CA, USA, March 22nd - to - 24th, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {2964}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2964/article\_157.pdf}, timestamp = {Wed, 12 Jun 2024 21:04:48 +0200}, biburl = {https://dblp.org/rec/conf/aaaiss/AsaharaMOYMSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/WeinerYDBCRS21, author = {Michael Weiner and Elizabeth M. Yano and Jessica A. Davila and Catherine Brayton and Alison M. Cogan and Seppo T. Rinne and Steven R. Simon}, title = {The {PROVEN} Coordinating Hub to Accelerate Research about Electronic Health Record Modernization in the {U.S.} Department of Veterans Affairs}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t005-1.4625076/t005-1.4625077/3577462-1.4625159/3577372-1.4625156}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/WeinerYDBCRS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biotc/MadhwalNY21, author = {Yash Madhwal and Darkhan Nurlybay and Yury Yanovich}, title = {Blockchain Extension for PostgreSQL Data Storage}, booktitle = {{BIOTC} 2021: The 3rd Blockchain and Internet of Things Conference, Ho Chi Minh City, Vietnam, July 8 - 10, 2021}, pages = {70--75}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3475992.3476002}, doi = {10.1145/3475992.3476002}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biotc/MadhwalNY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/brains/ChukhninaMPSY21, author = {Anna Chukhnina and Grigorii Melnikov and Anton Pecherkin and Aleksandr Sokolov and Yury Yanovich}, title = {Reputation System for Local Flexibility Market}, booktitle = {3rd Conference on Blockchain Research {\&} Applications for Innovative Networks and Services, {BRAINS} 2021, Paris, France, September 27-30, 2021}, pages = {4--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BRAINS52497.2021.9569815}, doi = {10.1109/BRAINS52497.2021.9569815}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/brains/ChukhninaMPSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/brains/KrasnoselskiiMY21, author = {Mikhail Krasnoselskii and Grigorii Melnikov and Yury Yanovich}, title = {DisCO: Peer-to-Peer Random Number Generator in Partial Synchronous Systems}, booktitle = {3rd Conference on Blockchain Research {\&} Applications for Innovative Networks and Services, {BRAINS} 2021, Paris, France, September 27-30, 2021}, pages = {157--164}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BRAINS52497.2021.9569807}, doi = {10.1109/BRAINS52497.2021.9569807}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/brains/KrasnoselskiiMY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/TodorikiSYTKM21, author = {Masaru Todoriki and Masafumi Shingu and Shotaro Yano and Arseny Tolmachev and Tao Komikado and Koji Maruhashi}, title = {Semi-Automatic Reliable Explanations for Prediction in Graphs}, booktitle = {11th {IEEE} Annual Computing and Communication Workshop and Conference, {CCWC} 2021, Las Vegas, NV, USA, January 27-30, 2021}, pages = {311--320}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CCWC51732.2021.9375922}, doi = {10.1109/CCWC51732.2021.9375922}, timestamp = {Thu, 25 Mar 2021 08:31:10 +0100}, biburl = {https://dblp.org/rec/conf/ccwc/TodorikiSYTKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KatsubeQIYMK21, author = {Go Katsube and Song Qi and Taku Itami and Ken'ichi Yano and Ichidai Mori and Kazuhiro Kameda}, title = {Ankle foot orthosis that prevents slippage for tibial rotation in knee osteoarthritis patients}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {4728--4731}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9631003}, doi = {10.1109/EMBC46164.2021.9631003}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/KatsubeQIYMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/TaniguchiOKYYNT21, author = {Yuki Taniguchi and Yuto Ogata and Motoyu Katsumura and Laijun Yang and Ken'ichi Yano and Tomoyuki Nakao and Katsuhiko Torii}, title = {System for Operating Electric Wheelchairs Using Only the Remaining Functions of the Thumbs of Muscular Dystrophy Patients}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {4753--4756}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9631024}, doi = {10.1109/EMBC46164.2021.9631024}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/TaniguchiOKYYNT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocon/SushchenkoYSKAZ21, author = {Olha Sushchenko and Felix Yanovsky and Oleksandr Solomentsev and Nataliia Kuzmenko and Yuliya Averyanova and Maksym Zaliskyi and Ivan Ostroumov and Olga Shcherbyna}, title = {Design of Robust Control System for Inertially Stabilized Platforms of Ground Vehicles}, booktitle = {19th {IEEE} International Conference on Smart Technologies, {EUROCON} 2021, Lviv, Ukraine, July 6 - 8, 2021}, pages = {6--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EUROCON52738.2021.9535612}, doi = {10.1109/EUROCON52738.2021.9535612}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurocon/SushchenkoYSKAZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YanoKNO21, author = {Daiki Yano and Masanao Koeda and Hiroshi Noborio and Katsuhiko Onishi}, editor = {Masaaki Kurosu}, title = {Evaluation of Depth-Depth-Matching Speed of Depth Image Generated from {DICOM} by {GPGPU}}, booktitle = {Human-Computer Interaction. Interaction Techniques and Novel Applications - Thematic Area, {HCI} 2021, Held as Part of the 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12763}, pages = {644--655}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78465-2\_46}, doi = {10.1007/978-3-030-78465-2\_46}, timestamp = {Mon, 12 Jul 2021 09:46:03 +0200}, biburl = {https://dblp.org/rec/conf/hci/YanoKNO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/ChukhninaMPSY21, author = {Anna Chukhnina and Grigorii Melnikov and Anton Pecherkin and Aleksandr Sokolov and Yury Yanovich}, title = {Distributed Ledger for Non-Selective Reporting}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2021, Sydney, Australia, May 3-6, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICBC51069.2021.9461088}, doi = {10.1109/ICBC51069.2021.9461088}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/ChukhninaMPSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/MadhwalYC21, author = {Yash Madhwal and Yury Yanovich and Ilya Chumakov}, title = {CoVID-19 Vaccination Certificate Supply Verification Based on Blockchain}, booktitle = {{ICBTA} 2021: 4th International Conference on Blockchain Technology and Applications, Xi'an, China, December 17 - 19, 2021}, pages = {88--93}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3510487.3510500}, doi = {10.1145/3510487.3510500}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/MadhwalYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccmb/MadhwalCY21, author = {Yash Madhwal and Ivan Chistiakov and Yury Yanovich}, title = {Logging Multi-Component Supply Chain Production in Blockchain}, booktitle = {{ICCMB} 2021: The 4th International Conference on Computers in Management and Business, Singapore, 30 January, 2021 - 1 February, 2021}, pages = {83--88}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3450588.3450604}, doi = {10.1145/3450588.3450604}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccmb/MadhwalCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/TakeuchiYKOI21, author = {Kosuke Takeuchi and Iori Yanokura and Yohei Kakiuchi and Kei Okada and Masayuki Inaba}, title = {Automatic Hanging Point Learning from Random Shape Generation and Physical Function Validation}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {4237--4243}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561484}, doi = {10.1109/ICRA48506.2021.9561484}, timestamp = {Fri, 22 Oct 2021 19:54:31 +0200}, biburl = {https://dblp.org/rec/conf/icra/TakeuchiYKOI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanovskyPMBAV21, author = {Igor Yanovsky and Thomas S. Pagano and Evan M. Manning and Steven E. Broberg and Hartmut H. Aumann and Luminita A. Vese}, title = {Airs Point Spread Function Reconstruction Using Airs and Modis Data}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7868--7871}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9555019}, doi = {10.1109/IGARSS47720.2021.9555019}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanovskyPMBAV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/TakeuchiYKOI21, author = {Kosuke Takeuchi and Iori Yanokura and Yohei Kakiuchi and Kei Okada and Masayuki Inaba}, title = {Automatic Learning System for Object Function Points from Random Shape Generation and Physical Validation}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {2428--2435}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9635895}, doi = {10.1109/IROS51168.2021.9635895}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/TakeuchiYKOI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itams/BychkovPRMFKDD21, author = {Igor V. Bychkov and Viacheslav V. Paramonov and Gennady M. Ruzhnikov and Andrey A. Mikhailov and Roman K. Fedorov and Anatoly V. Klyuchevskii and Vladimir M. Dem'yanovich and Sodnomsambuu Demberel}, editor = {Igor V. Bychkov and Dimitar Karastoyanov}, title = {Russian-Mongolian scientific initiative for assessing the seismic hazards of Baikal region and Mongolia (short paper)}, booktitle = {Proceedings for 4th Scientific-practical Workshop Information Technologies: Algorithms, Models, Systems, Irkutsk, Russia, September 14, 2021}, series = {{CEUR} Workshop Proceedings}, volume = {2984}, pages = {112--119}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2984/paper14.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:16 +0100}, biburl = {https://dblp.org/rec/conf/itams/BychkovPRMFKDD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05061, author = {Iori Yanokura and Naoki Wake and Kazuhiro Sasabuchi and Katsushi Ikeuchi and Masayuki Inaba}, title = {Understanding Action Sequences based on Video Captioning for Learning-from-Observation}, journal = {CoRR}, volume = {abs/2101.05061}, year = {2021}, url = {https://arxiv.org/abs/2101.05061}, eprinttype = {arXiv}, eprint = {2101.05061}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05061.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02741, author = {Alexander Kovacs and Lukas Exl and Alexander Kornell and Johann Fischbacher and Markus Hovorka and Markus Gusenbauer and Leoni Breth and Harald Oezelt and Masao Yano and Noritsugu Sakuma and Akihito Kinoshita and Tetsuya Shoji and Akira Kato and Thomas Schrefl}, title = {Conditional physics informed neural networks}, journal = {CoRR}, volume = {abs/2104.02741}, year = {2021}, url = {https://arxiv.org/abs/2104.02741}, eprinttype = {arXiv}, eprint = {2104.02741}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-04232, author = {Sajjad Asefi and Yash Madhwal and Yury Yanovich and Elena N. Gryazina}, title = {Application of blockchain for secure data transmission in distributed state estimation}, journal = {CoRR}, volume = {abs/2104.04232}, year = {2021}, url = {https://arxiv.org/abs/2104.04232}, eprinttype = {arXiv}, eprint = {2104.04232}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-04232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-02624, author = {Yanou Ramon and Tom Vermeire and Olivier Toubia and David Martens and Theodoros Evgeniou}, title = {Understanding Consumer Preferences for Explanations Generated by {XAI} Algorithms}, journal = {CoRR}, volume = {abs/2107.02624}, year = {2021}, url = {https://arxiv.org/abs/2107.02624}, eprinttype = {arXiv}, eprint = {2107.02624}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-02624.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-06908, author = {Yanou Ramon and Sandra C. Matz and R. A. Farrokhnia and David Martens}, title = {Explainable {AI} for Psychological Profiling from Digital Footprints: {A} Case Study of Big Five Personality Predictions from Spending Data}, journal = {CoRR}, volume = {abs/2111.06908}, year = {2021}, url = {https://arxiv.org/abs/2111.06908}, eprinttype = {arXiv}, eprint = {2111.06908}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-06908.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-11587, author = {Jawad Haj{-}Yahya and Jeremie S. Kim and Abdullah Giray Yaglik{\c{c}}i and Jisung Park and Efraim Rotem and Yanos Sazeides and Onur Mutlu}, title = {DarkGates: {A} Hybrid Power-Gating Architecture to Mitigate the Performance Impact of Dark-Silicon in High Performance Processors}, journal = {CoRR}, volume = {abs/2112.11587}, year = {2021}, url = {https://arxiv.org/abs/2112.11587}, eprinttype = {arXiv}, eprint = {2112.11587}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-11587.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adac/RamonMPE20, author = {Yanou Ramon and David Martens and Foster J. Provost and Theodoros Evgeniou}, title = {A comparison of instance-level counterfactual explanation algorithms for behavioral and textual data: SEDC, {LIME-C} and {SHAP-C}}, journal = {Adv. Data Anal. Classif.}, volume = {14}, number = {4}, pages = {801--819}, year = {2020}, url = {https://doi.org/10.1007/s11634-020-00418-3}, doi = {10.1007/S11634-020-00418-3}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adac/RamonMPE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/SakaiKMYWOCKNMS20, author = {Ryo Sakai and Shinichi Katsumata and Takahiro Miki and Taiki Yano and Wenpeng Wei and Yuya Okadome and Nobuhiro Chihara and Nobutaka Kimura and Yusuke Nakai and Isamu Matsuo and Toshihiko Shimizu}, title = {A mobile dual-arm manipulation robot system for stocking and disposing of items in a convenience store by using universal vacuum grippers for grasping items}, journal = {Adv. Robotics}, volume = {34}, number = {3-4}, pages = {219--234}, year = {2020}, url = {https://doi.org/10.1080/01691864.2019.1705909}, doi = {10.1080/01691864.2019.1705909}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/SakaiKMYWOCKNMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/WatanabeIYKY20, author = {Kento Watanabe and Shintaro Izumi and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Heartbeat Interval Error Compensation Method for Low Sampling Rates Photoplethysmography Sensors}, journal = {{IEICE} Trans. Commun.}, volume = {103-B}, number = {6}, pages = {645--652}, year = {2020}, url = {https://doi.org/10.1587/transcom.2019HMP0002}, doi = {10.1587/TRANSCOM.2019HMP0002}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicetb/WatanabeIYKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iiset/SipekiNY20, author = {Levente Sipeki and Alexandra M. Newman and Candace A. Yano}, title = {Selecting support pillars in underground mines with ore veins}, journal = {{IISE} Trans.}, volume = {52}, number = {10}, pages = {1173--1188}, year = {2020}, url = {https://doi.org/10.1080/24725854.2019.1699978}, doi = {10.1080/24725854.2019.1699978}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iiset/SipekiNY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/YanoITMA20, author = {Midori Yano and Takayuki Itoh and Yuusuke Tanaka and Daisuke Matsuoka and Fumiaki Araki}, title = {A comparative visualization tool for ocean data analysis based on mode water regions}, journal = {J. Vis.}, volume = {23}, number = {2}, pages = {313--329}, year = {2020}, url = {https://doi.org/10.1007/s12650-020-00629-y}, doi = {10.1007/S12650-020-00629-Y}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/YanoITMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pcs/GevorkyanKKS20, author = {Migran N. Gevorkyan and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevast'yanov}, title = {A Modular Extension for a Computer Algebra System}, journal = {Program. Comput. Softw.}, volume = {46}, number = {2}, pages = {98--104}, year = {2020}, url = {https://doi.org/10.1134/S036176882002005X}, doi = {10.1134/S036176882002005X}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pcs/GevorkyanKKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qmi/SuzukiYGUTAY20, author = {Yudai Suzuki and Hiroshi Yano and Qi Gao and Shumpei Uno and Tomoki Tanaka and Manato Akiyama and Naoki Yamamoto}, title = {Analysis and synthesis of feature map for kernel-based quantum classifier}, journal = {Quantum Mach. Intell.}, volume = {2}, number = {1}, pages = {1--9}, year = {2020}, url = {https://doi.org/10.1007/s42484-020-00020-y}, doi = {10.1007/S42484-020-00020-Y}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qmi/SuzukiYGUTAY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/superfri/VolokhovAVZLYF20, author = {Vadim M. Volokhov and Elena S. Amosova and Alexander V. Volokhov and Tatiana S. Zyubina and David B. Lempert and Leonid S. Yanovskiy and Ilya D. Fateev}, title = {Computer Design of Structure of Molecules of High-Energy Tetrazines. Calculation of Thermochemical Properties}, journal = {Supercomput. Front. Innov.}, volume = {7}, number = {4}, pages = {68--79}, year = {2020}, url = {https://doi.org/10.14529/jsfi200406}, doi = {10.14529/JSFI200406}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/superfri/VolokhovAVZLYF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaiss/AsaharaMOYSSM20, author = {Akinori Asahara and Hidekazu Morita and Kanta Ono and Masao Yano and Tetsuya Shoji and Kotaro Saito and Chiharu Mitsumata}, editor = {Andreas Martin and Knut Hinkelmann and Hans{-}Georg Fill and Aurona Gerber and Doug Lenat and Reinhard Stolle and Frank van Harmelen}, title = {EM-algorithm Enpowers Material Science: Application of Inverse Estimation for Small Angle Scattering}, booktitle = {Proceedings of the {AAAI} 2020 Spring Symposium on Combining Machine Learning and Knowledge Engineering in Practice, {AAAI-MAKE} 2020, Palo Alto, CA, USA, March 23-25, 2020, Volume {I}}, series = {{CEUR} Workshop Proceedings}, volume = {2600}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2600/short6.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:39 +0100}, biburl = {https://dblp.org/rec/conf/aaaiss/AsaharaMOYSSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/WatanabeYIKTHIM20, author = {Daisuke Watanabe and Yuji Yano and Shintaro Izumi and Hiroshi Kawaguchi and Kiyoshi Takeuchi and Toshiro Hiramoto and Shoichi Iwai and Masami Murakata and Masahiko Yoshimoto}, title = {An Architectural Study for Inference Coprocessor Core at the Edge in IoT Sensing}, booktitle = {2nd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2020, Genova, Italy, August 31 - September 2, 2020}, pages = {305--309}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/AICAS48895.2020.9073992}, doi = {10.1109/AICAS48895.2020.9073992}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aicas/WatanabeYIKTHIM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/KatsumuraOYHNT20, author = {Motoyu Katsumura and Shuya Obayashi and Ken'ichi Yano and Atsushi Hamada and Tomoyuki Nakao and Katsuhiko Torii}, title = {Retractor-Type Robotic Knee Prosthesis to Prevent Fall}, booktitle = {8th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2020, New York City, NY, USA, November 29 - December 1, 2020}, pages = {94--99}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BioRob49111.2020.9224410}, doi = {10.1109/BIOROB49111.2020.9224410}, timestamp = {Thu, 22 Oct 2020 10:43:40 +0200}, biburl = {https://dblp.org/rec/conf/biorob/KatsumuraOYHNT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/casc/AyryanMSY20, author = {Edik A. Ayryan and Mikhail D. Malykh and Leonid A. Sevastianov and Yu Ying}, editor = {Fran{\c{c}}ois Boulier and Matthew England and Timur M. Sadykov and Evgenii V. Vorozhtsov}, title = {On Periodic Approximate Solutions of the Three-Body Problem Found by Conservative Difference Schemes}, booktitle = {Computer Algebra in Scientific Computing - 22nd International Workshop, {CASC} 2020, Linz, Austria, September 14-18, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12291}, pages = {77--90}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60026-6\_5}, doi = {10.1007/978-3-030-60026-6\_5}, timestamp = {Mon, 31 Jan 2022 07:36:54 +0100}, biburl = {https://dblp.org/rec/conf/casc/AyryanMSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/YanoM19, author = {Hiroki Yano and Hiroyoshi Miwa}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {Optimization Problem for Network Design by Link Protection and Link Augmentation}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {516--521}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_52}, doi = {10.1007/978-3-030-39746-3\_52}, timestamp = {Wed, 18 Mar 2020 17:56:30 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/YanoM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/Haj-YahyaSARM20, author = {Jawad Haj{-}Yahya and Yanos Sazeides and Mohammed Alser and Efraim Rotem and Onur Mutlu}, title = {Techniques for Reducing the Connected-Standby Energy Consumption of Mobile Devices}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {623--636}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00057}, doi = {10.1109/HPCA47549.2020.00057}, timestamp = {Wed, 29 Apr 2020 10:45:35 +0200}, biburl = {https://dblp.org/rec/conf/hpca/Haj-YahyaSARM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/ErmolaevKMVWY20, author = {Victor Ermolaev and Indrek Klangberg and Yash Madhwal and Silver Vapper and Sjoerd Wels and Yury Yanovich}, title = {Incorruptible Auditing: Blockchain-Powered Graph Database Management}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2020, Toronto, ON, Canada, May 2-6, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICBC48266.2020.9169431}, doi = {10.1109/ICBC48266.2020.9169431}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/ErmolaevKMVWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbta/KrasnoselskiiMY20, author = {Mikhail Krasnoselskii and Grigorii Melnikov and Yury Yanovich}, title = {Distributed Random Number Generator on Hedera Hashgraph}, booktitle = {{ICBTA} 2020: The 3rd International Conference on Blockchain Technology and Applications, Xi'an, China, December, 2020}, pages = {7--11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3446983.3446986}, doi = {10.1145/3446983.3446986}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbta/KrasnoselskiiMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdl-epirob/KatsumuraYNHT20, author = {Motoyu Katsumura and Ken'ichi Yano and Tomoyuki Nakao and Atsushi Hamada and Katsuhiko Torii}, title = {Involuntary movement suppression filter for electric wheelchair with athetosis-type cerebral palsy}, booktitle = {Joint {IEEE} 10th International Conference on Development and Learning and Epigenetic Robotics, ICDL-EpiRob 2020, Valparaiso, Chile, October 26-30, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICDL-EpiRob48136.2020.9278065}, doi = {10.1109/ICDL-EPIROB48136.2020.9278065}, timestamp = {Mon, 08 Feb 2021 13:44:39 +0100}, biburl = {https://dblp.org/rec/conf/icdl-epirob/KatsumuraYNHT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsm/YanoM20, author = {Keisuke Yano and Akihiko Matsuo}, title = {Moderate Detection and Removal of Omnipresent Modules in Software Clustering}, booktitle = {{IEEE} International Conference on Software Maintenance and Evolution, {ICSME} 2020, Adelaide, Australia, September 28 - October 2, 2020}, pages = {662--666}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSME46990.2020.00068}, doi = {10.1109/ICSME46990.2020.00068}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsm/YanoM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/KishiKTMYPY20, author = {Tetsuo Kishi and Tsutaru Kumagai and Hengjie Tang and Shunsuke Murai and Gao Yuan and Francesco Prudenzano and Tetsuji Yano}, title = {Glass Microspheres with Add-on Structures for Optical Resonators}, booktitle = {22nd International Conference on Transparent Optical Networks, {ICTON} 2020, Bari, Italy, July 19-23, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTON51198.2020.9203287}, doi = {10.1109/ICTON51198.2020.9203287}, timestamp = {Fri, 11 Dec 2020 09:32:33 +0100}, biburl = {https://dblp.org/rec/conf/icton/KishiKTMYPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/YanoMTKKGW20, author = {Shu Yano and Kenji Matsuura and Hiroki Tanioka and Stephen Karungaru and Naoya Kohda and Naka Gotoda and Tomohito Wada}, title = {Tactics-Trend Analysis for Increasing the Possibility of Shooting in a Basketball Match}, booktitle = {14th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2020, Taichung, Taiwan, January 3-5, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IMCOM48794.2020.9001784}, doi = {10.1109/IMCOM48794.2020.9001784}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/YanoMTKKGW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiebowitzSYBBN20, author = {Anna Liebowitz and Elizabeth Sebastian and Claudia Yanos and Matthew Bilik and Reginald A. Blake and Hamidreza Norouzi}, title = {Urban Heat Islands and Remote Sensing: Characterizing Land Surface Temperature at the Neighborhood Scale}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {4407--4409}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324473}, doi = {10.1109/IGARSS39084.2020.9324473}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiebowitzSYBBN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/NaitoMY20, author = {Hiromu Naito and Kenji Matsuura and Shu Yano}, editor = {Tokuro Matsuo and Kunihiko Takamatsu and Yuichi Ono and Sachio Hirokawa}, title = {Learning Support for Tactics Identification Skills in Team Sports by Gaze Awareness}, booktitle = {9th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2020, Kitakyushu, Japan, September 1-15, 2020}, pages = {209--212}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IIAI-AAI50415.2020.00048}, doi = {10.1109/IIAI-AAI50415.2020.00048}, timestamp = {Thu, 20 May 2021 15:42:15 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/NaitoMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/YanoMTNGW20, author = {Shu Yano and Kenji Matsuura and Hiroki Tanioka and Hiromu Naito and Naka Gotoda and Tomohito Wada}, editor = {Tokuro Matsuo and Kunihiko Takamatsu and Yuichi Ono and Sachio Hirokawa}, title = {A Supporting System Design for Basketball Offense Tactics}, booktitle = {9th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2020, Kitakyushu, Japan, September 1-15, 2020}, pages = {213--216}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IIAI-AAI50415.2020.00049}, doi = {10.1109/IIAI-AAI50415.2020.00049}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/YanoMTNGW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/YanoTMSU20, author = {Rina Yano and Hiroki Tanioka and Kenji Matsuura and Masahiko Sano and Tetsushi Ueta}, editor = {Tokuro Matsuo and Kunihiko Takamatsu and Yuichi Ono and Sachio Hirokawa}, title = {Quantitative Measurement and Analysis to Thinking as a Way of Programming for Elementary School in Japan}, booktitle = {9th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2020, Kitakyushu, Japan, September 1-15, 2020}, pages = {163--168}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IIAI-AAI50415.2020.00040}, doi = {10.1109/IIAI-AAI50415.2020.00040}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/YanoTMSU20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/KrasnoselskiiMY20, author = {Mikhail Krasnoselskii and Grigorii Melnikov and Yury Yanovich}, title = {No-Dealer: Byzantine Fault-Tolerant Random Number Generator}, booktitle = {39th {IEEE} Conference on Computer Communications, {INFOCOM} Workshops 2020, Toronto, ON, Canada, July 6-9, 2020}, pages = {568--573}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/INFOCOMWKSHPS50562.2020.9162907}, doi = {10.1109/INFOCOMWKSHPS50562.2020.9162907}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/KrasnoselskiiMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/TakedaHHPZSPKHC20, author = {Seiji Takeda and Toshiyuki Hama and Hsiang{-}Han Hsu and Victoria A. Piunova and Dmitry Zubarev and Daniel P. Sanders and Jed W. Pitera and Makoto Kogoh and Takumi Hongo and Yenwei Cheng and Wolf Bocanett and Hideaki Nakashika and Akihiro Fujita and Yuta Tsuchiya and Katsuhiko Hino and Kentaro Yano and Shuichi Hirose and Hiroki Toda and Yasumitsu Orii and Daiju Nakano}, editor = {Rajesh Gupta and Yan Liu and Jiliang Tang and B. Aditya Prakash}, title = {Molecular Inverse-Design Platform for Material Industries}, booktitle = {{KDD} '20: The 26th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, CA, USA, August 23-27, 2020}, pages = {2961--2969}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394486.3403346}, doi = {10.1145/3394486.3403346}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/TakedaHHPZSPKHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ruscdays/VolokhovZVAVLY20, author = {Vadim M. Volokhov and Tatyana S. Zyubina and Alexander V. Volokhov and Elena S. Amosova and Dmitry Varlamov and David B. Lempert and Leonid S. Yanovskiy}, editor = {Vladimir V. Voevodin and Sergey I. Sobolev}, title = {Predictive Quantum-Chemical Design of Molecules of High-Energy Heterocyclic Compounds}, booktitle = {Supercomputing - 6th Russian Supercomputing Days, RuSCDays 2020, Moscow, Russia, September 21-22, 2020, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1331}, pages = {310--319}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-64616-5\_27}, doi = {10.1007/978-3-030-64616-5\_27}, timestamp = {Tue, 27 Dec 2022 16:32:48 +0100}, biburl = {https://dblp.org/rec/conf/ruscdays/VolokhovZVAVLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sds/KostyukKMMTY20, author = {Pavel Kostyuk and Sergey Kudryashov and Yash Madhwal and Ivan Maslov and Vladislav Tkachenko and Yury Yanovich}, title = {Blockchain-Based Solution to Prevent Plastic Pipes Fraud}, booktitle = {2020 Seventh International Conference on Software Defined Systems, {SDS} 2020, Paris, France, April 20-23, 2020}, pages = {208--213}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SDS49854.2020.9143879}, doi = {10.1109/SDS49854.2020.9143879}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sds/KostyukKMMTY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tailor/AlonsoBBDGGRSTT20, author = {Jos{\'{e}} Maria Alonso and Sen{\'{e}}n Barro and Alberto Bugar{\'{\i}}n and Kees van Deemter and Claire Gardent and Albert Gatt and Ehud Reiter and Carles Sierra and Mari{\"{e}}t Theune and Nava Tintarev and Hitoshi Yano and Katarzyna Budzynska}, editor = {Fredrik Heintz and Michela Milano and Barry O'Sullivan}, title = {Interactive Natural Language Technology for Explainable Artificial Intelligence}, booktitle = {Trustworthy {AI} - Integrating Learning, Optimization and Reasoning - First International Workshop, {TAILOR} 2020, Virtual Event, September 4-5, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12641}, pages = {63--70}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-73959-1\_5}, doi = {10.1007/978-3-030-73959-1\_5}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tailor/AlonsoBBDGGRSTT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/TanakaMYAF20, author = {Kosuke Tanaka and Tatsuhiro Morimoto and Ken'ichi Yano and Toshihiko Arima and Shigeru Fukui}, title = {Suction-port Position Control for Vacuum Working Robot to Improve Working Efficiency}, booktitle = {2020 {IEEE} Region 10 Conference, {TENCON} 2020, Osaka, Japan, November 16-19, 2020}, pages = {1046--1051}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/TENCON50793.2020.9293703}, doi = {10.1109/TENCON50793.2020.9293703}, timestamp = {Thu, 28 Jan 2021 11:14:07 +0100}, biburl = {https://dblp.org/rec/conf/tencon/TanakaMYAF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/KudryashovKMY20, author = {Sergey Kudryashov and Stanislav Kruglik and Ivan Maslov and Yury Yanovich}, title = {Supply-Chain Management System for Plastic Pipes Market Based on Open Blockchain Framework}, booktitle = {21st {IEEE} International Symposium on "A World of Wireless, Mobile and Multimedia Networks", WoWMoM 2020, Cork, Ireland, August 31 - September 3, 2020}, pages = {188--190}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WoWMoM49955.2020.00044}, doi = {10.1109/WOWMOM49955.2020.00044}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wowmom/KudryashovKMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-04792, author = {Yanou Ramon and David Martens and Theodoros Evgeniou and Stiene Praet}, title = {Metafeatures-based Rule-Extraction for Classifiers on Behavioral and Textual Data}, journal = {CoRR}, volume = {abs/2003.04792}, year = {2020}, url = {https://arxiv.org/abs/2003.04792}, eprinttype = {arXiv}, eprint = {2003.04792}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-04792.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-03172, author = {V. M. Danilevskiy and V. V. Yanovsky}, title = {Statistical properties of telephone communication network}, journal = {CoRR}, volume = {abs/2004.03172}, year = {2020}, url = {https://arxiv.org/abs/2004.03172}, eprinttype = {arXiv}, eprint = {2004.03172}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-03172.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-05756, author = {Masayuki Yano and Tianci Huang and Matthew J. Zahr}, title = {A globally convergent method to accelerate topology optimization using on-the-fly model reduction}, journal = {CoRR}, volume = {abs/2004.05756}, year = {2020}, url = {https://arxiv.org/abs/2004.05756}, eprinttype = {arXiv}, eprint = {2004.05756}, timestamp = {Tue, 14 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-05756.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-11521, author = {Seiji Takeda and Toshiyuki Hama and Hsiang{-}Han Hsu and Victoria A. Piunova and Dmitry Zubarev and Daniel P. Sanders and Jed W. Pitera and Makoto Kogoh and Takumi Hongo and Yenwei Cheng and Wolf Bocanett and Hideaki Nakashika and Akihiro Fujita and Yuta Tsuchiya and Katsuhiko Hino and Kentaro Yano and Shuichi Hirose and Hiroki Toda and Yasumitsu Orii and Daiju Nakano}, title = {Molecular Inverse-Design Platform for Material Industries}, journal = {CoRR}, volume = {abs/2004.11521}, year = {2020}, url = {https://arxiv.org/abs/2004.11521}, eprinttype = {arXiv}, eprint = {2004.11521}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-11521.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-05261, author = {Migran N. Gevorkyan and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastianov}, title = {A modular extension for a computer algebra system}, journal = {CoRR}, volume = {abs/2005.05261}, year = {2020}, url = {https://arxiv.org/abs/2005.05261}, eprinttype = {arXiv}, eprint = {2005.05261}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-05261.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-01170, author = {Vladimir P. Gerdt and Mikhail D. Malykh and Leonid A. Sevastianov and Yu Ying}, title = {On conservative difference schemes for the many-body problem}, journal = {CoRR}, volume = {abs/2007.01170}, year = {2020}, url = {https://arxiv.org/abs/2007.01170}, eprinttype = {arXiv}, eprint = {2007.01170}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-01170.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-02661, author = {Robert B. Ramirez and Tomohiko Yano and Masaki Shimaoka and Kenichi Magata}, title = {Knowledge-Base Practicality for Cybersecurity Research Ethics Evaluation}, journal = {CoRR}, volume = {abs/2011.02661}, year = {2020}, url = {https://arxiv.org/abs/2011.02661}, eprinttype = {arXiv}, eprint = {2011.02661}, timestamp = {Mon, 09 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-02661.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adcm/Yano19, author = {Masayuki Yano}, title = {Discontinuous Galerkin reduced basis empirical quadrature procedure for model reduction of parametrized nonlinear conservation laws}, journal = {Adv. Comput. Math.}, volume = {45}, number = {5}, pages = {2287--2320}, year = {2019}, url = {https://doi.org/10.1007/s10444-019-09710-z}, doi = {10.1007/S10444-019-09710-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adcm/Yano19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdata/CnuddeRMP19, author = {Sofie De Cnudde and Yanou Ramon and David Martens and Foster J. Provost}, title = {Deep Learning on Big, Sparse, Behavioral Data}, journal = {Big Data}, volume = {7}, number = {4}, pages = {286--307}, year = {2019}, url = {https://doi.org/10.1089/big.2019.0095}, doi = {10.1089/BIG.2019.0095}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bigdata/CnuddeRMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/SchiffmanPPYCWM19, author = {Courtney Schiffman and Lauren Petrick and Kelsi Perttula and Yukiko Yano and Henrik Carlsson and Todd Whitehead and Catherine Metayer and Josie Hayes and Stephen M. Rappaport and Sandrine Dudoit}, title = {Filtering procedures for untargeted {LC-MS} metabolomics data}, journal = {{BMC} Bioinform.}, volume = {20}, number = {1}, pages = {334:1--334:10}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2871-9}, doi = {10.1186/S12859-019-2871-9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/SchiffmanPPYCWM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cca/AbramovRSWZ19, author = {Sergei A. Abramov and Anna A. Ryabenko and Leonid A. Sevastianov and Min Wu and Yvette Zonn}, title = {The conference "computer algebra" in Moscow}, journal = {{ACM} Commun. Comput. Algebra}, volume = {53}, number = {2}, pages = {65--77}, year = {2019}, url = {https://doi.org/10.1145/3371991.3372000}, doi = {10.1145/3371991.3372000}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cca/AbramovRSWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/LiSHLYAT19, author = {Weite Li and Kenya Shigeta and Kyoko Hasegawa and Liang Li and Keiji Yano and Motoaki Adachi and Satoshi Tanaka}, title = {Transparent Collision Visualization of Point Clouds Acquired by Laser Scanning}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {8}, number = {9}, pages = {425}, year = {2019}, url = {https://doi.org/10.3390/ijgi8090425}, doi = {10.3390/IJGI8090425}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/LiSHLYAT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ParanePT19, author = {Khyamling Parane and Prabhu B. M. Prasad and Basavaraj Talawar}, title = {YaNoC: Yet Another Network-on-Chip Simulation Acceleration Engine Supporting Congestion-Aware Adaptive Routing Using FPGAs}, journal = {J. Circuits Syst. Comput.}, volume = {28}, number = {12}, pages = {1950202:1--1950202:31}, year = {2019}, url = {https://doi.org/10.1142/S0218126619502025}, doi = {10.1142/S0218126619502025}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ParanePT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrnal/AsadaYYT19, author = {Taro Asada and Yuiko Yano and Yasunari Yoshitomi and Masayoshi Tabuse}, title = {A System for Posting on an {SNS} an Author Portrait Selected using Facial Expression Analysis while Writing a Message}, journal = {J. Robotics Netw. Artif. Life}, volume = {6}, number = {3}, pages = {199--202}, year = {2019}, url = {https://doi.org/10.2991/jrnal.k.191202.004}, doi = {10.2991/JRNAL.K.191202.004}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrnal/AsadaYYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scw/BogomolnaiaMSY19, author = {Anna Bogomolnaia and Herv{\'{e}} Moulin and Fedor Sandomirskiy and Elena Yanovskaia}, title = {Dividing bads under additive utilities}, journal = {Soc. Choice Welf.}, volume = {52}, number = {3}, pages = {395--417}, year = {2019}, url = {https://doi.org/10.1007/s00355-018-1157-x}, doi = {10.1007/S00355-018-1157-X}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scw/BogomolnaiaMSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/HadjilambrouKAP19, author = {Zacharias Hadjilambrou and Marios Kleanthous and Georgia Antoniou and Antoni Portero and Yiannakis Sazeides}, title = {Comprehensive Characterization of an Open Source Document Search Engine}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {16}, number = {2}, pages = {19:1--19:21}, year = {2019}, url = {https://doi.org/10.1145/3320346}, doi = {10.1145/3320346}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/HadjilambrouKAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/WatanabeISYKY19, author = {Kento Watanabe and Shintaro Izumi and Kana Sasai and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Low-Noise Photoplethysmography Sensor Using Correlated Double Sampling for Heartbeat Interval Acquisition}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {13}, number = {6}, pages = {1552--1562}, year = {2019}, url = {https://doi.org/10.1109/TBCAS.2019.2956948}, doi = {10.1109/TBCAS.2019.2956948}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/WatanabeISYKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YoshidaIKYKY19, author = {Seiya Yoshida and Shintaro Izumi and Koichi Kajihara and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Energy-Efficient Spectral Analysis Method Using Autoregressive Model-Based Approach for Internet of Things}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {10}, pages = {3896--3905}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2922990}, doi = {10.1109/TCSI.2019.2922990}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/YoshidaIKYKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/FadlullahKNKEYK19, author = {Zubair Md. Fadlullah and Yuichi Kawamoto and Hiroki Nishiyama and Nei Kato and Naoto Egashira and Kazuto Yano and Tomoaki Kumagai}, title = {Multi-Hop Wireless Transmission in Multi-Band {WLAN} Systems: Proposal and Future Perspective}, journal = {{IEEE} Wirel. Commun.}, volume = {26}, number = {1}, pages = {108--113}, year = {2019}, url = {https://doi.org/10.1109/MWC.2017.1700148}, doi = {10.1109/MWC.2017.1700148}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/FadlullahKNKEYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/AsaharaMMOYS19, author = {Akinori Asahara and Hidekazu Morita and Chiharu Mitsumata and Kanta Ono and Masao Yano and Tetsuya Shoji}, title = {Early-Stopping of Scattering Pattern Observation with Bayesian Modeling}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {9410--9415}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33019410}, doi = {10.1609/AAAI.V33I01.33019410}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/AsaharaMMOYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acml/GomtsyanMPY19, author = {Marina Gomtsyan and Nikita Mokrov and Maxim Panov and Yury Yanovich}, editor = {Wee Sun Lee and Taiji Suzuki}, title = {Geometry-Aware Maximum Likelihood Estimation of Intrinsic Dimension}, booktitle = {Proceedings of The 11th Asian Conference on Machine Learning, {ACML} 2019, 17-19 November 2019, Nagoya, Japan}, series = {Proceedings of Machine Learning Research}, volume = {101}, pages = {1126--1141}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v101/gomtsyan19a.html}, timestamp = {Mon, 18 Nov 2019 16:57:55 +0100}, biburl = {https://dblp.org/rec/conf/acml/GomtsyanMPY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/0002YCS19, author = {Julian Brunner and Elizabeth M. Yano and Emmeline Chuang and Jeremy Shelton}, title = {The Role of Perceived Legitimacy in Clinical Decision Support}, booktitle = {{AMIA} 2019, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2019}, publisher = {{AMIA}}, year = {2019}, url = {https://knowledge.amia.org/69862-amia-1.4570936/t007-1.4573510/t007-1.4573511/3203552-1.4574403/3203548-1.4574400}, timestamp = {Wed, 17 Apr 2024 11:47:08 +0200}, biburl = {https://dblp.org/rec/conf/amia/0002YCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/WebberSAHMHYS19, author = {Julian L. Webber and Norisato Suga and Susumu Ano and Yafei Hou and Abolfazl Mehbodniya and Toshihide Higashimori and Kazuto Yano and Yoshinori Suzuki}, title = {Machine Learning-based {RSSI} Prediction in Factory Environments}, booktitle = {25th Asia-Pacific Conference on Communications, {APCC} 2019, Ho Chi Minh City, Vietnam, November 6-8, 2019}, pages = {195--200}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/APCC47188.2019.9026476}, doi = {10.1109/APCC47188.2019.9026476}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apcc/WebberSAHMHYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/YanoYIKHMSOAKTY19, author = {Yuji Yano and Seiya Yoshida and Shintaro Izumi and Hiroshi Kawaguchi and Tetsuya Hirose and Masaya Miyahara and Teruki Someya and Kenichi Okada and Ippei Akita and Yoshihiko Kurui and Hideyuki Tomizawa and Masahiko Yoshimoto}, title = {An IoT Sensor Node SoC with Dynamic Power Scheduling for Sustainable Operation in Energy Harvesting Environment}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {267--270}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056902}, doi = {10.1109/A-SSCC47793.2019.9056902}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/YanoYIKHMSOAKTY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/NguyenLHYK19, author = {Thi Mai Phuong Nguyen and Xinzhe Li and Yoshikatsu Hayashi and Shiro Yano and Toshiyuki Kondo}, title = {Estimation of Brain Dynamics Under Visuomotor Task using Functional Connectivity Analysis Based on Graph Theory}, booktitle = {19th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2019, Athens, Greece, October 28-30, 2019}, pages = {577--582}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBE.2019.00110}, doi = {10.1109/BIBE.2019.00110}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibe/NguyenLHYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/YoshidaINWSYKY19, author = {Seiya Yoshida and Shintaro Izumi and Yuki Nishikawa and Kento Watanabe and Kana Sasai and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {A Heartbeat Interval Error Compensation Method Using Multiple Linear Regression for Photoplethysmography Sensors}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918719}, doi = {10.1109/BIOCAS.2019.8918719}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/YoshidaINWSYKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blackseecom/KorepanovaNOY19, author = {Darya Korepanova and Maria Nosyk and Alex Ostrovsky and Yury Yanovich}, title = {Building a Private Currency Service Using Exonum}, booktitle = {7th {IEEE} International Black Sea Conference on Communications and Networking BlackSeaCom 2019, Sochi, Russia, June 3-6, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BlackSeaCom.2019.8812875}, doi = {10.1109/BLACKSEACOM.2019.8812875}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blackseecom/KorepanovaNOY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/casc/AyryanMSY19, author = {Edik A. Ayryan and Mikhail D. Malykh and Leonid A. Sevastianov and Yu Ying}, editor = {Matthew England and Wolfram Koepf and Timur M. Sadykov and Werner M. Seiler and Evgenii V. Vorozhtsov}, title = {On Explicit Difference Schemes for Autonomous Systems of Differential Equations on Manifolds}, booktitle = {Computer Algebra in Scientific Computing - 21st International Workshop, {CASC} 2019, Moscow, Russia, August 26-30, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11661}, pages = {343--361}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26831-2\_23}, doi = {10.1007/978-3-030-26831-2\_23}, timestamp = {Mon, 31 Jan 2022 07:36:55 +0100}, biburl = {https://dblp.org/rec/conf/casc/AyryanMSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/casc/TiutiunnikDMS19, author = {Anastasia A. Tiutiunnik and Dmitry V. Divakov and Mikhail D. Malykh and Leonid A. Sevastianov}, editor = {Matthew England and Wolfram Koepf and Timur M. Sadykov and Werner M. Seiler and Evgenii V. Vorozhtsov}, title = {Symbolic-Numeric Implementation of the Four Potential Method for Calculating Normal Modes: An Example of Square Electromagnetic Waveguide with Rectangular Insert}, booktitle = {Computer Algebra in Scientific Computing - 21st International Workshop, {CASC} 2019, Moscow, Russia, August 26-30, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11661}, pages = {412--429}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26831-2\_27}, doi = {10.1007/978-3-030-26831-2\_27}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/casc/TiutiunnikDMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KatsumuraOYHNT19, author = {Motoyu Katsumura and Shuya Obayashi and Ken'ichi Yano and Atsushi Hamada and Tomoyuki Nakao and Katsuhiko Torii}, title = {Robotic prosthesis that maintains flexion posture}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {6652--6655}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857777}, doi = {10.1109/EMBC.2019.8857777}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KatsumuraOYHNT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OgataKYNHT19, author = {Yuto Ogata and Motoyu Katsumura and Ken'ichi Yano and Tomoyuki Nakao and Atsushi Hamada and Katsuhiko Torii}, title = {Joystick Grip for Electric Wheelchair for Tension-Athetosis-Type Cerebral Palsy}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {1666--1669}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857419}, doi = {10.1109/EMBC.2019.8857419}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/OgataKYNHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YanoMHIDYSY19, author = {Koudai Yano and Yusuke Manabe and Masatsugu Hirano and Kohei Ishii and Mikio Deguchi and Takashi Yoshikawa and Takuro Sakiyama and Katsuhito Yamasaki}, editor = {Constantine Stephanidis}, title = {Video-Surveillance System for Fall Detection in the Elderly}, booktitle = {{HCI} International 2019 - Posters - 21st International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1033}, pages = {328--333}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-23528-4\_45}, doi = {10.1007/978-3-030-23528-4\_45}, timestamp = {Wed, 10 Jul 2019 12:11:02 +0200}, biburl = {https://dblp.org/rec/conf/hci/YanoMHIDYSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/AsanoNYOKTKOOI19, author = {Yuki Asano and Shinsuke Nakashima and Iori Yanokura and Moritaka Onitsuka and Kento Kawaharazuka and Kei Tsuzuki and Yuya Koga and Yusuke Omura and Kei Okada and Masayuki Inaba}, title = {Ankle-hip-stepping stabilizer on tendon-driven humanoid Kengoro by integration of muscle-joint-work space controllers for knee-stretched humanoid balance}, booktitle = {19th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2019, Toronto, ON, Canada, October 15-17, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/Humanoids43949.2019.9035008}, doi = {10.1109/HUMANOIDS43949.2019.9035008}, timestamp = {Thu, 23 Apr 2020 14:04:40 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/AsanoNYOKTKOOI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/YanoEWUS19, author = {Kazuto Yano and Naoto Egashira and Julian L. Webber and Makoto Usui and Yoshinori Suzuki}, title = {Achievable Throughput of Multiband Wireless {LAN} using Simultaneous Transmission over Multiple Primary Channels Assisted by Idle Length Prediction Based on {PNN}}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2019, Okinawa, Japan, February 11-13, 2019}, pages = {22--27}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAIIC.2019.8668975}, doi = {10.1109/ICAIIC.2019.8668975}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/YanoEWUS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/KorepanovaKMMPS19, author = {Darya Korepanova and Stanislav Kruglik and Yash Madhwal and Timur Myaldzin and Ivan Prokhorov and Igor Shiyanov and Sergey Vorobyov and Yury Yanovich}, title = {Blockchain-Based Solution to Prevent Postage Stamps Fraud}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2019, Seoul, Korea (South), May 14-17, 2019}, pages = {171--175}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BLOC.2019.8751495}, doi = {10.1109/BLOC.2019.8751495}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/KorepanovaKMMPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccspa/WebberMYS19, author = {Julian L. Webber and Abolfazl Mehbodniya and Kazuto Yano and Yoshinori Suzuki}, title = {Optimized {WLAN} Channel Allocation based on Gibbs Sampling with Busy Prediction using a Probabilistic Neural Network}, booktitle = {International Conference on Communications, Signal Processing, and their Applications, {ICCSPA} 2019, Sharjah, United Arab Emirates, March 19-21, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCSPA.2019.8713660}, doi = {10.1109/ICCSPA.2019.8713660}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccspa/WebberMYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ItamiYMKAMSSH19, author = {Taku Itami and Ken'ichi Yano and Ichidai Mori and Kazuhiro Kameda and Takaaki Aoki and Naruki Matsui and Masanori Sugawara and Nobuyuki Shinoda and Norio Hayashi}, title = {Mechanical Orthosis with Rotation Induction to Lower Leg for Patients with Knee Osteoarthritis}, booktitle = {16th {IEEE} International Conference on Rehabilitation Robotics, {ICORR} 2019, Toronto, ON, Canada, June 24-28, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICORR.2019.8779506}, doi = {10.1109/ICORR.2019.8779506}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ItamiYMKAMSSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/SasaiIWYKY19, author = {Kana Sasai and Shintaro Izumi and Kento Watanabe and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {A Low-Power Photoplethysmography Sensor using Correlated Double Sampling and Reference Readout Circuit}, booktitle = {2019 {IEEE} SENSORS, Montreal, QC, Canada, October 27-30, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SENSORS43011.2019.8956852}, doi = {10.1109/SENSORS43011.2019.8956852}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/SasaiIWYKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KoITY19, author = {Myungsook Ko and Tatsuya Inagi and Masaaki Takada and Toru Yano}, title = {Application of Feature Selection Method to Error Factor Extraction of Multifunction Peripheral}, booktitle = {2019 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2019, Macao, Macao, December 15-18, 2019}, pages = {1043--1047}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEM44572.2019.8978710}, doi = {10.1109/IEEM44572.2019.8978710}, timestamp = {Tue, 04 Feb 2020 13:23:52 +0100}, biburl = {https://dblp.org/rec/conf/ieem/KoITY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhangJMRZ19, author = {Jing Zhang and Li Jia and Massimo Menenti and Shaoting Ren and Jingxiao Zhang}, title = {Glacier Velocity Measurements with Landsat-8 Oli Data: Case Study on Yanong Glacier in Tibetan Plateau of China}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {4198--4201}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898864}, doi = {10.1109/IGARSS.2019.8898864}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ZhangJMRZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/HiraokaMIYOI19, author = {Naoki Hiraoka and Masaki Murooka and Hideaki Ito and Iori Yanokura and Kei Okada and Masayuki Inaba}, title = {Whole-Body Control of Humanoid Robot in 3D Multi-Contact under Contact Wrench Constraints Including Joint Load Reduction with Self-Collision and Internal Wrench Distribution}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {3860--3867}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967555}, doi = {10.1109/IROS40897.2019.8967555}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/HiraokaMIYOI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HadjilambrouDWB19, author = {Zacharias Hadjilambrou and Shidhartha Das and Paul N. Whatmough and David M. Bull and Yiannakis Sazeides}, title = {GeST: An Automatic Framework For Generating {CPU} Stress-Tests}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2019, Madison, WI, USA, March 24-26, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPASS.2019.00009}, doi = {10.1109/ISPASS.2019.00009}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HadjilambrouDWB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itams/BychkovRPMFKDD19, author = {Igor V. Bychkov and Gennady M. Ruzhnikov and Viacheslav V. Paramonov and Andrey A. Mikhailov and Roman K. Fedorov and A. V. Klyuchevskii and Vladimir M. Dem'yanovich and Sodnomsambuu Demberel}, editor = {Igor V. Bychkov and Dimitar Karastoyanov}, title = {The Framework of the Digital Environment for Analysing of Seismic Hazards of Lithosphere Blocks in Baikal-Mongolian Region}, booktitle = {Proceedings for the 2nd Scientific-practical Workshop Information Technologies: Algorithms, Models, Systems, Irkutsk, Russia, September 20, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2463}, pages = {84--92}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2463/paper10.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:16 +0100}, biburl = {https://dblp.org/rec/conf/itams/BychkovRPMFKDD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iv/YanoITMACS19, author = {Midori Yano and Takayuki Itoh and Yuusuke Tanaka and Daisuke Matsuoka and Fumiaki Araki and Tobias Czauderna and Kingsley Stephens}, editor = {Ebad Banissi and Anna Ursyn and Mark W. McK. Bannatyne and Nuno Datia and Rita Francese and Muhammad Sarfraz and Theodor G. Wyeld and Fatma Bouali and Gilles Venturini and Hanane Azzag and Mustapha Lebbah and Marjan Trutschl and Urska Cvek and Heimo M{\"{u}}ller and Minoru Nakayama and Sebastian Kernbach and Loredana Caruccio and Michele Risi and Ugo Erra and Autilia Vitiello and Veronica Rossano}, title = {Viewpoint Selection for Shape Comparison of Mode Water Regions in a {VR} Space}, booktitle = {23rd International Conference on Information Visualisation, {IV} 2019, Paris, France, July 2-5, 2019, Part {I}}, pages = {106--111}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IV.2019.00027}, doi = {10.1109/IV.2019.00027}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iv/YanoITMACS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sice/InoueDSYH19, author = {Akira Inoue and Mingcong Deng and Takao Sato and Akira Yanou and Tomohiro Henmi}, title = {Design of Model Predictive Control of Multivariable Time-delay Plants Using Interactor Matrix}, booktitle = {58th Annual Conference of the Society of Instrument and Control Engineers of Japan, {SICE} 2019, Hiroshima, Japan, September 10-13, 2019}, pages = {1535--1540}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/SICE.2019.8859924}, doi = {10.23919/SICE.2019.8859924}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/sice/InoueDSYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/KoedaYMON19, author = {Masanao Koeda and Daiki Yano and Takumi Mori and Katsuhiko Onishi and Hiroshi Noborio}, title = {{DICOM} Depth Image Generation using {GPGPU} for Fast Position and Orientation Estimation on Liver Surgery Support System}, booktitle = {{TENCON} 2019 - 2019 {IEEE} Region 10 Conference (TENCON), Kochi, India, October 17-20, 2019}, pages = {356--360}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TENCON.2019.8929454}, doi = {10.1109/TENCON.2019.8929454}, timestamp = {Wed, 18 Dec 2019 14:44:16 +0100}, biburl = {https://dblp.org/rec/conf/tencon/KoedaYMON19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/TakatoriHYI19, author = {Hikaru Takatori and Masashi Hiraiwa and Hiroaki Yano and Hiroo Iwata}, title = {Large-Scale Projection-Based Immersive Display: The Design and Implementation of LargeSpace}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2019, Osaka, Japan, March 23-27, 2019}, pages = {557--565}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VR.2019.8798019}, doi = {10.1109/VR.2019.8798019}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/vr/TakatoriHYI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/AnyshchenkoBKMO19, author = {Oleksandr Anyshchenko and Ivan Bohuslavskyi and Stanislav Kruglik and Yash Madhwal and Alex Ostrovsky and Yury Yanovich}, title = {Building Cryptotokens Based on Permissioned Blockchain Framework}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891186}, doi = {10.1109/VTCFALL.2019.8891186}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/AnyshchenkoBKMO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-06151, author = {Marina Gomtsyan and Nikita Mokrov and Maxim Panov and Yury Yanovich}, title = {Geometry-Aware Maximum Likelihood Estimation of Intrinsic Dimension}, journal = {CoRR}, volume = {abs/1904.06151}, year = {2019}, url = {http://arxiv.org/abs/1904.06151}, eprinttype = {arXiv}, eprint = {1904.06151}, timestamp = {Sat, 27 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-06151.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-01819, author = {Yanou Ramon and David Martens and Foster J. Provost and Theodoros Evgeniou}, title = {Counterfactual Explanation Algorithms for Behavioral and Textual Data}, journal = {CoRR}, volume = {abs/1912.01819}, year = {2019}, url = {http://arxiv.org/abs/1912.01819}, eprinttype = {arXiv}, eprint = {1912.01819}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-01819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/MoriMYYK18, author = {Hiroshi Mori and Takayuki Maruyama and Masahiro Yano and Takuji Yamada and Ken Kurokawa}, title = {{VITCOMIC2:} visualization tool for the phylogenetic composition of microbial communities based on 16S rRNA gene amplicons and metagenomic shotgun sequencing}, journal = {{BMC} Syst. Biol.}, volume = {12}, number = {{S-2}}, pages = {47--58}, year = {2018}, url = {https://doi.org/10.1186/s12918-018-0545-2}, doi = {10.1186/S12918-018-0545-2}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/MoriMYYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/HadjilambrouDAS18, author = {Zacharias Hadjilambrou and Shidhartha Das and Marco A. Antoniades and Yiannakis Sazeides}, title = {Sensing {CPU} Voltage Noise Through Electromagnetic Emanations}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {1}, pages = {68--71}, year = {2018}, url = {https://doi.org/10.1109/LCA.2017.2766221}, doi = {10.1109/LCA.2017.2766221}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/HadjilambrouDAS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SatoYM18, author = {Takao Sato and Akira Yanou and Shiro Masuda}, title = {Ripple-Free Dual-Rate Control with Two-Degree-of-Freedom Integrator}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {101-A}, number = {2}, pages = {460--466}, year = {2018}, url = {https://doi.org/10.1587/transfun.E101.A.460}, doi = {10.1587/TRANSFUN.E101.A.460}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SatoYM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informatics/YanovichSMPKV18, author = {Yury Yanovich and Igor Shiyanov and Timur Myaldzin and Ivan Prokhorov and Darya Korepanova and Sergey Vorobyov}, title = {Blockchain-Based Supply Chain for Postage Stamps}, journal = {Informatics}, volume = {5}, number = {4}, pages = {42}, year = {2018}, url = {https://doi.org/10.3390/informatics5040042}, doi = {10.3390/INFORMATICS5040042}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/informatics/YanovichSMPKV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/OliveiraJCYBLJ18, author = {Vitor Chaves de Oliveira and S{\'{e}}rgio Bimbi Junior and Andreiwid Sheffer Corr{\^{e}}a and In{\'{a}}cio Yano and Mauricio Becker and Paulo Batista Lopes and Gunnar Bedicks Junior}, title = {Implementation of {IP} Video Streaming Software to Identify Availability and Audience}, journal = {J. Comput. Sci.}, volume = {14}, number = {7}, pages = {881--907}, year = {2018}, url = {https://doi.org/10.3844/jcssp.2018.881.907}, doi = {10.3844/JCSSP.2018.881.907}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/OliveiraJCYBLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/MyintYLYM18, author = {Myo Myint and Kenta Yonemori and Khin Nwe Lwin and Akira Yanou and Mamoru Minami}, title = {Dual-eyes Vision-based Docking System for Autonomous Underwater Vehicle: an Approach and Experiments}, journal = {J. Intell. Robotic Syst.}, volume = {92}, number = {1}, pages = {159--186}, year = {2018}, url = {https://doi.org/10.1007/s10846-017-0703-6}, doi = {10.1007/S10846-017-0703-6}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/MyintYLYM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/MizutaniMYT18, author = {Naoto Mizutani and Hirokazu Matsui and Ken'ichi Yano and Toshimichi Takahashi}, title = {Vehicle Speed Control by a Robotic Driver Considering Vehicle Dynamics for Continuously Variable Transmissions}, journal = {J. Robotics Mechatronics}, volume = {30}, number = {2}, pages = {300--310}, year = {2018}, url = {https://doi.org/10.20965/jrm.2018.p0300}, doi = {10.20965/JRM.2018.P0300}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/MizutaniMYT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrnal/YoshitomiAMSYT18, author = {Yasunari Yoshitomi and Taro Asada and Kenta Mori and Ryoichi Shimada and Yuiko Yano and Masayoshi Tabuse}, title = {Facial Expression Analysis and its Visualization While Writing Messages}, journal = {J. Robotics Netw. Artif. Life}, volume = {5}, number = {1}, pages = {37--40}, year = {2018}, url = {https://doi.org/10.2991/jrnal.2018.5.1.9}, doi = {10.2991/JRNAL.2018.5.1.9}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrnal/YoshitomiAMSYT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pcs/GevorkyanDVKKS18, author = {Migran N. Gevorkyan and Anastasiya V. Demidova and Tatiana R. Velieva and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastyanov}, title = {Implementing a Method for Stochastization of One-Step Processes in a Computer Algebra System}, journal = {Program. Comput. Softw.}, volume = {44}, number = {2}, pages = {86--93}, year = {2018}, url = {https://doi.org/10.1134/S0361768818020044}, doi = {10.1134/S0361768818020044}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pcs/GevorkyanDVKKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/MiyashitaYK18, author = {Megumi Miyashita and Shiro Yano and Toshiyuki Kondo}, title = {Mirror descent search and its acceleration}, journal = {Robotics Auton. Syst.}, volume = {106}, pages = {107--116}, year = {2018}, url = {https://doi.org/10.1016/j.robot.2018.04.009}, doi = {10.1016/J.ROBOT.2018.04.009}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ras/MiyashitaYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamrev/GettyLYGH18, author = {Daniel Getty and Hao Li and Masayuki Yano and Charles Gao and A. E. Hosoi}, title = {Luck and the Law: Quantifying Chance in Fantasy Sports and Other Contests}, journal = {{SIAM} Rev.}, volume = {60}, number = {4}, pages = {869--887}, year = {2018}, url = {https://doi.org/10.1137/16M1102094}, doi = {10.1137/16M1102094}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamrev/GettyLYGH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/Yano18, author = {Masayuki Yano}, title = {A Reduced Basis Method for Coercive Equations with an Exact Solution Certificate and Spatio-Parameter Adaptivity: Energy-Norm and Output Error Bounds}, journal = {{SIAM} J. Sci. Comput.}, volume = {40}, number = {1}, year = {2018}, url = {https://doi.org/10.1137/16M1071341}, doi = {10.1137/16M1071341}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamsc/Yano18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ItamiYMKAKHMSS18, author = {Taku Itami and Ken'ichi Yano and Ichidai Mori and Kazuhiro Kameda and Takaaki Aoki and Toshitsugu Kishida and Norio Hayashi and Naruki Matsui and Masanori Sugawara and Nobuyuki Shinoda}, title = {Walking Support Orthosis with an Lower Thigh Rotation Mechanism for Patients with Knee Osteoarthritis}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {448--453}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452335}, doi = {10.1109/AIM.2018.8452335}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ItamiYMKAKHMSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/KamimuraYHM18, author = {Manabu Kamimura and Keisuke Yano and Tomomi Hatano and Akihiko Matsuo}, title = {Extracting Candidates of Microservices from Monolithic Application Code}, booktitle = {25th Asia-Pacific Software Engineering Conference, {APSEC} 2018, Nara, Japan, December 4-7, 2018}, pages = {571--580}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/APSEC.2018.00072}, doi = {10.1109/APSEC.2018.00072}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsec/KamimuraYHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/YanoITMA18, author = {Midori Yano and Takayuki Itoh and Yuusuke Tanaka and Daisuke Matsuoka and Fumiaki Araki}, title = {A Comparative 3D Visualization Tool for Observation of Mode Water}, booktitle = {{IEEE} Pacific Visualization Symposium, PacificVis 2018, Kobe, Japan, April 10-13, 2018}, pages = {230--234}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PacificVis.2018.00037}, doi = {10.1109/PACIFICVIS.2018.00037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apvis/YanoITMA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/KotsiubaNYSBZ18, author = {Igor Kotsiuba and Maksym Nesterov and Yury Yanovich and Inna Skarga{-}Bandurova and Tetiana Biloborodova and Viacheslav Zhygulin}, editor = {Naoki Abe and Huan Liu and Calton Pu and Xiaohua Hu and Nesreen K. Ahmed and Mu Qiao and Yang Song and Donald Kossmann and Bing Liu and Kisung Lee and Jiliang Tang and Jingrui He and Jeffrey S. Saltz}, title = {Multi-Database Monitoring Tool for the E-Health Services}, booktitle = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018), Seattle, WA, USA, December 10-13, 2018}, pages = {2442--2448}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigData.2018.8622020}, doi = {10.1109/BIGDATA.2018.8622020}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/KotsiubaNYSBZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/KatsumuraSYHNT18, author = {Motoyu Katsumura and Tadayoshi Shimodaira and Ken'ichi Yano and Atsushi Hamada and Tomoyuki Nakao and Katsuhiko Torii}, title = {Multiple Linkage Type Robotic Prosthesis to Prevent Trip and Fall}, booktitle = {7th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2018, Enschede, The Netherlands, August 26-29, 2018}, pages = {744--749}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOROB.2018.8487683}, doi = {10.1109/BIOROB.2018.8487683}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/biorob/KatsumuraSYHNT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/NoborioYWYK18, author = {Hiroshi Noborio and Shogo Yoshida and Kaoru Watanabe and Daiki Yano and Masanao Koeda}, editor = {Alberto Cliquet Junior and Hugo Gamboa and Ana L. N. Fred and Sergi Berm{\'{u}}dez i Badia}, title = {Comparative Study of Depth-Image Matching with Steepest Descendent and Simulated Annealing Algorithms}, booktitle = {Proceedings of the 11th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2018) - Volume 1: BIODEVICES, Funchal, Madeira, Portugal, January 19-21, 2018}, pages = {77--87}, publisher = {SciTePress}, year = {2018}, url = {https://doi.org/10.5220/0006644200770087}, doi = {10.5220/0006644200770087}, timestamp = {Mon, 01 Apr 2024 00:12:17 +0200}, biburl = {https://dblp.org/rec/conf/biostec/NoborioYWYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/WakitaniYKYMHY18, author = {Shin Wakitani and Yuu Yamauchi and Takuya Kinoshita and Toru Yamamoto and Minoru Miyakoshi and Shingo Harada and Yasuhide Yano}, title = {Design of a Vehicle Driver Model Based on Database-Driven Control Approach}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2018, Copenhagen, Denmark, August 21-24, 2018}, pages = {840--845}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCTA.2018.8511360}, doi = {10.1109/CCTA.2018.8511360}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccta/WakitaniYKYMHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarakonstantisT18, author = {Georgios Karakonstantis and Konstantinos Tovletoglou and Lev Mukhanov and Hans Vandierendonck and Dimitrios S. Nikolopoulos and Peter Lawthers and Panos K. Koutsovasilis and Manolis Maroudas and Christos D. Antonopoulos and Christos Kalogirou and Nikolaos Bellas and Spyros Lalis and Srikumar Venugopal and Arnau Prat{-}P{\'{e}}rez and Alejandro Lampropulos and Marios Kleanthous and Andreas Diavastos and Zacharias Hadjilambrou and Panagiota Nikolaou and Yiannakis Sazeides and Pedro Trancoso and George Papadimitriou and Manolis Kaliorakis and Athanasios Chatzidimitriou and Dimitris Gizopoulos and Shidhartha Das}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An energy-efficient and error-resilient server ecosystem exceeding conservative scaling limits}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1099--1104}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342175}, doi = {10.23919/DATE.2018.8342175}, timestamp = {Mon, 30 Sep 2024 15:20:52 +0200}, biburl = {https://dblp.org/rec/conf/date/KarakonstantisT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/TovletoglouMKCP18, author = {Konstantinos Tovletoglou and Lev Mukhanov and Georgios Karakonstantis and Athanasios Chatzidimitriou and George Papadimitriou and Manolis Kaliorakis and Dimitris Gizopoulos and Zacharias Hadjilambrou and Yiannakis Sazeides and Alejandro Lampropulos and Shidhartha Das and Phong Vo}, title = {Measuring and Exploiting Guardbands of Server-Grade ARMv8 {CPU} Cores and DRAMs}, booktitle = {48th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks Workshops, {DSN} Workshops 2018, Luxembourg, June 25-28, 2018}, pages = {6--9}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/DSN-W.2018.00013}, doi = {10.1109/DSN-W.2018.00013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/TovletoglouMKCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SakaiTHNIYY18, author = {Yoshimasa Sakai and Satoko Takemoto and Keisuke Hori and Masaomi Nishimura and Hiroaki Ikematsu and Tomonori Yano and Hideo Yokota}, title = {Automatic detection of early gastric cancer in endoscopic images using a transferring convolutional neural network}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {4138--4141}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513274}, doi = {10.1109/EMBC.2018.8513274}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SakaiTHNIYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/AikawaMKNY18, author = {Yuya Aikawa and Kento Motoyama and Masayoshi Kanoh and Tsuyoshi Nakamura and Yoshikazu Yano}, title = {Correcting Pointing Position of Fingers by Using Inclusion-Exclusion Integral}, booktitle = {2018 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FUZZ-IEEE.2018.8491548}, doi = {10.1109/FUZZ-IEEE.2018.8491548}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/AikawaMKNY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/SakoHY18, author = {Miho Sako and Hisaaki Hatano and Toru Yano}, title = {Calculating Energy Efficiency Index of {VRF} System by Supply-Air Temperature Estimation}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {815--817}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574681}, doi = {10.1109/GCCE.2018.8574681}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/SakoHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/MehbodniyaWYKF18, author = {Abolfazl Mehbodniya and Julian L. Webber and Kazuto Yano and Tomoaki Kumagai and Mark F. Flanagan}, title = {Gibbs Sampling Aided Throughput Improvement for Next-Generation Wi-Fi}, booktitle = {{IEEE} Globecom Workshops, {GC} Wkshps 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOMW.2018.8644188}, doi = {10.1109/GLOCOMW.2018.8644188}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/MehbodniyaWYKF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KoedaYSON18, author = {Masanao Koeda and Daiki Yano and Naoki Shintaku and Katsuhiko Onishi and Hiroshi Noborio}, editor = {Masaaki Kurosu}, title = {Development of Wireless Surgical Knife Attachment with Proximity Indicators Using ArUco Marker}, booktitle = {Human-Computer Interaction. Interaction in Context - 20th International Conference, {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10902}, pages = {14--26}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91244-8\_2}, doi = {10.1007/978-3-319-91244-8\_2}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/KoedaYSON18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/NumataYKOWNU18, author = {Satoshi Numata and Daiki Yano and Masanao Koeda and Katsuhiko Onishi and Kaoru Watanabe and Hiroshi Noborio and Hirotaka Uoi}, editor = {Masaaki Kurosu}, title = {A Novel Liver Surgical Navigation System Using Polyhedrons with STL-Format}, booktitle = {Human-Computer Interaction. Interaction in Context - 20th International Conference, {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10902}, pages = {53--63}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91244-8\_5}, doi = {10.1007/978-3-319-91244-8\_5}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/NumataYKOWNU18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/FujiIMY18, author = {Taiki Fuji and Kiyoto Ito and Kohsei Matsumoto and Kazuo Yano}, editor = {Tung Bui}, title = {Deep Multi-Agent Reinforcement Learning using DNN-Weight Evolution to Optimize Supply Chain Performance}, booktitle = {51st Hawaii International Conference on System Sciences, {HICSS} 2018, Hilton Waikoloa Village, Hawaii, USA, January 3-6, 2018}, pages = {1--10}, publisher = {ScholarSpace / {AIS} Electronic Library (AISeL)}, year = {2018}, url = {https://hdl.handle.net/10125/50044}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/FujiIMY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ias/YanokuraMNOI18, author = {Iori Yanokura and Masaki Murooka and Shunichi Nozawa and Kei Okada and Masayuki Inaba}, editor = {Marcus Strand and R{\"{u}}diger Dillmann and Emanuele Menegatti and Stefano Ghidoni}, title = {Variance Based Trajectory Segmentation in Object-Centric Coordinates}, booktitle = {Intelligent Autonomous Systems 15 - Proceedings of the 15th International Conference IAS-15, Baden-Baden, Germany, June 11-15, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {867}, pages = {56--66}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01370-7\_5}, doi = {10.1007/978-3-030-01370-7\_5}, timestamp = {Thu, 03 Jan 2019 18:04:23 +0100}, biburl = {https://dblp.org/rec/conf/ias/YanokuraMNOI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MurookaNBYOI18, author = {Masaki Murooka and Shunichi Nozawa and Masahiro Bando and Iori Yanokura and Kei Okada and Masayuki Inaba}, title = {Simultaneous Planning and Estimation Based on Physics Reasoning in Robot Manipulation}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {3137--3144}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8463156}, doi = {10.1109/ICRA.2018.8463156}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/MurookaNBYOI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/MarshakYV18, author = {Charles Z. Marshak and Igor Yanovsky and Luminita A. Vese}, title = {Energy Minimization for Cirrus and Cumulus Cloud Separation in Atmospheric Images}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {1191--1194}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8517940}, doi = {10.1109/IGARSS.2018.8517940}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/MarshakYV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/PozY18, author = {Aluir Porf{\'{\i}}rio Dal Poz and Michelle Sayuri Yano}, title = {Ransac-Based Segmentation for Building Roof Face Detection in Lidar Point Cloud}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {1276--1279}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518502}, doi = {10.1109/IGARSS.2018.8518502}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/PozY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishikawaIYKY18, author = {Yuki Nishikawa and Shintaro Izumi and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Sampling Rate Reduction for Wearable Heart Rate Variability Monitoring}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351558}, doi = {10.1109/ISCAS.2018.8351558}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NishikawaIYKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HadjilambrouDAS18, author = {Zacharias Hadjilambrou and Shidhartha Das and Marco A. Antoniades and Yiannakis Sazeides}, title = {Leveraging {CPU} Electromagnetic Emanations for Voltage Noise Characterization}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {573--585}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00053}, doi = {10.1109/MICRO.2018.00053}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HadjilambrouDAS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/NoltsisEMNRCSZS18, author = {Michail Noltsis and Panayiotis Englezakis and Eleni Maragkoudaki and Chrysostomos Nicopoulos and Dimitrios Rodopoulos and Francky Catthoor and Yiannakis Sazeides and Davide Zoni and Dimitrios Soudris}, title = {Fast Estimations of Failure Probability Over Long Time Spans}, booktitle = {Proceedings of the 14th {IEEE/ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2018, Athens, Greece, July 17-19, 2018}, pages = {1--6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3232195.3232198}, doi = {10.1145/3232195.3232198}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanoarch/NoltsisEMNRCSZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nma/AyryanMSY18, author = {Edik A. Ayryan and Mikhail D. Malykh and Leonid A. Sevastianov and Yu Ying}, editor = {Geno P. Nikolov and Natalia T. Kolkovska and Krassimir Georgiev}, title = {Finite Difference Schemes and Classical Transcendental Functions}, booktitle = {Numerical Methods and Applications - 9th International Conference, {NMA} 2018, Borovets, Bulgaria, August 20-24, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11189}, pages = {235--242}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-10692-8\_26}, doi = {10.1007/978-3-030-10692-8\_26}, timestamp = {Fri, 26 May 2023 22:12:09 +0200}, biburl = {https://dblp.org/rec/conf/nma/AyryanMSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nma/DivakovMST18, author = {Dmitry V. Divakov and Mikhail D. Malykh and Leonid A. Sevastianov and Anastasia A. Tiutiunnik}, editor = {Geno P. Nikolov and Natalia T. Kolkovska and Krassimir Georgiev}, title = {On the Calculation of Electromagnetic Fields in Closed Waveguides with Inhomogeneous Filling}, booktitle = {Numerical Methods and Applications - 9th International Conference, {NMA} 2018, Borovets, Bulgaria, August 20-24, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11189}, pages = {458--465}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-10692-8\_52}, doi = {10.1007/978-3-030-10692-8\_52}, timestamp = {Wed, 13 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nma/DivakovMST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/KajiharaIYYKY18, author = {Koichi Kajihara and Shintaro Izumi and Seiya Yoshida and Yuji Yano and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {Hardware Implementation of Autoregressive Model Estimation Using Burg's Method for Low-Energy Spectral Analysis}, booktitle = {2018 {IEEE} International Workshop on Signal Processing Systems, SiPS 2018, Cape Town, South Africa, October 21-24, 2018}, pages = {199--204}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SiPS.2018.8598315}, doi = {10.1109/SIPS.2018.8598315}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/KajiharaIYYKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/InoueSDY18, author = {Akira Inoue and Takao Sato and Mingcong Deng and Akira Yanou}, title = {A Multi-rate Optimal Controller to Suppress Ripples at Transient State}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {2645--2650}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00452}, doi = {10.1109/SMC.2018.00452}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/InoueSDY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ParaneTM18, author = {Khyamling Parane and Basavaraj Talawar and Prabhu B. M. Prasad}, title = {YaNoC: Yet Another Network-on-Chip Simulation Acceleration Engine Using FPGAs}, booktitle = {31st International Conference on {VLSI} Design and 17th International Conference on Embedded Systems, {VLSID} 2018, Pune, India, January 6-10, 2018}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/VLSID.2018.39}, doi = {10.1109/VLSID.2018.39}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ParaneTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/NishiguchiYOMK18, author = {Yuki Nishiguchi and Ai Yano and Takeshi Ohtani and Ryuichi Matsukura and Jun Kakuta}, title = {IoT fault management platform with device virtualization}, booktitle = {4th {IEEE} World Forum on Internet of Things, WF-IoT 2018, Singapore, February 5-8, 2018}, pages = {257--262}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WF-IoT.2018.8355109}, doi = {10.1109/WF-IOT.2018.8355109}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/wf-iot/NishiguchiYOMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-03190, author = {Dmitry S. Kulyabov and Migran N. Gevorkyan and Anastasiya V. Demidova and Tatiana R. Velieva and Anna V. Korolkova and Leonid A. Sevastianov}, title = {Implementing a Method for Stochastization of One-Step Processes in a Computer Algebra System}, journal = {CoRR}, volume = {abs/1805.03190}, year = {2018}, url = {http://arxiv.org/abs/1805.03190}, eprinttype = {arXiv}, eprint = {1805.03190}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-03190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/LiIMMY17, author = {Xiang Li and Hiroki Imanishi and Mamoru Minami and Takayuki Matsuno and Akira Yanou}, title = {Modeling of humanoid dynamics including slipping with nonlinear floor friction}, journal = {Artif. Life Robotics}, volume = {22}, number = {2}, pages = {175--183}, year = {2017}, url = {https://doi.org/10.1007/s10015-017-0349-2}, doi = {10.1007/S10015-017-0349-2}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/LiIMMY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/TianCMY17, author = {Hongzhi Tian and Yu Cui and Mamoru Minami and Akira Yanou}, title = {Frequency response experiments of eye-vergence visual servoing in lateral motion with 3D evolutionary pose tracking}, journal = {Artif. Life Robotics}, volume = {22}, number = {1}, pages = {36--43}, year = {2017}, url = {https://doi.org/10.1007/s10015-016-0319-0}, doi = {10.1007/S10015-016-0319-0}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/TianCMY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/SatoWMMYI17, author = {Kosuke Sato and Keita Watanabe and Shuichi Mizuno and Masayoshi Manabe and Hiroaki Yano and Hiroo Iwata}, title = {Development and assessment of a block machine for volleyball attack training}, journal = {Adv. Robotics}, volume = {31}, number = {21}, pages = {1144--1156}, year = {2017}, url = {https://doi.org/10.1080/01691864.2017.1388192}, doi = {10.1080/01691864.2017.1388192}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/SatoWMMYI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/WadaSYIOI17, author = {Kentaro Wada and Makoto Sugiura and Iori Yanokura and Yuto Inagaki and Kei Okada and Masayuki Inaba}, title = {Pick-and-verify: verification-based highly reliable picking system for various target objects in clutter}, journal = {Adv. Robotics}, volume = {31}, number = {6}, pages = {311--321}, year = {2017}, url = {https://doi.org/10.1080/01691864.2016.1269672}, doi = {10.1080/01691864.2016.1269672}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/WadaSYIOI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/KawadaYMTF17, author = {Yohei Kawada and Kojin Yano and Yoshihiro Mizuno and Tatsuhiro Tsuchiya and Yasumasa Fujisaki}, title = {Data access control for energy-related services in smart public infrastructures}, journal = {Comput. Ind.}, volume = {88}, pages = {35--43}, year = {2017}, url = {https://doi.org/10.1016/j.compind.2017.03.002}, doi = {10.1016/J.COMPIND.2017.03.002}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/KawadaYMTF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OgataHNOYAM17, author = {Taiki Ogata and Naoki Higo and Takayuki Nozawa and Eisuke Ono and Kazuo Yano and Koji Ara and Yoshihiro Miyake}, title = {Interpersonal Coevolution of Body Movements in Daily Face-to-Face Communication}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {10}, pages = {2547--2555}, year = {2017}, url = {https://doi.org/10.1587/transinf.2016EDP7444}, doi = {10.1587/TRANSINF.2016EDP7444}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/OgataHNOYAM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/BrunnerCGCSY17, author = {Julian Brunner and Emmeline Chuang and Caroline Goldzweig and Cindy L. Cain and Catherine Sugar and Elizabeth M. Yano}, title = {User-centered design to improve clinical decision support in primary care}, journal = {Int. J. Medical Informatics}, volume = {104}, pages = {56--64}, year = {2017}, url = {https://doi.org/10.1016/j.ijmedinf.2017.05.004}, doi = {10.1016/J.IJMEDINF.2017.05.004}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/BrunnerCGCSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interfaces/BenchoffYN17, author = {Brittney Benchoff and Candace Arai Yano and Alexandra M. Newman}, title = {Kaiser Permanente Oakland Medical Center Optimizes Operating Room Block Schedule for New Hospital}, journal = {Interfaces}, volume = {47}, number = {3}, pages = {214--229}, year = {2017}, url = {https://doi.org/10.1287/inte.2017.0885}, doi = {10.1287/INTE.2017.0885}, timestamp = {Fri, 09 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interfaces/BenchoffYN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsjtcva/OzakiYO17, author = {Yoshihiko Ozaki and Masaki Yano and Masaki Onishi}, title = {Effective hyperparameter optimization using Nelder-Mead method in deep learning}, journal = {{IPSJ} Trans. Comput. Vis. Appl.}, volume = {9}, pages = {20}, year = {2017}, url = {https://doi.org/10.1186/s41074-017-0030-7}, doi = {10.1186/S41074-017-0030-7}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipsjtcva/OzakiYO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YanovskyBWSDL17, author = {Igor Yanovsky and Ali Behrangi and Yixin Wen and Mathias Schreier and Van Dang and Bjorn Lambrigtsen}, title = {Enhanced Resolution of Microwave Sounder Imagery through Fusion with Infrared Sensor Data}, journal = {Remote. Sens.}, volume = {9}, number = {11}, pages = {1097}, year = {2017}, url = {https://doi.org/10.3390/rs9111097}, doi = {10.3390/RS9111097}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YanovskyBWSDL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SerizawaFYM17, author = {Yasutaka Serizawa and Ryosuke Fujiwara and Takashi Yano and Masayuki Miyazaki}, title = {Reliable Wireless Communication Technology of Adaptive Channel Diversity {(ACD)} Method Based on ISA100.11a Standard}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {1}, pages = {624--632}, year = {2017}, url = {https://doi.org/10.1109/TIE.2016.2605619}, doi = {10.1109/TIE.2016.2605619}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/SerizawaFYM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmpt/MelezhikS17, author = {Vladimir S. Melezhik and Leonid A. Sevastianov}, editor = {Vladimir V. Rykov and Nozer D. Singpurwalla and Andrey M. Zubkov}, title = {Quantum-Semiclassical Calculation of Transition Probabilities in Antiproton Collisions with Helium Ions}, booktitle = {Analytical and Computational Methods in Probability Theory - First International Conference, {ACMPT} 2017, Moscow, Russia, October 23-27, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10684}, pages = {449--460}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71504-9\_37}, doi = {10.1007/978-3-319-71504-9\_37}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/acmpt/MelezhikS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/WebberMHYK17, author = {Julian L. Webber and Abolfazl Mehbodniya and Yafei Hou and Kazuto Yano and Tomoaki Kumagai}, title = {Study on idle slot availability prediction for {WLAN} using a probabilistic neural network}, booktitle = {23rd Asia-Pacific Conference on Communications, {APCC} 2017, Perth, Australia, December 11-13, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/APCC.2017.8304030}, doi = {10.23919/APCC.2017.8304030}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apcc/WebberMHYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cciw/TsuchidaYHK17, author = {Masaru Tsuchida and Keiji Yano and Kaoru Hiramatsu and Kunio Kashino}, editor = {Simone Bianco and Raimondo Schettini and Alain Tr{\'{e}}meau and Shoji Tominaga}, title = {Visualizing Lost Designs in Degraded Early Modern Tapestry Using Infra-red Image}, booktitle = {Computational Color Imaging - 6th International Workshop, {CCIW} 2017, Milan, Italy, March 29-31, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10213}, pages = {144--149}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-56010-6\_12}, doi = {10.1007/978-3-319-56010-6\_12}, timestamp = {Wed, 27 Oct 2021 13:20:08 +0200}, biburl = {https://dblp.org/rec/conf/cciw/TsuchidaYHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/TomitaHMAOY17, author = {Akitoshi Tomita and Etsuko T. Harada and Kozue Miyashiro and Satoshi Ando and Maito Ohmori and Hiroaki Yano}, editor = {Glenn Gunzelmann and Andrew Howes and Thora Tenbrink and Eddy J. Davelaar}, title = {Gradually ascending sound with accelerating automatic driving vehicle might change passengers' tension or anxiety: analysis of biometrical index}, booktitle = {Proceedings of the 39th Annual Meeting of the Cognitive Science Society, CogSci 2017, London, UK, 16-29 July 2017}, publisher = {cognitivesciencesociety.org}, year = {2017}, url = {https://mindmodeling.org/cogsci2017/papers/0882/index.html}, timestamp = {Wed, 17 Apr 2024 12:43:29 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/TomitaHMAOY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZompakisNNHENPL17, author = {Nikolaos Zompakis and Michail Noltsis and Lorena Ndreu and Zacharias Hadjilambrou and Panayiotis Englezakis and Panagiota Nikolaou and Antoni Portero and Simone Libutti and Giuseppe Massari and Federico Sassi and Alessandro Bacchini and Chrysostomos Nicopoulos and Yiannakis Sazeides and Radim Vavr{\'{\i}}k and Martin Golasowski and Jiri Sevc{\'{\i}}k and V{\'{\i}}t Vondr{\'{a}}k and Francky Catthoor and William Fornaciari and Dimitrios Soudris}, editor = {David Atienza and Giorgio Di Natale}, title = {{HARPA:} Tackling physically induced performance variability}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {97--102}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926965}, doi = {10.23919/DATE.2017.7926965}, timestamp = {Mon, 30 Sep 2024 15:20:52 +0200}, biburl = {https://dblp.org/rec/conf/date/ZompakisNNHENPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecms/GevorkyanDZSKKS17, author = {Migran N. Gevorkyan and Anastasiya V. Demidova and Ivan S. Zaryadov and Robert Adam Sobolewski and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastianov}, editor = {Zita Zoltay Paprika and Peter Hor{\'{a}}k and Kata V{\'{a}}radi and P{\'{e}}ter Tam{\'{a}}s Zwierczyk and {\'{A}}gnes Vidovics{-}Dancs and J{\'{a}}nos P{\'{e}}ter R{\'{a}}dics}, title = {Approaches To Stochastic Modeling Of Wind Turbines}, booktitle = {European Conference on Modelling and Simulation, {ECMS} 2017, Budapest, Hungary, May 23-26, 2017, Proceedings}, pages = {622--627}, publisher = {European Council for Modeling and Simulation}, year = {2017}, url = {https://doi.org/10.7148/2017-0622}, doi = {10.7148/2017-0622}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecms/GevorkyanDZSKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/YanouMM17, author = {Akira Yanou and Mamoru Minami and Takayuki Matsuno}, title = {Strong stability system regulating safety for generalized minimum variance control}, booktitle = {22nd {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2017, Limassol, Cyprus, September 12-15, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ETFA.2017.8247631}, doi = {10.1109/ETFA.2017.8247631}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/YanouMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/IseYKANM17, author = {Masahiro Ise and Jumpei Yano and Shoji Kawasaki and Rikiya Abe and Triet Nguyen{-}Van and Eri Maeda}, title = {A study on islanding detection function and {FRT} function of hysteresis control inverter powers in digital grid}, booktitle = {{IEEE} 6th Global Conference on Consumer Electronics, {GCCE} 2017, Nagoya, Japan, October 24-27, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GCCE.2017.8229336}, doi = {10.1109/GCCE.2017.8229336}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/IseYKANM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TomitaHAMOY17, author = {Akitoshi Tomita and Etsuko T. Harada and Satoshi Ando and Kozue Miyashiro and Maito Ohmori and Hiroaki Yano}, editor = {Don Harris}, title = {On-time Measurement of Subjective Anxiety of a Passenger in an Autonomous Vehicle: Gradually Changing Sounds Decreases Anxiety of Passenger}, booktitle = {Engineering Psychology and Cognitive Ergonomics: Performance, Emotion and Situation Awareness - 14th International Conference, {EPCE} 2017, Held as Part of {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10275}, pages = {209--219}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58472-0\_17}, doi = {10.1007/978-3-319-58472-0\_17}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/TomitaHAMOY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WatanabeYYKN17, author = {Kaoru Watanabe and Shogo Yoshida and Daiki Yano and Masanao Koeda and Hiroshi Noborio}, editor = {Aaron Marcus and Wentao Wang}, title = {A New Organ-Following Algorithm Based on Depth-Depth Matching and Simulated Annealing, and Its Experimental Evaluation}, booktitle = {Design, User Experience, and Usability: Designing Pleasurable Experiences - 6th International Conference, {DUXU} 2017, Held as Part of {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10289}, pages = {594--607}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58637-3\_47}, doi = {10.1007/978-3-319-58637-3\_47}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/WatanabeYYKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YanoKON17, author = {Daiki Yano and Masanao Koeda and Katsuhiko Onishi and Hiroshi Noborio}, editor = {Aaron Marcus and Wentao Wang}, title = {Development of a Surgical Knife Attachment with Proximity Indicators}, booktitle = {Design, User Experience, and Usability: Designing Pleasurable Experiences - 6th International Conference, {DUXU} 2017, Held as Part of {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10289}, pages = {608--618}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58637-3\_48}, doi = {10.1007/978-3-319-58637-3\_48}, timestamp = {Thu, 29 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/YanoKON17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/BandoMYNOI17, author = {Masahiro Bando and Masaki Murooka and Iori Yanokura and Shunichi Nozawa and Kei Okada and Masayuki Inaba}, title = {Rappelling by a humanoid robot based on transition motion generation and reliable rope manipulation}, booktitle = {17th {IEEE-RAS} International Conference on Humanoid Robotics, Humanoids 2017, Birmingham, United Kingdom, November 15-17, 2017}, pages = {129--135}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HUMANOIDS.2017.8239547}, doi = {10.1109/HUMANOIDS.2017.8239547}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/BandoMYNOI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/ErmilovPY17, author = {Dmitry Ermilov and Maxim Panov and Yury Yanovich}, editor = {Xuewen Chen and Bo Luo and Feng Luo and Vasile Palade and M. Arif Wani}, title = {Automatic Bitcoin Address Clustering}, booktitle = {16th {IEEE} International Conference on Machine Learning and Applications, {ICMLA} 2017, Cancun, Mexico, December 18-21, 2017}, pages = {461--466}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICMLA.2017.0-118}, doi = {10.1109/ICMLA.2017.0-118}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/icmla/ErmilovPY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/AkimaKMMYNSS17, author = {Hisanao Akima and Susumu Kawakami and Jordi Madrenas and Satoshi Moriya and Masafumi Yano and Koji Nakajima and Masao Sakuraba and Shigeo Sato}, editor = {Derong Liu and Shengli Xie and Yuanqing Li and Dongbin Zhao and El{-}Sayed M. El{-}Alfy}, title = {Complexity Reduction of Neural Network Model for Local Motion Detection in Motion Stereo Vision}, booktitle = {Neural Information Processing - 24th International Conference, {ICONIP} 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {10639}, pages = {830--839}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70136-3\_88}, doi = {10.1007/978-3-319-70136-3\_88}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/iconip/AkimaKMMYNSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/OguraIYMK17, author = {Tomoka Ogura and Taku Itami and Ken'ichi Yano and Ichidai Mori and Kazuhiro Kameda}, title = {An assistance device to help people with trunk impairment maintain posture}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {358--363}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009273}, doi = {10.1109/ICORR.2017.8009273}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icorr/OguraIYMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SatoWMMYI17, author = {Kosuke Sato and Keita Watanabe and Shuichi Mizuno and Masayoshi Manabe and Hiroaki Yano and Hiroo Iwata}, title = {Development of a block machine for volleyball attack training}, booktitle = {2017 {IEEE} International Conference on Robotics and Automation, {ICRA} 2017, Singapore, Singapore, May 29 - June 3, 2017}, pages = {1036--1041}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRA.2017.7989125}, doi = {10.1109/ICRA.2017.7989125}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/SatoWMMYI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanovskyBSDWL17, author = {Igor Yanovsky and Ali Behrangi and Mathias Schreier and Van Dang and Berry Wen and Bjorn Lambrigtsen}, title = {Fusion of microwave and infrared data for enhancing its spatial resolution}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {2625--2628}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8127533}, doi = {10.1109/IGARSS.2017.8127533}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanovskyBSDWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhs/MatsudaMYK17, author = {Eiko Matsuda and Daichi Misawa and Shiro Yano and Toshiyuki Kondo}, title = {Olfactory cues to enhance simultaneous motor learning in opposing force fields}, booktitle = {International Symposium on Micro-NanoMechatronics and Human Science, {MHS} 2017, Nagoya, Japan, December 3-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MHS.2017.8305252}, doi = {10.1109/MHS.2017.8305252}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/mhs/MatsudaMYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipro/UlyanovM17, author = {Sergey Ul'yanov and Nikolay Maksimkin}, editor = {Petar Biljanovic and Marko Koricic and Karolj Skala and Tihana Galinac Grbac and Marina Cicin{-}Sain and Vlado Sruk and Slobodan Ribaric and Stjepan Gros and Boris Vrdoljak and Mladen Mauher and Edvard Tijan and Filip Hormot}, title = {Software toolbox for analysis and design of nonlinear control systems and its application to multi-AUV path-following control}, booktitle = {40th International Convention on Information and Communication Technology, Electronics and Microelectronics, {MIPRO} 2017, Opatija, Croatia, May 22-26, 2017}, pages = {1032--1037}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/MIPRO.2017.7973576}, doi = {10.23919/MIPRO.2017.7973576}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/mipro/UlyanovM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/EgashiraYTWSAK17, author = {Naoto Egashira and Kazuto Yano and Satoshi Tsukamoto and Julian L. Webber and Masayuki Sutoh and Yasuharu Amezawa and Tomoaki Kumagai}, title = {Integrated Synchronization Scheme for {WLAN} Systems Employing Multiband Simultaneous Transmission}, booktitle = {2017 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2017, San Francisco, CA, USA, March 19-22, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WCNC.2017.7925799}, doi = {10.1109/WCNC.2017.7925799}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/EgashiraYTWSAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/YanoM17, author = {Keisuke Yano and Akihiko Matsuo}, editor = {Martin Pinzger and Gabriele Bavota and Andrian Marcus}, title = {Data access visualization for legacy application maintenance}, booktitle = {{IEEE} 24th International Conference on Software Analysis, Evolution and Reengineering, {SANER} 2017, Klagenfurt, Austria, February 20-24, 2017}, pages = {546--550}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SANER.2017.7884671}, doi = {10.1109/SANER.2017.7884671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcre/YanoM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BogomolnaiaMSY17, author = {Anna Bogomolnaia and Herv{\'{e}} Moulin and Fedor Sandomirskiy and Elena Yanovskaya}, title = {Competitive division of a mixed manna}, journal = {CoRR}, volume = {abs/1702.00616}, year = {2017}, url = {http://arxiv.org/abs/1702.00616}, eprinttype = {arXiv}, eprint = {1702.00616}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/BogomolnaiaMSY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GevorkyanDKKS17, author = {Migran N. Gevorkyan and Anastasiya V. Demidova and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastianov}, title = {The Stochastic Processes Generation in OpenModelica}, journal = {CoRR}, volume = {abs/1704.00206}, year = {2017}, url = {http://arxiv.org/abs/1704.00206}, eprinttype = {arXiv}, eprint = {1704.00206}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/GevorkyanDKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1708-04412, author = {Mirza Golam Kibria and Gabriel Porto Villardi and Kentaro Ishizu and Fumihide Kojima and Hiroyuki Yano}, title = {Resource Allocation in Shared Spectrum Access Communications for Operators with Diverse Service Requirements}, journal = {CoRR}, volume = {abs/1708.04412}, year = {2017}, url = {http://arxiv.org/abs/1708.04412}, eprinttype = {arXiv}, eprint = {1708.04412}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1708-04412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-02535, author = {Megumi Miyashita and Shiro Yano and Toshiyuki Kondo}, title = {Mirror Descent Search and Acceleration}, journal = {CoRR}, volume = {abs/1709.02535}, year = {2017}, url = {http://arxiv.org/abs/1709.02535}, eprinttype = {arXiv}, eprint = {1709.02535}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-02535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-03589, author = {Migran N. Gevorkyan and Anastasiya V. Demidova and Robert Adam Sobolewski and Ivan S. Zaryadov and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastianov}, title = {Approaches to Stochastic Modeling of Wind Turbines}, journal = {CoRR}, volume = {abs/1711.03589}, year = {2017}, url = {http://arxiv.org/abs/1711.03589}, eprinttype = {arXiv}, eprint = {1711.03589}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-03589.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/SalimBMYJN16, author = {Jos{\'{e}} Augusto Salim and Luiz C. Borro and Ivan Mazoni and In{\'{a}}cio Yano and Jos{\'{e}} G. Jardine and Goran Neshich}, title = {Multiple structure single parameter: analysis of a single protein nano environment descriptor characterizing a shared loci on structurally aligned proteins}, journal = {Bioinform.}, volume = {32}, number = {12}, pages = {1885--1887}, year = {2016}, url = {https://doi.org/10.1093/bioinformatics/btw082}, doi = {10.1093/BIOINFORMATICS/BTW082}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/SalimBMYJN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/KleanthousSONNH16, author = {Marios Kleanthous and Yiannakis Sazeides and Emre {\"{O}}zer and Chrysostomos Nicopoulos and Panagiota Nikolaou and Zacharias Hadjilambrou}, title = {Toward Multi-Layer Holistic Evaluation of System Designs}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {15}, number = {1}, pages = {58--61}, year = {2016}, url = {https://doi.org/10.1109/LCA.2015.2445877}, doi = {10.1109/LCA.2015.2445877}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/KleanthousSONNH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsr/EmelyanovMPY16, author = {Stanislav V. Emel'yanov and Dmitry Makarov and Aleksandr I. Panov and Konstantin S. Yakovlev}, title = {Multilayer cognitive architecture for {UAV} control}, journal = {Cogn. Syst. Res.}, volume = {39}, pages = {58--72}, year = {2016}, url = {https://doi.org/10.1016/j.cogsys.2015.12.008}, doi = {10.1016/J.COGSYS.2015.12.008}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cogsr/EmelyanovMPY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/KibriaVIKY16, author = {Mirza Golam Kibria and Gabriel Porto Villardi and Kentaro Ishizu and Fumihide Kojima and Hiroyuki Yano}, title = {Resource allocation in shared spectrum access communications for operators with diverse service requirements}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2016}, pages = {83}, year = {2016}, url = {https://doi.org/10.1186/s13634-016-0381-8}, doi = {10.1186/S13634-016-0381-8}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/KibriaVIKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsanoHTYJM16, author = {Tomohiro Asano and Yusaku Hirai and Sadahiro Tani and Shinya Yano and Ikkyun Jo and Toshimasa Matsuoka}, title = {An offset distribution modification technique of stochastic flash {ADC}}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {6}, pages = {20160115}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20160115}, doi = {10.1587/ELEX.13.20160115}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsanoHTYJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YanoSMYYTOAK16, author = {Kazuto Yano and Mariko Sekiguchi and Tomohiro Miyasaka and Takashi Yamamoto and Hirotsugu Yamamoto and Yoshizo Tanaka and Yoji Okada and Masayuki Ariyoshi and Tomoaki Kumagai}, title = {Indoor Experimental Evaluation of the QoE-Oriented Wireless {LAN} with Dynamic Network Reconfiguration}, journal = {{IEICE} Trans. Commun.}, volume = {99-B}, number = {2}, pages = {507--522}, year = {2016}, url = {https://doi.org/10.1587/transcom.2015EBP3137}, doi = {10.1587/TRANSCOM.2015EBP3137}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YanoSMYYTOAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcsm/MartynenkoVY16, author = {Serguei Martynenko and Vladimir Volokhov and Leonid S. Yanovskiy}, title = {Parallel geometric multigrid}, journal = {Int. J. Comput. Sci. Math.}, volume = {7}, number = {4}, pages = {293--300}, year = {2016}, url = {https://doi.org/10.1504/IJCSM.2016.078741}, doi = {10.1504/IJCSM.2016.078741}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcsm/MartynenkoVY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/OmatuY16, author = {Sigeru Omatu and Mitsuaki Yano}, title = {E-nose system by using neural networks}, journal = {Neurocomputing}, volume = {172}, pages = {394--398}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.03.101}, doi = {10.1016/J.NEUCOM.2015.03.101}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/OmatuY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/LiIMMY16, author = {Xiang Li and Hiroki Imanishi and Mamoru Minami and Takayuki Matsuno and Akira Yanou}, title = {Dynamical Model of Walking Transition Considering Nonlinear Friction with Floor}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {20}, number = {6}, pages = {974--982}, year = {2016}, url = {https://doi.org/10.20965/jaciii.2016.p0974}, doi = {10.20965/JACIII.2016.P0974}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/LiIMMY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/MyintYYLMI16, author = {Myo Myint and Kenta Yonemori and Akira Yanou and Khin Nwe Lwin and Mamoru Minami and Shintaro Ishiyama}, title = {Visual Servoing for Underwater Vehicle Using Dual-Eyes Evolutionary Real-Time Pose Tracking}, journal = {J. Robotics Mechatronics}, volume = {28}, number = {4}, pages = {543--558}, year = {2016}, url = {https://doi.org/10.20965/jrm.2016.p0543}, doi = {10.20965/JRM.2016.P0543}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/MyintYYLMI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/SugiyamaMKHNNYM16, author = {Kohei Sugiyama and Takayuki Matsuno and Tetsushi Kamegawa and Takao Hiraki and Hirotaka Nakaya and Masayuki Nakamura and Akira Yanou and Mamoru Minami}, title = {Needle Tip Position Accuracy Evaluation Experiment for Puncture Robot in Remote Center Control}, journal = {J. Robotics Mechatronics}, volume = {28}, number = {6}, pages = {911--920}, year = {2016}, url = {https://doi.org/10.20965/jrm.2016.p0911}, doi = {10.20965/JRM.2016.P0911}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/SugiyamaMKHNNYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/YanouMM16, author = {Akira Yanou and Mamoru Minami and Takayuki Matsuno}, title = {Self-Tuning Generalized Minimum Variance Control Based on On-Demand Type Feedback Controller}, journal = {J. Robotics Mechatronics}, volume = {28}, number = {5}, pages = {674--680}, year = {2016}, url = {https://doi.org/10.20965/jrm.2016.p0674}, doi = {10.20965/JRM.2016.P0674}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/YanouMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ZengYC16, author = {Ming Zeng and Yu Yang and Junsheng Cheng}, title = {A generalized Mitchell-Dem'yanov-Malozemov algorithm for one-class support vector machine}, journal = {Knowl. Based Syst.}, volume = {109}, pages = {17--24}, year = {2016}, url = {https://doi.org/10.1016/j.knosys.2016.06.015}, doi = {10.1016/J.KNOSYS.2016.06.015}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/ZengYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KibriaIKY16, author = {Mirza Golam Kibria and Kentaro Ishizu and Fumihide Kojima and Hiroyuki Yano}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Antonio J. Jara and Yann Bocchi}, title = {{SOCP} Approximation Based Resource Allocation in Shared Spectrum Access Communications}, booktitle = {30th International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2016 Workshops, Crans-Montana, Switzerland, March 23-25, 2016}, pages = {481--486}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/WAINA.2016.55}, doi = {10.1109/WAINA.2016.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KibriaIKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ArakawaKYIMI16, author = {Takayuki Arakawa and Takafumi Koshinaka and Shohei Yano and Hideki Irisawa and Ryoji Miyahara and Hitoshi Imaoka}, title = {Fast and accurate personal authentication using ear acoustics}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2016, Jeju, South Korea, December 13-16, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APSIPA.2016.7820886}, doi = {10.1109/APSIPA.2016.7820886}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ArakawaKYIMI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/NawaOAKYIIO16, author = {Masami Nawa and Kenzo Okuda and Shingo Ata and Yasuto Kuroda and Yuji Yano and Hisashi Iwamoto and Kazunari Inoue and Ikuo Oka}, title = {Energy-efficient high-speed search engine using a multi-dimensional {TCAM} architecture with parallel pipelined subdivided structure}, booktitle = {13th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2016, Las Vegas, NV, USA, January 9-12, 2016}, pages = {309--314}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCNC.2016.7444794}, doi = {10.1109/CCNC.2016.7444794}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/NawaOAKYIIO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MizutaniMYT16, author = {Naoto Mizutani and Hirokazu Matsui and Ken'ichi Yano and Toshimichi Takahashi}, title = {Vehicle speed control by a robotic driver considering time delay and parametric variations}, booktitle = {55th {IEEE} Conference on Decision and Control, {CDC} 2016, Las Vegas, NV, USA, December 12-14, 2016}, pages = {2437--2442}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CDC.2016.7798627}, doi = {10.1109/CDC.2016.7798627}, timestamp = {Fri, 04 Mar 2022 13:29:43 +0100}, biburl = {https://dblp.org/rec/conf/cdc/MizutaniMYT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/AkibaYM16, author = {Takuya Akiba and Yosuke Yano and Naoto Mizuno}, editor = {Snehasis Mukhopadhyay and ChengXiang Zhai and Elisa Bertino and Fabio Crestani and Javed Mostafa and Jie Tang and Luo Si and Xiaofang Zhou and Yi Chang and Yunyao Li and Parikshit Sondhi}, title = {Hierarchical and Dynamic \emph{k}-Path Covers}, booktitle = {Proceedings of the 25th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2016, Indianapolis, IN, USA, October 24-28, 2016}, pages = {1543--1552}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2983323.2983712}, doi = {10.1145/2983323.2983712}, timestamp = {Thu, 13 Aug 2020 18:13:41 +0200}, biburl = {https://dblp.org/rec/conf/cikm/AkibaYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcai/OmatuY16, author = {Sigeru Omatu and Mitsuaki Yano}, editor = {Sigeru Omatu and Ali Selamat and Grzegorz Bocewicz and Pawel Sitek and Izabela Ewa Nielsen and Juli{\'{a}}n Alberto Garc{\'{\i}}a{-}Garc{\'{\i}}a and Javier Bajo}, title = {Smells Classification for Human Breath Using a Layered Neural Network}, booktitle = {Distributed Computing and Artificial Intelligence, 13th International Conference, {DCAI} 2016, Sevilla, Spain, 1-3 June, 2016}, series = {Advances in Intelligent Systems and Computing}, volume = {474}, pages = {107--114}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40162-1\_12}, doi = {10.1007/978-3-319-40162-1\_12}, timestamp = {Tue, 15 Nov 2022 15:22:37 +0100}, biburl = {https://dblp.org/rec/conf/dcai/OmatuY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/depcos/GevorkyanVKKS16, author = {Migran N. Gevorkyan and Tatiana R. Velieva and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastyanov}, editor = {Wojciech Zamojski and Jacek Mazurkiewicz and Jaroslaw Sugier and Tomasz Walkowiak and Janusz Kacprzyk}, title = {Stochastic Runge-Kutta Software Package for Stochastic Differential Equations}, booktitle = {Dependability Engineering and Complex Systems - Proceedings of the Eleventh International Conference on Dependability and Complex Systems DepCoS-RELCOMEX. June 27-July 1, 2016, Brun{\'{o}}w, Poland}, series = {Advances in Intelligent Systems and Computing}, volume = {470}, pages = {169--179}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39639-2\_15}, doi = {10.1007/978-3-319-39639-2\_15}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/depcos/GevorkyanVKKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/YanouHWMM16, author = {Akira Yanou and Naoki Hosoya and Katsuhiro Wada and Mamoru Minami and Takayuki Matsuno}, title = {Estimation of thermal conductivity for model with radiative heat transfer by extended Kalman filter}, booktitle = {21st {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2016, Berlin, Germany, September 6-9, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ETFA.2016.7733670}, doi = {10.1109/ETFA.2016.7733670}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/YanouHWMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/YanoNIYT16, author = {Ryoya Yano and Tomoyuki Nitta and Kazuaki Ishikawa and Masao Yanagisawa and Nozomu Togawa}, title = {Pedestrian navigation based on landmark recognition using glass-type wearable devices}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800433}, doi = {10.1109/GCCE.2016.7800433}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gcce/YanoNIYT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LuLWMHOYTYTG16, author = {Xiaodan Lu and Mengyuan Liao and Zelong Wang and Yuki Miyamoto and Hiroyuki Hamada and Tomoko Ota and Kengo Yano and Yoshihiko Tokumoto and Takashi Yoshikawa and Yuka Takai and Akihiko Goto}, editor = {Vincent G. Duffy}, title = {Movement Analysis of Transfer Assistance Using a Slide Board}, booktitle = {Digital Human Modeling: Applications in Health, Safety, Ergonomics and Risk Management - 7th International Conference, {DHM} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9745}, pages = {243--252}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40247-5\_25}, doi = {10.1007/978-3-319-40247-5\_25}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LuLWMHOYTYTG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/AsanoKOKNKYHKMK16, author = {Yuki Asano and Toyotaka Kozuki and Soichi Ookubo and Masaya Kawamura and Shinsuke Nakashima and Takeshi Katayama and Iori Yanokura and Toshinori Hirose and Kento Kawaharazuka and Shogo Makino and Youhei Kakiuchi and Kei Okada and Masayuki Inaba}, title = {Human mimetic musculoskeletal humanoid Kengoro toward real world physically interactive actions}, booktitle = {16th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2016, Cancun, Mexico, November 15-17, 2016}, pages = {876--883}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HUMANOIDS.2016.7803376}, doi = {10.1109/HUMANOIDS.2016.7803376}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/AsanoKOKNKYHKMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/AkibaISMY16, author = {Takuya Akiba and Yoichi Iwata and Yosuke Sameshima and Naoto Mizuno and Yosuke Yano}, editor = {Francesco Bonchi and Josep Domingo{-}Ferrer and Ricardo Baeza{-}Yates and Zhi{-}Hua Zhou and Xindong Wu}, title = {Cut Tree Construction from Massive Graphs}, booktitle = {{IEEE} 16th International Conference on Data Mining, {ICDM} 2016, December 12-15, 2016, Barcelona, Spain}, pages = {775--780}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICDM.2016.0089}, doi = {10.1109/ICDM.2016.0089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/AkibaISMY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icteri/YanovskyYK16, author = {Max Yanovsky and Olga Yanovskaya and Vyacheslav S. Kharchenko}, editor = {Vadim Ermolayev and Aleksander Spivakovsky and Mykola S. Nikitchenko and Athula Ginige and Heinrich C. Mayr and Dimitris Plexousakis and Grygoriy Zholtkevych and Oleksandr Burov and Vyacheslav S. Kharchenko and Vitaliy Kobets}, title = {Analysis of Methods for Providing Availability and Accessibility of Cloud Services}, booktitle = {Proceedings of the 12th International Conference on {ICT} in Education, Research and Industrial Applications. Integration, Harmonization and Knowledge Transfer, Kyiv, Ukraine, June 21-24, 2016}, series = {{CEUR} Workshop Proceedings}, volume = {1614}, pages = {414--426}, publisher = {CEUR-WS.org}, year = {2016}, url = {https://ceur-ws.org/Vol-1614/paper\_127.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:15 +0100}, biburl = {https://dblp.org/rec/conf/icteri/YanovskyYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/AsanoNKOYKOI16, author = {Yuki Asano and Shinsuke Nakashima and Toyotaka Kozuki and Soichi Ookubo and Iori Yanokura and Youhei Kakiuchi and Kei Okada and Masayuki Inaba}, title = {Human mimetic foot structure with multi-DOFs and multi-sensors for musculoskeletal humanoid Kengoro}, booktitle = {2016 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2016, Daejeon, South Korea, October 9-14, 2016}, pages = {2419--2424}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IROS.2016.7759377}, doi = {10.1109/IROS.2016.7759377}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/AsanoNKOYKOI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MizutaniIMYT16, author = {Naoto Mizutani and Yuya Ishida and Hirokazu Matsui and Ken'ichi Yano and Toshimichi Takahashi}, title = {Automatic driving control by robotic driver considering the lack of a driving force at changing gears}, booktitle = {2016 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2016, Daejeon, South Korea, October 9-14, 2016}, pages = {3075--3080}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IROS.2016.7759476}, doi = {10.1109/IROS.2016.7759476}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/MizutaniIMYT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/YanovichNM16, author = {Polina Yanovich and Carol Neidle and Dimitris N. Metaxas}, editor = {Nicoletta Calzolari and Khalid Choukri and Thierry Declerck and Sara Goggi and Marko Grobelnik and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {Detection of Major {ASL} Sign Types in Continuous Signing For {ASL} Recognition}, booktitle = {Proceedings of the Tenth International Conference on Language Resources and Evaluation {LREC} 2016, Portoro{\v{z}}, Slovenia, May 23-28, 2016}, publisher = {European Language Resources Association {(ELRA)}}, year = {2016}, url = {http://www.lrec-conf.org/proceedings/lrec2016/summaries/714.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/YanovichNM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhs/MimuraHTIY16, author = {Tomohiro Mimura and Yoshinobu Hagiwara and Tadahiro Taniguchi and Tetsunari Inamura and Shiro Yano}, title = {Analysis of slow dynamics of kinematic structure estimation after physical disorder: Constructive approach toward phantom limb pain}, booktitle = {International Symposium on Micro-NanoMechatronics and Human Science, {MHS} 2016, Nagoya, Japan, November 28-30, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MHS.2016.7824234}, doi = {10.1109/MHS.2016.7824234}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mhs/MimuraHTIY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhs/YanoIKM16, author = {Shiro Yano and Hiroshi Imamizu and Toshiyuki Kondo and Takaki Maeda}, title = {Learning process and Sense of Agency: Bayesian learning or not}, booktitle = {International Symposium on Micro-NanoMechatronics and Human Science, {MHS} 2016, Nagoya, Japan, November 28-30, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MHS.2016.7824233}, doi = {10.1109/MHS.2016.7824233}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhs/YanoIKM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipro/UlyanovM16, author = {S. A. Ul'yanov and N. N. Maximkin}, editor = {Petar Biljanovic and Zeljko Butkovic and Karolj Skala and Tihana Galinac Grbac and Marina Cicin{-}Sain and Vlado Sruk and Slobodan Ribaric and Stjepan Gros and Boris Vrdoljak and Mladen Mauher and Edvard Tijan and Dino Lukman}, title = {Stabilization of multi-AUV formation with digital control}, booktitle = {39th International Convention on Information and Communication Technology, Electronics and Microelectronics, {MIPRO} 2016, Opatija, Croatia, May 30 - June 3, 2016}, pages = {1108--1113}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MIPRO.2016.7522306}, doi = {10.1109/MIPRO.2016.7522306}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/mipro/UlyanovM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/MuraoTYM16, author = {Kazuya Murao and Tsutomu Terada and Ai Yano and Ryuichi Matsukura}, title = {Estimating Trajectory of Inhabitants with Sparsely Aligned Infrared Sensors}, booktitle = {19th International Conference on Network-Based Information Systems, NBiS 2016, Ostrava, Czech Republic, September 7-9, 2016}, pages = {323--329}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NBiS.2016.12}, doi = {10.1109/NBIS.2016.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/MuraoTYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LiIMMY16, author = {Xiang Li and Daiji Izawa and Mamoru Minami and Takayuki Matsuno and Akira Yanou}, title = {Dynamical model of humanoid considering slipping with nonlinear floor friction and internal force during free-fall motion}, booktitle = {2016 {IEEE/SICE} International Symposium on System Integration, {SII} 2016, Sapporo, Japan, December 13-15, 2016}, pages = {69--74}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SII.2016.7843977}, doi = {10.1109/SII.2016.7843977}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/LiIMMY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/MukadaYMLYM16, author = {Naoki Mukada and Kenta Yonemori and Myo Myint and Khin Nwe Lwin and Akira Yanou and Mamoru Minami}, title = {Tracking trajectory control of dual-eyes visual-based underwater vehicle}, booktitle = {2016 {IEEE/SICE} International Symposium on System Integration, {SII} 2016, Sapporo, Japan, December 13-15, 2016}, pages = {748--755}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SII.2016.7844089}, doi = {10.1109/SII.2016.7844089}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/MukadaYMLYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/AkibaISMY16, author = {Takuya Akiba and Yoichi Iwata and Yosuke Sameshima and Naoto Mizuno and Yosuke Yano}, title = {Cut Tree Construction from Massive Graphs}, journal = {CoRR}, volume = {abs/1609.08723}, year = {2016}, url = {http://arxiv.org/abs/1609.08723}, eprinttype = {arXiv}, eprint = {1609.08723}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/AkibaISMY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BogomolnaiaMSY16, author = {Anna Bogomolnaia and Herv{\'{e}} Moulin and Fedor Sandomirskiy and Elena Yanovskaya}, title = {Dividing goods or bads under additive utilities}, journal = {CoRR}, volume = {abs/1608.01540}, year = {2016}, url = {http://arxiv.org/abs/1608.01540}, eprinttype = {arXiv}, eprint = {1608.01540}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/BogomolnaiaMSY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BogomolnaiaMSY16a, author = {Anna Bogomolnaia and Herv{\'{e}} Moulin and Fedor Sandomirskiy and Elena Yanovskaya}, title = {Dividing goods and bads under additive utilities}, journal = {CoRR}, volume = {abs/1610.03745}, year = {2016}, url = {http://arxiv.org/abs/1610.03745}, eprinttype = {arXiv}, eprint = {1610.03745}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/BogomolnaiaMSY16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GevorkyanVKKS16, author = {Migran N. Gevorkyan and Tatiana R. Velieva and Anna V. Korolkova and Dmitry S. Kulyabov and Leonid A. Sevastyanov}, title = {Stochastic Runge-Kutta Software Package for Stochastic Differential Equations}, journal = {CoRR}, volume = {abs/1606.06604}, year = {2016}, url = {http://arxiv.org/abs/1606.06604}, eprinttype = {arXiv}, eprint = {1606.06604}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/GevorkyanVKKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aarc/KiryanovKP15, author = {Boris F. Kir'yanov and Valery M. Kuznetsov and Valerii A. Pesoshin}, title = {A refined formula for the autocorrelation function of an M-sequence}, journal = {Autom. Remote. Control.}, volume = {76}, number = {7}, pages = {1221--1228}, year = {2015}, url = {https://doi.org/10.1134/S0005117915070073}, doi = {10.1134/S0005117915070073}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aarc/KiryanovKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MatsudaOY15, author = {Yuma Matsuda and Masatsugu Ogawa and Masafumi Yano}, title = {Shape Retrieval With Geometrically Characterized Contour Partitions}, journal = {{IEEE} Access}, volume = {3}, pages = {1161--1178}, year = {2015}, url = {https://doi.org/10.1109/ACCESS.2015.2451627}, doi = {10.1109/ACCESS.2015.2451627}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MatsudaOY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/NishiyamaMKY15, author = {Satoshi Nishiyama and Nao Minakata and Teruyuki Kikuchi and Takao Yano}, title = {Improved digital photogrammetry technique for crack monitoring}, journal = {Adv. Eng. Informatics}, volume = {29}, number = {4}, pages = {851--858}, year = {2015}, url = {https://doi.org/10.1016/j.aei.2015.05.005}, doi = {10.1016/J.AEI.2015.05.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/NishiyamaMKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KogaMKWFKTY15, author = {Yuki Koga and Tokiyoshi Matsuda and Mutsumi Kimura and Dapeng Wang and Mamoru Furuta and Masashi Kasami and Shigekazu Tomai and Koki Yano}, title = {Capacitance Sensor of Frequency Modulation for Integrated Touchpanels Using Amorphous In-Sn-Zn-O Thin-Film Transistors}, journal = {{IEICE} Trans. Electron.}, volume = {98-C}, number = {11}, pages = {1028--1031}, year = {2015}, url = {https://doi.org/10.1587/transele.E98.C.1028}, doi = {10.1587/TRANSELE.E98.C.1028}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KogaMKWFKTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/HiraiYM15, author = {Yusaku Hirai and Shinya Yano and Toshimasa Matsuoka}, title = {A Delta-Sigma {ADC} with Stochastic Quantization}, journal = {Inf. Media Technol.}, volume = {10}, number = {3}, pages = {432--439}, year = {2015}, url = {https://doi.org/10.11185/imt.10.432}, doi = {10.11185/IMT.10.432}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/HiraiYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/HiraiYM15, author = {Yusaku Hirai and Shinya Yano and Toshimasa Matsuoka}, title = {A Delta-Sigma {ADC} with Stochastic Quantization}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {8}, pages = {123--130}, year = {2015}, url = {https://doi.org/10.2197/ipsjtsldm.8.123}, doi = {10.2197/IPSJTSLDM.8.123}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsj/HiraiYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/YanoOBC15, author = {In{\'{a}}cio Yano and Vitor Chaves de Oliveira and Mauricio Becker and Andreiwid Sheffer Corr{\^{e}}a}, title = {Applying a Hybrid Polling Approach by Software Implementation to Extend the Lifetime of a Wireless Sensor Network}, journal = {J. Comput. Sci.}, volume = {11}, number = {4}, pages = {699--706}, year = {2015}, url = {https://doi.org/10.3844/jcssp.2015.699.706}, doi = {10.3844/JCSSP.2015.699.706}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/YanoOBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JarchoTNEVFRHBD15, author = {Johanna M. Jarcho and Marian Tanofsky{-}Kraff and Eric E. Nelson and Scott G. Engel and Anna Vannucci and Sara E. Field and Adrienne L. Romer and Louise Hannallah and Sheila M. Brady and Andrew P. Demidowich and Lauren B. Shomaker and Amber B. Courville and Daniel S. Pine and Jack A. Yanovski}, title = {Neural activation during anticipated peer evaluation and laboratory meal intake in overweight girls with and without loss of control eating}, journal = {NeuroImage}, volume = {108}, pages = {343--353}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2014.12.054}, doi = {10.1016/J.NEUROIMAGE.2014.12.054}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/JarchoTNEVFRHBD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/YanoM15, author = {Keisuke Yano and Akihiko Matsuo}, editor = {Jing Sun and Y. Raghu Reddy and Arun Bahulkar and Anjaneyulu Pasala}, title = {Labeling Feature-Oriented Software Clusters for Software Visualization Application}, booktitle = {2015 Asia-Pacific Software Engineering Conference, {APSEC} 2015, New Delhi, India, December 1-4, 2015}, pages = {354--361}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/APSEC.2015.32}, doi = {10.1109/APSEC.2015.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/YanoM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/HosoyaYMM15, author = {Naoki Hosoya and Akira Yanou and Mamoru Minami and Takayuki Matsuno}, title = {Temperature control of a mold model using multiple-input multiple-output two degree-of-freedom generalized predictive control}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244507}, doi = {10.1109/ASCC.2015.7244507}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ascc/HosoyaYMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/InukaiMY15, author = {Haruhiro Inukai and Mamoru Minami and Akira Yanou}, title = {Generating chaos with neural-network-differential-equation for intelligent fish-catching robot}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244530}, doi = {10.1109/ASCC.2015.7244530}, timestamp = {Wed, 21 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ascc/InukaiMY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/OmatuIY15, author = {Sigeru Omatu and Yoshinori Ikeda and Mitsuaki Yano}, title = {Odor measurement and intelligent classification}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244578}, doi = {10.1109/ASCC.2015.7244578}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ascc/OmatuIY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/YanouMM15, author = {Akira Yanou and Mamoru Minami and Takayuki Matsuno}, title = {A design method of on-demand type feedback controller using coprime factorization}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244698}, doi = {10.1109/ASCC.2015.7244698}, timestamp = {Wed, 21 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ascc/YanouMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/YanouYMMM15, author = {Akira Yanou and Kohei Yoshikawa and Syouya Mizokami and Mamoru Minami and Takayuki Matsuno}, title = {Switching {PID} control for an underactuated flying object through model-based prediction}, booktitle = {10th Asian Control Conference, {ASCC} 2015, Kota Kinabalu, Malaysia, May 31 - June 3, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASCC.2015.7244499}, doi = {10.1109/ASCC.2015.7244499}, timestamp = {Wed, 21 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ascc/YanouYMMM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crowncom/MatsumuraIIMKYH15, author = {Takeshi Matsumura and Kazuo Ibuka and Kentaro Ishizu and Homare Murakami and Fumihide Kojima and Hiroyuki Yano and Hiroshi Harada}, editor = {Mark Weichold and Mounir Hamdi and Muhammad Zeeshan Shakir and Mohamed M. Abdallah and George K. Karagiannidis and Muhammad Ismail}, title = {Development of {TV} White-Space {LTE} Devices Complying with Regulation in {UK} Digital Terrestrial {TV} Band}, booktitle = {Cognitive Radio Oriented Wireless Networks - 10th International Conference, {CROWNCOM} 2015, Doha, Qatar, April 21-23, 2015, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {156}, pages = {405--416}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24540-9\_33}, doi = {10.1007/978-3-319-24540-9\_33}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/crowncom/MatsumuraIIMKYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crowncom/MatsumuraIIMKYH15a, author = {Takeshi Matsumura and Kazuo Ibuka and Kentaro Ishizu and Homare Murakami and Fumihide Kojima and Hiroyuki Yano and Hiroshi Harada}, editor = {Mark Weichold and Mounir Hamdi and Muhammad Zeeshan Shakir and Mohamed M. Abdallah and George K. Karagiannidis and Muhammad Ismail}, title = {Prototype of Smart Phone Supporting {TV} White-Spaces {LTE} System}, booktitle = {Cognitive Radio Oriented Wireless Networks - 10th International Conference, {CROWNCOM} 2015, Doha, Qatar, April 21-23, 2015, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {156}, pages = {562--572}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24540-9\_46}, doi = {10.1007/978-3-319-24540-9\_46}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/crowncom/MatsumuraIIMKYH15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcai/OmatuY15, author = {Sigeru Omatu and Mitsuaki Yano}, editor = {Sigeru Omatu and Qutaibah M. Malluhi and Sara Rodr{\'{\i}}guez{-}Gonz{\'{a}}lez and Grzegorz Bocewicz and Edgardo Bucciarelli and Gianfranco Giulioni and Farkhund Iqbal}, title = {Detection of Rice Field Using the Self-organizing Feature Map}, booktitle = {Distributed Computing and Artificial Intelligence, 12th International Conference, {DCAI} 2015, Salamanca, Spain, June 3-5, 2015}, series = {Advances in Intelligent Systems and Computing}, volume = {373}, pages = {31--38}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19638-1\_4}, doi = {10.1007/978-3-319-19638-1\_4}, timestamp = {Tue, 15 Nov 2022 15:22:37 +0100}, biburl = {https://dblp.org/rec/conf/dcai/OmatuY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fie/NakamuraTYNIIHS15, author = {Shigeto Nakamura and Takayuki Tamaki and Yorihiko Yano and Shigeki Nishida and Kenichi Iida and Hiroaki Ichii and Yasuhiro Hayakawa and Mitsuyoshi Shimaoka and Yoshihiro Oshida and Etsuko Ueda and Takahiro Michishita and Tomohito Sasayama and Hirotsugu Shimada and Hiroshi Fukuyama}, title = {Effects of report-writing on a multi-stage-experience educational program using an autonomous mobile robot}, booktitle = {2015 {IEEE} Frontiers in Education Conference, {FIE} 2015, El Paso, TX, USA, October 21-24, 2015}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/FIE.2015.7344197}, doi = {10.1109/FIE.2015.7344197}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fie/NakamuraTYNIIHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HirataMMYT15, author = {Nariaki Hirata and Naoto Mizutani and Hirokazu Matsui and Ken'ichi Yano and Toshimichi Takahashi}, title = {Fuel consumption in a driving test cycle by robotic driver considering system dynamics}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2015, Seattle, WA, USA, 26-30 May, 2015}, pages = {3374--3379}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICRA.2015.7139665}, doi = {10.1109/ICRA.2015.7139665}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/HirataMMYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/TominagaNMSYAN15, author = {Shogo Tominaga and Hiroyuki Nakamura and Naoto Mizutani and Ryota Sakamoto and Ken'ichi Yano and Takaaki Aoki and Yutaka Nishimoto}, title = {Elbow joint motion support for {C4} level cervical cord injury patient using an exoskeleton robot}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2015, Seattle, WA, USA, 26-30 May, 2015}, pages = {4979--4984}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICRA.2015.7139891}, doi = {10.1109/ICRA.2015.7139891}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/TominagaNMSYAN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icteri/YanovskayaDKY15, author = {Olga Yanovskaya and Maria Anna Devetzoglou and Vyacheslav S. Kharchenko and Max Yanovsky}, editor = {Sotiris Batsakis and Heinrich C. Mayr and Vitaliy Yakovyna and Mykola S. Nikitchenko and Grygoriy Zholtkevych and Vyacheslav S. Kharchenko and Hennadiy Kravtsov and Vitaliy Kobets and Vladimir S. Peschanenko and Vadim Ermolayev and Yuriy Bobalo and Aleksander Spivakovsky}, title = {Service and Business Models with Implementation Analysis of Distributed Cloud Solution}, booktitle = {Proceedings of the 11th International Conference on {ICT} in Education, Research and Industrial Applications: Integration, Harmonization and Knowledge Transfer, Lviv, Ukraine, May 14-16, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1356}, pages = {446--461}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1356/paper\_75.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:15 +0100}, biburl = {https://dblp.org/rec/conf/icteri/YanovskayaDKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idaacs/OmatuY15, author = {Sigeru Omatu and Mitsuaki Yano}, title = {Mixed odors classification by neural networks}, booktitle = {{IEEE} 8th International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, {IDAACS} 2015, Warsaw, Poland, September 24-26, 2015}, pages = {171--176}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IDAACS.2015.7340723}, doi = {10.1109/IDAACS.2015.7340723}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idaacs/OmatuY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/HamasakiYFT15, author = {Shin{-}ichi Hamasaki and Yoshihiro Yano and Hirotaka Fukuda and Mineo Tsuji}, title = {Deadbeat control of bidirectional buck/boost {DC-DC} converter for power leveling system with {EDLC}}, booktitle = {{IECON} 2015 - 41st Annual Conference of the {IEEE} Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015}, pages = {2018--2023}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IECON.2015.7392397}, doi = {10.1109/IECON.2015.7392397}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/HamasakiYFT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShirotaYCWWCYAT15, author = {Riichiro Shirota and Bo{-}Jun Yang and Yung{-}Yueh Chiu and Yu{-}Ting Wu and Pin{-}Yao Wang and Jung{-}Ho Chang and Masaru Yano and Minoru Aoki and Toshiaki Takeshita and C.{-}Y. Wang and Ikuo Kurachi}, title = {Improvement of oxide reliability in {NAND} flash memories using tight endurance cycling with shorter idling period}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112814}, doi = {10.1109/IRPS.2015.7112814}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShirotaYCWWCYAT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HadjilambrouKS15, author = {Zacharias Hadjilambrou and Marios Kleanthous and Yanos Sazeides}, title = {Characterization and analysis of a web search benchmark}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {328--337}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095818}, doi = {10.1109/ISPASS.2015.7095818}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/HadjilambrouKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhs/MisawaKY15, author = {Daichi Misawa and Toshiyuki Kondo and Shiro Yano}, title = {Effect of olfactory stimulus on simultaneous learning of conflicting motor tasks}, booktitle = {2015 International Symposium on Micro-NanoMechatronics and Human Science, {MHS} 2015, Nagoya, Japan, November 23-25, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MHS.2015.7438304}, doi = {10.1109/MHS.2015.7438304}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/mhs/MisawaKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhs/YanoKYOIM15, author = {Shiro Yano and Toshiyuki Kondo and Yuichi Yamashita and Tsukasa Okimura and Hiroshi Imamizu and Takaki Maeda}, title = {Bayesian model of the Sense of Agency in normal subjects}, booktitle = {2015 International Symposium on Micro-NanoMechatronics and Human Science, {MHS} 2015, Nagoya, Japan, November 23-25, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MHS.2015.7438277}, doi = {10.1109/MHS.2015.7438277}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhs/YanoKYOIM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/NikolaouSNK15, author = {Panagiota Nikolaou and Yiannakis Sazeides and Lorena Ndreu and Marios Kleanthous}, editor = {Milos Prvulovic}, title = {Modeling the implications of {DRAM} failures and protection techniques on datacenter {TCO}}, booktitle = {Proceedings of the 48th International Symposium on Microarchitecture, {MICRO} 2015, Waikiki, HI, USA, December 5-9, 2015}, pages = {572--584}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2830772.2830804}, doi = {10.1145/2830772.2830804}, timestamp = {Wed, 11 Aug 2021 11:51:26 +0200}, biburl = {https://dblp.org/rec/conf/micro/NikolaouSNK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/MukaiMYM15, author = {Keisuke Mukai and Takayuki Matsuno and Akira Yanou and Mamoru Minami}, title = {Shape modeling of a string and recognition using distance sensor}, booktitle = {24th {IEEE} International Symposium on Robot and Human Interactive Communication, {RO-MAN} 2015, Kobe, Japan, August 31 - September 4, 2015}, pages = {363--368}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ROMAN.2015.7333624}, doi = {10.1109/ROMAN.2015.7333624}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/MukaiMYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/OmatuYI15, author = {Sigeru Omatu and Mitsuaki Yano and Yoshinori Ikeda}, editor = {Roger L. Wainwright and Juan Manuel Corchado and Alessio Bechini and Jiman Hong}, title = {Smell classification of wines by the learning vector quantization method}, booktitle = {Proceedings of the 30th Annual {ACM} Symposium on Applied Computing, Salamanca, Spain, April 13-17, 2015}, pages = {195--200}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2695664.2695833}, doi = {10.1145/2695664.2695833}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/OmatuYI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/RodopoulosCMLCS15, author = {Dimitrios Rodopoulos and Simone Corbetta and Giuseppe Massari and Simone Libutti and Francky Catthoor and Yiannakis Sazeides and Chrysostomos Nicopoulos and Antoni Portero and Etienne Cappe and Radim Vavr{\'{\i}}k and V{\'{\i}}t Vondr{\'{a}}k and Dimitrios Soudris and Federico Sassi and Agnes Fritsch and William Fornaciari}, editor = {Dimitrios Soudris and Luigi Carro}, title = {{HARPA:} Solutions for dependable performance under physically induced performance variability}, booktitle = {2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2015, Samos, Greece, July 19-23, 2015}, pages = {270--277}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SAMOS.2015.7363685}, doi = {10.1109/SAMOS.2015.7363685}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/samos/RodopoulosCMLCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LiNMMY15, author = {Xiang Li and Jumpei Nishiguchi and Mamoru Minami and Takayuki Matsuno and Akira Yanou}, title = {Iterative calculation method for constraint motion by extended newton-euler method and application for forward dynamics}, booktitle = {2015 {IEEE/SICE} International Symposium on System Integration, {SII} 2015, Nagoya, Japan, December 11-13, 2015}, pages = {313--319}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SII.2015.7404997}, doi = {10.1109/SII.2015.7404997}, timestamp = {Wed, 10 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/LiNMMY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/MyintYYMI15, author = {Myo Myint and Kenta Yonemori and Akira Yanou and Mamoru Minami and Shintaro Ishiyama}, title = {Visual-servo-based autonomous docking system for underwater vehicle using dual-eyes camera 3D-pose tracking}, booktitle = {2015 {IEEE/SICE} International Symposium on System Integration, {SII} 2015, Nagoya, Japan, December 11-13, 2015}, pages = {989--994}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SII.2015.7405161}, doi = {10.1109/SII.2015.7405161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/MyintYYMI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/ShirakawaMYM15, author = {Tomoya Shirakawa and Takayuki Matsuno and Akira Yanou and Mamoru Minami}, title = {String shape recognition using enhanced matching method from 3D point cloud data}, booktitle = {2015 {IEEE/SICE} International Symposium on System Integration, {SII} 2015, Nagoya, Japan, December 11-13, 2015}, pages = {449--454}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SII.2015.7405021}, doi = {10.1109/SII.2015.7405021}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/ShirakawaMYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/SugiyamaMKHNYM15, author = {Kohei Sugiyama and Takayuki Matsuno and Tetsushi Kamegawa and Takao Hiraki and Hirotaka Nakaya and Akira Yanou and Mamoru Minami}, title = {Reaction force analysis of puncture robot for CT-guided Interventional Radiology in animal experiment}, booktitle = {2015 {IEEE/SICE} International Symposium on System Integration, {SII} 2015, Nagoya, Japan, December 11-13, 2015}, pages = {7--12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SII.2015.7404888}, doi = {10.1109/SII.2015.7404888}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/SugiyamaMKHNYM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/YanoOKS15, author = {Ken Yano and Takeshi Ogawa and Motoaki Kawanabe and Takayuki Suyama}, editor = {Jos{\'{e}} Braz and Sebastiano Battiato and Francisco H. Imai}, title = {On-line Hand Gesture Recognition to Control Digital {TV} using a Boosted and Randomized Clustering Forest}, booktitle = {{VISAPP} 2015 - Proceedings of the 10th International Conference on Computer Vision Theory and Applications, Volume 2, Berlin, Germany, 11-14 March, 2015}, pages = {220--227}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005263502200227}, doi = {10.5220/0005263502200227}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/visapp/YanoOKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YanoAAWTSHM15, author = {Kazuo Yano and Tomoaki Akitomi and Koji Ara and Jun{-}ichiro Watanabe and Satomi Tsuji and Nobuo Sato and Miki Hayakawa and Norihiko Moriwaki}, title = {Profiting from IoT: The key is very-large-scale happiness integration}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231287}, doi = {10.1109/VLSIC.2015.7231287}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YanoAAWTSHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NguyenIMKY15, author = {Kien Nguyen and Kentaro Ishizu and Homare Murakami and Fumihide Kojima and Hiroyuki Yano}, title = {A Scalable and Robust OpenFlow Channel for Software Defined Wireless Access Networks}, booktitle = {{IEEE} 82nd Vehicular Technology Conference, {VTC} Fall 2015, Boston, MA, USA, September 6-9, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCFall.2015.7390816}, doi = {10.1109/VTCFALL.2015.7390816}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/NguyenIMKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SekiguchiYMAK15, author = {Mariko Sekiguchi and Kazuto Yano and Tomohiro Miyasaka and Masayuki Ariyoshi and Tomoaki Kumagai}, title = {QoE-oriented {WLAN:} smart access control scheme for maximising users' QoE satisfaction}, booktitle = {2015 {IEEE} Wireless Communications and Networking Conference Workshops, {WCNC} Workshops 2015, New Orleans, LA, USA, March 9-12, 2015}, pages = {253--258}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WCNCW.2015.7122563}, doi = {10.1109/WCNCW.2015.7122563}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SekiguchiYMAK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/EferinaKGKS15, author = {Ekaterina G. Eferina and Anna V. Korolkova and Migran N. Gevorkyan and Dmitry S. Kulyabov and Leonid A. Sevastyanov}, title = {One-Step Stochastic Processes Simulation Software Package}, journal = {CoRR}, volume = {abs/1503.07342}, year = {2015}, url = {http://arxiv.org/abs/1503.07342}, eprinttype = {arXiv}, eprint = {1503.07342}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/EferinaKGKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/YanoverVLKK14, author = {Chen Yanover and Natalia Vanetik and Michael Levitt and Rachel Kolodny and Chen Keasar}, title = {Redundancy-weighting for better inference of protein structural features}, journal = {Bioinform.}, volume = {30}, number = {16}, pages = {2295--2301}, year = {2014}, url = {https://doi.org/10.1093/bioinformatics/btu242}, doi = {10.1093/BIOINFORMATICS/BTU242}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/YanoverVLKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/YanoMAYK14, author = {Masahiro Yano and Hiroshi Mori and Yutaka Akiyama and Takuji Yamada and Ken Kurokawa}, title = {{CLAST:} {CUDA} implemented large-scale alignment search tool}, journal = {{BMC} Bioinform.}, volume = {15}, pages = {406}, year = {2014}, url = {https://doi.org/10.1186/s12859-014-0406-y}, doi = {10.1186/S12859-014-0406-Y}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/YanoMAYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/Garcia-Alvarado14, author = {Mart{\'{\i}}n G. Garc{\'{\i}}a{-}Alvarado and Georgii A. Omel'yanov}, title = {Interaction of solitons and the effect of radiation for the generalized KdV equation}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {19}, number = {8}, pages = {2724--2733}, year = {2014}, url = {https://doi.org/10.1016/j.cnsns.2013.06.024}, doi = {10.1016/J.CNSNS.2013.06.024}, timestamp = {Mon, 27 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/Garcia-Alvarado14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/YanouHMK14, author = {Akira Yanou and Yang Hou and Mamoru Minami and Yosuke Kobayashi}, title = {Performance Analysis for First-Order Configuration Prediction for Redundant Manipulators Based on Avoidance Manipulability}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {18}, number = {3}, pages = {443--450}, year = {2014}, url = {https://doi.org/10.20965/jaciii.2014.p0443}, doi = {10.20965/JACIII.2014.P0443}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/YanouHMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/FujisawaOKNYKYYYMKTN14, author = {Takatomo Fujisawa and Shinobu Okamoto and Toshiaki Katayama and Mitsuteru Nakao and Hidehisa Yoshimura and Hiromi Kajiya{-}Kanegae and Sumiko Yamamoto and Chiyoko Yano and Yuka Yanaka and Hiroko Maita and Takakazu Kaneko and Satoshi Tabata and Yasukazu Nakamura}, title = {CyanoBase and RhizoBase: databases of manually curated annotations for cyanobacterial and rhizobial genomes}, journal = {Nucleic Acids Res.}, volume = {42}, number = {Database-Issue}, pages = {666--670}, year = {2014}, url = {https://doi.org/10.1093/nar/gkt1145}, doi = {10.1093/NAR/GKT1145}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/FujisawaOKNYKYYYMKTN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/or/YanoS14, author = {Hitoshi Yano and Masatoshi Sakawa}, title = {Interactive fuzzy programming for multiobjective fuzzy random linear programming problems through possibility-based probability maximization}, journal = {Oper. Res.}, volume = {14}, number = {1}, pages = {51--69}, year = {2014}, url = {https://doi.org/10.1007/s12351-013-0135-4}, doi = {10.1007/S12351-013-0135-4}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/or/YanoS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/Yano14, author = {Masayuki Yano}, title = {A Space-Time Petrov-Galerkin Certified Reduced Basis Method: Application to the Boussinesq Equations}, journal = {{SIAM} J. Sci. Comput.}, volume = {36}, number = {1}, year = {2014}, url = {https://doi.org/10.1137/120903300}, doi = {10.1137/120903300}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamsc/Yano14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamm/Barr14, author = {Michael Barr}, title = {Review: \emph{The Outer Limits of Reason}. Noson Yanofsky. {MIT} Press, Cambridge, {MA} and London, England, 2013, xiv + 403 pp., {ISBN} 978-0-262-01935-4, {\textdollar}29.95}, journal = {Am. Math. Mon.}, volume = {121}, number = {7}, pages = {658--660}, year = {2014}, url = {https://doi.org/10.4169/amer.math.monthly.121.07.658}, doi = {10.4169/AMER.MATH.MONTHLY.121.07.658}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamm/Barr14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/FalconerEADYKGR14, author = {Erin Falconer and Tal El{-}Hay and Dimitrios Alevras and John Docherty and Chen Yanover and Alan Kalton and Yaara Goldschmidt and Michal Rosen{-}Zvi}, title = {Integrated Multisystem Analysis in a Mental Health and Criminal Justice Ecosystem}, booktitle = {{AMIA} 2014, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 15-19, 2014}, publisher = {{AMIA}}, year = {2014}, url = {https://knowledge.amia.org/56638-amia-1.1540970/t-004-1.1544972/f-004-1.1544973/a-148-1.1545229/a-149-1.1545226}, timestamp = {Wed, 17 Apr 2024 11:47:48 +0200}, biburl = {https://dblp.org/rec/conf/amia/FalconerEADYKGR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/MizutaniMYK14, author = {Naoto Mizutani and Hirokazu Matsui and Ken'ichi Yano and Yasuyuki Kobayashi}, title = {Driving force assistance control for wheelchair operation using an exoskeletal robot}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {1085--1090}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913925}, doi = {10.1109/BIOROB.2014.6913925}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/biorob/MizutaniMYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/YanoM14, author = {Candace A. Yano and Carson McFadden}, editor = {P. Simin Pulat and Subhash C. Sarin and Reha Uzsoy}, title = {Mine Planning Above and Below Ground: Generating a Set of Pareto-Optimal Schedules Considering Risk and Return}, booktitle = {Essays in Production, Project Planning and Scheduling, {A} Festschrift in Honor of Salah Elmaghraby}, series = {International Series in Operations Research {\&} Management Science}, volume = {200}, pages = {343--356}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-1-4614-9056-2\_14}, doi = {10.1007/978-1-4614-9056-2\_14}, timestamp = {Sat, 09 Apr 2022 12:46:08 +0200}, biburl = {https://dblp.org/rec/conf/birthday/YanoM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/YanoTKS14, author = {Masataka Yano and Yuki Tateyama and Yoan Kim and Tsutomu Sakamoto}, editor = {Paul Bello and Marcello Guarini and Marjorie McShane and Brian Scassellati}, title = {An {ERP} study of Japanese cleft constructions in context}, booktitle = {Proceedings of the 36th Annual Meeting of the Cognitive Science Society, CogSci 2014, Quebec City, Canada, July 23-26, 2014}, publisher = {cognitivesciencesociety.org}, year = {2014}, url = {https://escholarship.org/uc/item/5695v6tf}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/YanoTKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcai/OmatuY14, author = {Sigeru Omatu and Mitsuaki Yano}, editor = {Sigeru Omatu and Hugues Bersini and Juan M. Corchado Rodr{\'{\i}}guez and Sara Rodr{\'{\i}}guez and Pawel Pawlewski and Edgardo Bucciarelli}, title = {E-Nose System by Using Neural Networks}, booktitle = {Distributed Computing and Artificial Intelligence, 11th International Conference, {DCAI} 2014, Salamanca, Spain, June 4-6, 2014}, series = {Advances in Intelligent Systems and Computing}, volume = {290}, pages = {311--318}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07593-8\_36}, doi = {10.1007/978-3-319-07593-8\_36}, timestamp = {Tue, 05 Mar 2024 21:11:29 +0100}, biburl = {https://dblp.org/rec/conf/dcai/OmatuY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egve/YukiKSMT14, author = {Yuki Yano and Kiyoshi Kiyokawa and Andrei Sherstyuk and Tomohiro Mashita and Haruo Takemura}, editor = {Takuya Nojima and Dirk Reiners and Oliver G. Staadt}, title = {Investigation of Dynamic View Expansion for Head-Mounted Displays with Head Tracking in Virtual Environments}, booktitle = {International Conference on Artificial Reality and Telexistence and Eurographics Symposium on Virtual Environments, {ICAT-EGVE} 2014, Bremen, Germany, December, 8-10, 2014}, pages = {37--44}, publisher = {Eurographics Association}, year = {2014}, url = {https://doi.org/10.2312/ve.20141362}, doi = {10.2312/VE.20141362}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/egve/YukiKSMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/YanovskayaYK14, author = {Olga Yanovskaya and Max Yanovsky and Vyacheslav S. Kharchenko}, title = {The concept of green Cloud infrastructure based on distributed computing and hardware accelerator within {FPGA} as a Service}, booktitle = {2014 East-West Design {\&} Test Symposium, {EWDTS} 2014, Kiev, Ukraine, September 26-29, 2014}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/EWDTS.2014.7027089}, doi = {10.1109/EWDTS.2014.7027089}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/YanovskayaYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/OmatuHY14, author = {Sigeru Omatu and Daigo Hayashi and Mitsuaki Yano}, title = {Odor classification of wines by using neural networks}, booktitle = {17th International Conference on Information Fusion, {FUSION} 2014, Salamanca, Spain, July 7-10, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/document/6916285/}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fusion/OmatuHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/IwakiYC14, author = {Mamoru Iwaki and Y{\^{o}}ko Yano and Yoshiki Chigira}, title = {Measurement and adjustment methods of sound source direction perceived through bone-conduction headphones}, booktitle = {{IEEE} 3rd Global Conference on Consumer Electronics, {GCCE} 2014, Tokyo, Japan, 7-10 October 2014}, pages = {194--197}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GCCE.2014.7031312}, doi = {10.1109/GCCE.2014.7031312}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/IwakiYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hai/YoshidaYY14, author = {Naoto Yoshida and Miyuki Yano and Tomoko Yonezawa}, editor = {Hideaki Kuzuoka and Tetsuo Ono and Michita Imai and James E. Young}, title = {Personal and interactive newscaster agent based on estimation of user's understanding}, booktitle = {Proceedings of the second international conference on Human-agent interaction, {HAI} '14, Tsukuba, Japan, October 29-31, 2014}, pages = {45--50}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2658861.2658877}, doi = {10.1145/2658861.2658877}, timestamp = {Tue, 06 Nov 2018 11:07:27 +0100}, biburl = {https://dblp.org/rec/conf/hai/YoshidaYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/PalmaPYNKMFRLRC14, author = {Giuseppe Palma and Luisa De Palma and Tetsuji Yano and Virginie Nazabal and Tetsuo Kishi and A. Moreac and Maurizio Ferrari and Davor Ristic and Anna Lukowiak and Giancarlo Cesare Righini and Gualtiero Nunzi Conti and Francesco Prudenzano}, title = {Fiber coupled erbium doped microsphere: {NIR} and mid-IR wavelength ranges}, booktitle = {16th International Conference on Transparent Optical Networks, {ICTON} 2014, Graz, Austria, July 6-10, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTON.2014.6876367}, doi = {10.1109/ICTON.2014.6876367}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/PalmaPYNKMFRLRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanovskyDJ14, author = {Igor Yanovsky and Anthony B. Davis and Veljko M. Jovanovic}, title = {Separation of cloud layers in multispectral imager data}, booktitle = {2014 {IEEE} Geoscience and Remote Sensing Symposium, {IGARSS} 2014, Quebec City, QC, Canada, July 13-18, 2014}, pages = {1627--1630}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IGARSS.2014.6946759}, doi = {10.1109/IGARSS.2014.6946759}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanovskyDJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/NakajimaHSY14, author = {Sachiko Nakajima and Takashi Harada and Sho Sato and Marimi Yano}, title = {Developing Educational Software for a Library Classification Training System}, booktitle = {{IIAI} 3rd International Conference on Advanced Applied Informatics, {IIAI-AAI} 2014, Kokura Kita-ku, Japan, August 31 - Sept. 4, 2014}, pages = {539--540}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IIAI-AAI.2014.116}, doi = {10.1109/IIAI-AAI.2014.116}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/NakajimaHSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imaging/TominagaHNY14, author = {Shoji Tominaga and Takahiko Horiuchi and Shiori Nakajima and Mariko Yano}, title = {Prediction of Incomplete Chromatic Adaptation Under Illuminant {A} from Images}, booktitle = {22nd Color and Imaging Conference, {CIC} 2014, Boston, MA, USA, November 3-7, 2014}, pages = {28--36}, publisher = {Society for Imaging Science and Technology}, year = {2014}, url = {https://doi.org/10.2352/CIC.2014.22.1.art00004}, doi = {10.2352/CIC.2014.22.1.ART00004}, timestamp = {Mon, 17 Jul 2023 17:21:12 +0200}, biburl = {https://dblp.org/rec/conf/imaging/TominagaHNY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isi/YanoBGA14, author = {Edgar Toshiro Yano and Parth Bhatt and Per M. Gustavsson and Rose{-}Mharie {\AA}hlfeldt}, title = {Towards a Methodology for Cybersecurity Risk Management Using Agents Paradigm}, booktitle = {{IEEE} Joint Intelligence and Security Informatics Conference, {JISIC} 2014, The Hague, The Netherlands, 24-26 September, 2014}, pages = {325}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/JISIC.2014.70}, doi = {10.1109/JISIC.2014.70}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isi/YanoBGA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/DilsizianYWNM14, author = {Mark Dilsizian and Polina Yanovich and Shu Wang and Carol Neidle and Dimitris N. Metaxas}, editor = {Nicoletta Calzolari and Khalid Choukri and Thierry Declerck and Hrafn Loftsson and Bente Maegaard and Joseph Mariani and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {A New Framework for Sign Language Recognition based on 3D Handshape Identification and Linguistic Modeling}, booktitle = {Proceedings of the Ninth International Conference on Language Resources and Evaluation, {LREC} 2014, Reykjavik, Iceland, May 26-31, 2014}, pages = {1924--1929}, publisher = {European Language Resources Association {(ELRA)}}, year = {2014}, url = {http://www.lrec-conf.org/proceedings/lrec2014/summaries/1138.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/DilsizianYWNM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/MachidaMGYTMS14, author = {Fumio Machida and Ryota Mibu and Junichi Gokurakuji and Kazuo Yanoo and Kumiko Tadano and Yoshiharu Maeno and Tomoyoshi Sugawara}, title = {Virtualized server infrastructure for resilient voice communication service}, booktitle = {2014 {IEEE} Network Operations and Management Symposium, {NOMS} 2014, Krakow, Poland, May 5-9, 2014}, pages = {1--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NOMS.2014.6838307}, doi = {10.1109/NOMS.2014.6838307}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/MachidaMGYTMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/OmatuY14, author = {Sigeru Omatu and Mitsuaki Yano}, editor = {Yookun Cho and Sung Y. Shin and Sang{-}Wook Kim and Chih{-}Cheng Hung and Jiman Hong}, title = {Smell classification using weakly responding data}, booktitle = {Symposium on Applied Computing, {SAC} 2014, Gyeongju, Republic of Korea - March 24 - 28, 2014}, pages = {213--214}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2554850.2555081}, doi = {10.1145/2554850.2555081}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/OmatuY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/NakanoZIHKYTY14, author = {Kimihiko Nakano and Rencheng Zheng and Hiromitsu Ishiko and Kenji Hagita and Makoto Kihira and Toshiya Yokozeki and Motohiko Takayanagi and Kenichiro Yano}, title = {Gaze measurement to evaluate safety in using vehicle navigation systems}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {3972--3977}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SMC.2014.6974552}, doi = {10.1109/SMC.2014.6974552}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/NakanoZIHKYTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/somet/OmatuY14, author = {Sigeru Omatu and Mitsuaki Yano}, editor = {Hamido Fujita and Ali Selamat and Habibollah Haron}, title = {Rice-Planted Area Extraction by {RADARSAT} Data by Competitive Neural Networks}, booktitle = {New Trends in Software Methodologies, Tools and Techniques - Proceedings of the Thirteenth SoMeT '14, Langkawi, Malaysia, September 22-24, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {265}, pages = {26--36}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-434-3-26}, doi = {10.3233/978-1-61499-434-3-26}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/somet/OmatuY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sose/BhattYG14, author = {Parth Bhatt and Edgar Toshiro Yano and Per M. Gustavsson}, title = {Towards a Framework to Detect Multi-stage Advanced Persistent Threats Attacks}, booktitle = {8th {IEEE} International Symposium on Service Oriented System Engineering, {SOSE} 2014, Oxford, United Kingdom, April 7-11, 2014}, pages = {390--395}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SOSE.2014.53}, doi = {10.1109/SOSE.2014.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sose/BhattYG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aarc/VolkovGLTBT13, author = {Petr V. Volkov and Alexander V. Goryunov and A. Yu. Luk'yanov and A. D. Tertyshnik and M. V. Belikovich and V. V. Travkin}, title = {A fiber-optic temperature sensor}, journal = {Autom. Remote. Control.}, volume = {74}, number = {4}, pages = {690--696}, year = {2013}, url = {https://doi.org/10.1134/S0005117913040127}, doi = {10.1134/S0005117913040127}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aarc/VolkovGLTBT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/YanouMT13, author = {Akira Yanou and Mamoru Minami and Hiro Tanimoto}, title = {Continuous shape-grinding experiment based on model-independent force/position hybrid control method with on-line spline approximation}, journal = {Artif. Life Robotics}, volume = {18}, number = {3-4}, pages = {219--227}, year = {2013}, url = {https://doi.org/10.1007/s10015-013-0119-8}, doi = {10.1007/S10015-013-0119-8}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/YanouMT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/YuMSY13, author = {Fujia Yu and Mamoru Minami and Wei Song and Akira Yanou}, title = {Eye-vergence visual servoing enhancing Lyapunov-stable trackability}, journal = {Artif. Life Robotics}, volume = {18}, number = {1-2}, pages = {27--35}, year = {2013}, url = {https://doi.org/10.1007/s10015-013-0095-z}, doi = {10.1007/S10015-013-0095-Z}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/YuMSY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/UemoriIKHADKMNYGYT13, author = {Satoshi Uemori and Masamichi Ishii and Haruo Kobayashi and Daiki Hirabayashi and Yuta Arakawa and Yuta Doi and Osamu Kobayashi and Tatsuji Matsuura and Kiichi Niitsu and Yuji Yano and Tatsuhiro Gake and Takahiro J. Yamaguchi and Nobukazu Takai}, title = {Multi-bit Sigma-Delta {TDC} Architecture with Improved Linearity}, journal = {J. Electron. Test.}, volume = {29}, number = {6}, pages = {879--892}, year = {2013}, url = {https://doi.org/10.1007/s10836-013-5408-6}, doi = {10.1007/S10836-013-5408-6}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/UemoriIKHADKMNYGYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/YofuneWYBK13, author = {Masanori Yofune and Julian L. Webber and Kazuto Yano and Hiroshi Ban and Kiyoshi Kobayashi}, title = {Optimization of Signal Design for Poly-Polarization Multiplexing in Satellite Communications}, journal = {{IEEE} Commun. Lett.}, volume = {17}, number = {11}, pages = {2017--2020}, year = {2013}, url = {https://doi.org/10.1109/LCOMM.2013.100713.131618}, doi = {10.1109/LCOMM.2013.100713.131618}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/YofuneWYBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/XiangMTMY13, author = {Jianwen Xiang and Fumio Machida and Kumiko Tadano and Yoshiharu Maeno and Kazuo Yanoo}, title = {Coverage of Irrelevant Components in Systems with Imperfect Fault Coverage}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {7}, pages = {1649--1652}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.1649}, doi = {10.1587/TRANSFUN.E96.A.1649}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/XiangMTMY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/MunPY13, author = {Sungchul Mun and Min{-}Chul Park and Sumio Yano}, title = {Performance Comparison of a {SSVEP} {BCI} Task by Individual Stereoscopic 3D Susceptibility}, journal = {Int. J. Hum. Comput. Interact.}, volume = {29}, number = {12}, pages = {789--797}, year = {2013}, url = {https://doi.org/10.1080/10447318.2013.765289}, doi = {10.1080/10447318.2013.765289}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/MunPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/MuraoTYM13, author = {Kazuya Murao and Tsutomu Terada and Ai Yano and Ryuichi Matsukura}, title = {Evaluating Sensor Placement and Gesture Selection for Mobile Devices}, journal = {Inf. Media Technol.}, volume = {8}, number = {4}, pages = {1154--1165}, year = {2013}, url = {https://doi.org/10.11185/imt.8.1154}, doi = {10.11185/IMT.8.1154}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/MuraoTYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/YanoNM13, author = {Tomohiko Yano and Shohei Nobuhara and Takashi Matsuyama}, title = {3D Shape from Silhouettes in Water for Online Novel-view Synthesis}, journal = {Inf. Media Technol.}, volume = {8}, number = {4}, pages = {1056--1060}, year = {2013}, url = {https://doi.org/10.11185/imt.8.1056}, doi = {10.11185/IMT.8.1056}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/YanoNM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsjtcva/YanoNM13, author = {Tomohiko Yano and Shohei Nobuhara and Takashi Matsuyama}, title = {3D Shape from Silhouettes in Water for Online Novel-view Synthesis}, journal = {{IPSJ} Trans. Comput. Vis. Appl.}, volume = {5}, pages = {65--69}, year = {2013}, url = {https://doi.org/10.2197/ipsjtcva.5.65}, doi = {10.2197/IPSJTCVA.5.65}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsjtcva/YanoNM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/TamuraEYMKA13, author = {Yusuke Tamura and Mami Egawa and Shiro Yano and Takaki Maeda and Motoichiro Kato and Hajime Asama}, title = {Activeness Improves Cognitive Performance in Human-Machine Interaction}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {17}, number = {3}, pages = {425--432}, year = {2013}, url = {https://doi.org/10.20965/jaciii.2013.p0425}, doi = {10.20965/JACIII.2013.P0425}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/TamuraEYMKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/OliveiraYMM13, author = {Vitor Chaves de Oliveira and In{\'{a}}cio Yano and Alexandre de Assis Mota and Lia Toledo Moreira Mota}, title = {Feasibility of Desktop Virtualization per Software Services and Local Hardware based on the Network Throughput}, journal = {J. Comput. Sci.}, volume = {9}, number = {7}, pages = {827--837}, year = {2013}, url = {https://doi.org/10.3844/jcssp.2013.827.837}, doi = {10.3844/JCSSP.2013.827.837}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/OliveiraYMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/YanoOFMM13, author = {In{\'{a}}cio Yano and Vitor Chaves de Oliveira and Eric Alberto de Mello Fagotto and Alexandre de Assis Mota and Lia Toledo Moreira Mota}, title = {Predicting battery charge Depletion in Wireless Sensor Networks using received signal strength indicator}, journal = {J. Comput. Sci.}, volume = {9}, number = {7}, pages = {821--826}, year = {2013}, url = {https://doi.org/10.3844/jcssp.2013.821.826}, doi = {10.3844/JCSSP.2013.821.826}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/YanoOFMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HayashiAWYKSDNNK13, author = {Isamu Hayashi and Teruhiko Amano and Naoya Watanabe and Yuji Yano and Yasuto Kuroda and M. Shirata and Katsumi Dosaka and Koji Nii and Hideyuki Noda and Hiroyuki Kawai}, title = {A 250-MHz 18-Mb Full Ternary {CAM} With Low-Voltage Matchline Sensing Scheme in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {11}, pages = {2671--2680}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2274888}, doi = {10.1109/JSSC.2013.2274888}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HayashiAWYKSDNNK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/GutmanHRCWYTJWT13, author = {Boris Gutman and Xue Hua and Priya Rajagopalan and Yi{-}Yu Chou and Yalin Wang and Igor Yanovsky and Arthur W. Toga and Clifford R. Jack Jr. and Michael W. Weiner and Paul M. Thompson}, title = {Maximizing power to track Alzheimer's disease and {MCI} progression by LDA-based weighting of longitudinal ventricular surface features}, journal = {NeuroImage}, volume = {70}, pages = {386--401}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2012.12.052}, doi = {10.1016/J.NEUROIMAGE.2012.12.052}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/GutmanHRCWYTJWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SanchezSCGA13, author = {Daniel S{\'{a}}nchez and Yiannakis Sazeides and Juan M. Cebrian and Jos{\'{e}} M. Garc{\'{\i}}a and Juan L. Arag{\'{o}}n}, title = {Modeling the impact of permanent faults in caches}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {4}, pages = {29:1--29:23}, year = {2013}, url = {https://doi.org/10.1145/2541228.2541236}, doi = {10.1145/2541228.2541236}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SanchezSCGA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alcosp/YanouMM13, author = {Akira Yanou and Mamoru Minami and Takayuki Matsuno}, editor = {Fouad Giri and Vincent van Assche}, title = {Extended Self-Tuning Generalized Predictive Control with Computation Reduction Focused on Closed-Loop Characteristics}, booktitle = {11th {IFAC} International Workshop on Adaptation and Learning in Control and Signal Processing, {ALCOSP} 2013, Caen, France, July 3-5, 2013}, pages = {51--56}, publisher = {International Federation of Automatic Control}, year = {2013}, url = {https://doi.org/10.3182/20130703-3-FR-4038.00112}, doi = {10.3182/20130703-3-FR-4038.00112}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alcosp/YanouMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/GamonYSAP13, author = {Michael Gamon and Tae Yano and Xinying Song and Johnson Apacible and Patrick Pantel}, editor = {Qi He and Arun Iyengar and Wolfgang Nejdl and Jian Pei and Rajeev Rastogi}, title = {Identifying salient entities in web pages}, booktitle = {22nd {ACM} International Conference on Information and Knowledge Management, CIKM'13, San Francisco, CA, USA, October 27 - November 1, 2013}, pages = {2375--2380}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2505515.2505602}, doi = {10.1145/2505515.2505602}, timestamp = {Mon, 19 Aug 2024 08:36:26 +0200}, biburl = {https://dblp.org/rec/conf/cikm/GamonYSAP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/IzukuraYSK13, author = {Sayaka Izukura and Kazuo Yanoo and Hiroshi Sakaki and Masato Kawatsu}, title = {Determining Appropriate {IT} Systems Design Based on System Models}, booktitle = {37th Annual {IEEE} Computer Software and Applications Conference, {COMPSAC} 2013, Kyoto, Japan, July 22-26, 2013}, pages = {834--835}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/COMPSAC.2013.142}, doi = {10.1109/COMPSAC.2013.142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/IzukuraYSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/TakaguchiSYM13, author = {Taro Takaguchi and Nobuo Sato and Kazuo Yano and Naoki Masuda}, title = {Inferring Directed Static Networks of Influence from Undirected Temporal Networks}, booktitle = {37th Annual {IEEE} Computer Software and Applications Conference, {COMPSAC} 2013, Kyoto, Japan, July 22-26, 2013}, pages = {155--156}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/COMPSAC.2013.24}, doi = {10.1109/COMPSAC.2013.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/TakaguchiSYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/culture/LiCUHTY13, author = {Liang Li and Woong Choi and Mana Umeda and Kozaburo Hachimura and Hiromi T. Tanaka and Keiji Yano}, title = {Revival of a Traditional Japanese Festival: Virtual Ato-Matsuri across Time and Space}, booktitle = {2013 International Conference on Culture and Computing, Culture Computing 2013, Kyoto, Japan, September 16-18, 2013}, pages = {210--211}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CultureComputing.2013.69}, doi = {10.1109/CULTURECOMPUTING.2013.69}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/culture/LiCUHTY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcai/OmatuYF13, author = {Sigeru Omatu and Mitsuaki Yano and Toru Fujinaka}, editor = {Sigeru Omatu and Jos{\'{e}} Neves and Juan M. Corchado Rodr{\'{\i}}guez and Juan F. De Paz Santana and Sara Rodr{\'{\i}}guez{-}Gonz{\'{a}}lez}, title = {Odor Classification Based on Weakly Responding Sensors}, booktitle = {Distributed Computing and Artificial Intelligence - 10th International Conference, {DCAI} 2013, Salamanca, Spain, May 22-24, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {217}, pages = {121--128}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-00551-5\_15}, doi = {10.1007/978-3-319-00551-5\_15}, timestamp = {Tue, 15 Nov 2022 15:22:37 +0100}, biburl = {https://dblp.org/rec/conf/dcai/OmatuYF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/TakahashiTY13, author = {Mito Takahashi and Kana Tezuka and Tamaki Yano}, title = {Identifying the author of the Noh play by considering a rhythmic structure - Validating the application of multivariate analysis}, booktitle = {8th Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2013, Lincoln, NE, USA, July 16-19, 2013, Conference Abstracts}, pages = {429--431}, publisher = {Alliance of Digital Humanities Organizations {(ADHO)}}, year = {2013}, url = {http://dh2013.unl.edu/abstracts/ab-226.html}, timestamp = {Fri, 10 Jul 2020 11:43:01 +0200}, biburl = {https://dblp.org/rec/conf/dihu/TakahashiTY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MitamuraYO13, author = {Yoshinori Mitamura and Tetsuya Yano and Eiji Okamoto}, title = {A magnetic fluid seal for rotary blood pumps: Image and computational analyses of behaviors of magnetic fluids}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {663--666}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609587}, doi = {10.1109/EMBC.2013.6609587}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/MitamuraYO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MizutaniYKYANK13, author = {Naoto Mizutani and Michi Yamane and Norihiko Kato and Ken'ichi Yano and Takaaki Aoki and Yutaka Nishimoto and Yasuyuki Kobayashi}, title = {Control of wearable motion assist robot for upper limb based on the equilibrium position estimation}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {334--337}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609505}, doi = {10.1109/EMBC.2013.6609505}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/MizutaniYKYANK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/YanovskyKG13, author = {Max Yanovsky and Vyacheslav S. Kharchenko and Anatoliy Gorbenko}, title = {Self-adaptive mobile wireless hotspot zones: Initial issues}, booktitle = {East-West Design {\&} Test Symposium, {EWDTS} 2013, Rostov-on-Don, Russia, September 27-30, 2013}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/EWDTS.2013.6673171}, doi = {10.1109/EWDTS.2013.6673171}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/YanovskyKG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fnms/YanoUMOTMYYOKA13, author = {Kazuto Yano and Masahiro Uno and Tomohiro Miyasaka and Koji Oshima and Yoshizo Tanaka and Kenichi Murakami and Takeshi Yamamoto and Hirotsugu Yamamoto and Yoji Okada and Kiyoshi Kobayashi and Masayuki Ariyoshi}, title = {Dynamic and reconfigurable {M2M} wireless network with QoE-based control}, booktitle = {2013 Future Network {\&} Mobile Summit, Lisboa, Portugal, July 3-5, 2013}, pages = {1--9}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6633589/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fnms/YanoUMOTMYYOKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/WatanabeMY13, author = {Jun{-}ichiro Watanabe and Saki Matsuda and Kazuo Yano}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {Using wearable sensor badges to improve scholastic performance}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013 - Adjunct Publication}, pages = {139--142}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2494091.2494137}, doi = {10.1145/2494091.2494137}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/WatanabeMY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccve/KamimuraOWISY13, author = {Junpei Kamimura and Masatsugu Ogawa and Hisaya Wakayama and Norihisa Iga and Naoki Shiota and Masafumi Yano}, title = {D-Taxi: Adaptive area recommendation system for taxis by using DiRAC}, booktitle = {International Conference on Connected Vehicles and Expo, {ICCVE} 2012, Las Vegas, NV, USA, December 2-6, 2013}, pages = {507--508}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCVE.2013.6799845}, doi = {10.1109/ICCVE.2013.6799845}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccve/KamimuraOWISY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icete/KawadaYMT13, author = {Yohei Kawada and Kojin Yano and Yoshihiro Mizuno and Hirofumi Terada}, editor = {Mohammad S. Obaidat and Jos{\'{e}} Luis Sevillano and Zhaoyang Zhang and David A. Marca and Marten van Sinderen and Panagiotis G. Sarigiannidis and Piero Castoldi and Victor Torres{-}Padrosa}, title = {Data Model and Data Access Control Method on Service Platform for Smart Public Infrastructure}, booktitle = {DCNET, {ICE-B} and {OPTICS} 2013 - Proceedings of the 4th International Conference on Data Communication Networking, 10th International Conference on e-Business and 4th International Conference on Optical Communication Systems, Reykjav{\'{\i}}k, Iceland, 29 - 31 July, 2013}, pages = {235--243}, publisher = {SciTePress}, year = {2013}, timestamp = {Wed, 29 Mar 2017 16:45:26 +0200}, biburl = {https://dblp.org/rec/conf/icete/KawadaYMT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/KuriyamaYYMNM13, author = {Yoshifumi Kuriyama and Hisashi Yamada and Ken'ichi Yano and Yuya Michioka and Yasunori Nemoto and Panya Minyong}, editor = {Jean{-}Louis Ferrier and Oleg Yu. Gusikhin and Kurosh Madani and Jurek Z. Sasiadek}, title = {Derivation of Control Input using Optimization with {CFD} Simulator and its Application to a Molten-metal Pouring Process}, booktitle = {{ICINCO} 2013 - Proceedings of the 10th International Conference on Informatics in Control, Automation and Robotics, Volume 1, Reykjav{\'{\i}}k, Iceland, 29 - 31 July, 2013}, pages = {235--242}, publisher = {SciTePress}, year = {2013}, url = {https://doi.org/10.5220/0004482202350242}, doi = {10.5220/0004482202350242}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/KuriyamaYYMNM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/MizutaniWYANK13, author = {Naoto Mizutani and Tatsuya Watanabe and Ken'ichi Yano and Takaaki Aoki and Yutaka Nishimoto and Yasuyuki Kobayashi}, title = {A wheelchair operation assistance control for a wearable robot using the user's residual function}, booktitle = {{IEEE} 13th International Conference on Rehabilitation Robotics, {ICORR} 2013, Seattle, WA, USA, June 24-26, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICORR.2013.6650465}, doi = {10.1109/ICORR.2013.6650465}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icorr/MizutaniWYANK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KobayashiMYM13, author = {Yosuke Kobayashi and Mamoru Minami and Akira Yanou and Tomohide Maeba}, title = {Dynamic Reconfiguration Manipulability analyses of humanoid bipedal walking}, booktitle = {2013 {IEEE} International Conference on Robotics and Automation, Karlsruhe, Germany, May 6-10, 2013}, pages = {4779--4784}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICRA.2013.6631258}, doi = {10.1109/ICRA.2013.6631258}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/KobayashiMYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icserv/XuOHONAYM13, author = {Bujie Xu and Ken{-}ichiro Ogawa and Naoki Higo and Taiki Ogata and Takayuki Nozawa and Koji Ara and Kazuo Yano and Yoshihiro Miyake}, editor = {Masaaki Mochimaru and Kanji Ueda and Takeshi Takenaka}, title = {Analysis of Service Network in Terms of the Synchronization of Body Movements During Face-to-Face Communication}, booktitle = {Serviceology for Services, Selected papers of the 1st International Conference of Serviceology, ICServ 2013, Tokyo, Japan, 16-18 October 2013}, pages = {69--74}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-4-431-54816-4\_8}, doi = {10.1007/978-4-431-54816-4\_8}, timestamp = {Wed, 11 Mar 2020 13:12:39 +0100}, biburl = {https://dblp.org/rec/conf/icserv/XuOHONAYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/YanouMMK13, author = {Akira Yanou and Mamoru Minami and Tomohide Maeba and Yosuke Kobayashi}, title = {A first step of humanoid's walking by two degree-of-freedom generalized predictive control combined with Visual Lifting Stabilization}, booktitle = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, pages = {6359--6364}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IECON.2013.6700182}, doi = {10.1109/IECON.2013.6700182}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/YanouMMK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/MinamiYY13, author = {Mamoru Minami and Fujia Yu and Akira Yanou}, title = {Lyapunov-stable position/force control based on dual nature in constraint motion}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {2894--2901}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6696766}, doi = {10.1109/IROS.2013.6696766}, timestamp = {Tue, 05 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/iros/MinamiYY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/HardyKSSOS13, author = {Damien Hardy and Marios Kleanthous and Isidoros Sideris and Ali G. Saidi and Emre Ozer and Yiannakis Sazeides}, title = {An analytical framework for estimating {TCO} and exploring data center design space}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {54--63}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557146}, doi = {10.1109/ISPASS.2013.6557146}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/HardyKSSOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/LeeMYKN10, author = {Gyetak Lee and Mamoru Minami and Akira Yanou and Yosuke Kobayashi and Jumpei Nishiguchi}, title = {Walking analyses of a humanoid by visual-lifting approach}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695714}, doi = {10.1109/ISR.2013.6695714}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/LeeMYKN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwpc/KobayashiKYKM13, author = {Kenichi Kobayashi and Manabu Kamimura and Keisuke Yano and Koki Kato and Akihiko Matsuo}, title = {SArF map: Visualizing software architecture from feature and layer viewpoints}, booktitle = {{IEEE} 21st International Conference on Program Comprehension, {ICPC} 2013, San Francisco, CA, USA, 20-21 May, 2013}, pages = {43--52}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICPC.2013.6613832}, doi = {10.1109/ICPC.2013.6613832}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwpc/KobayashiKYKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/YanoM13, author = {Hitoshi Yano and Kota Matsui}, editor = {Junzo Watada and Lakhmi C. Jain and Robert J. Howlett and Naoto Mukai and Koichi Asakura}, title = {Hierarchical Multiobjective Fuzzy Random Linear Programming Problems}, booktitle = {17th International Conference in Knowledge Based and Intelligent Information and Engineering Systems, {KES} 2013, Kitakyushu, Japan, 9-11 September 2013}, series = {Procedia Computer Science}, volume = {22}, pages = {162--171}, publisher = {Elsevier}, year = {2013}, url = {https://doi.org/10.1016/j.procs.2013.09.092}, doi = {10.1016/J.PROCS.2013.09.092}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/kes/YanoM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kmo/MoriwakiYS13, author = {Norihiko Moriwaki and Kazuo Yano and Dai Senoo}, editor = {Lorna Uden and Leon S. L. Wang and Juan Manuel Corchado Rodr{\'{\i}}guez and Hsin{-}Chang Yang and I{-}Hsien Ting}, title = {Sensor-Data-Driven Knowledge Creation Model: {A} Model and Empirical Test}, booktitle = {The 8th International Conference on Knowledge Management in Organizations, Social and Big Data Computing for Knowledge Management, {KMO} 2013, Kaohsiung, Taiwan, 9-13 September 2013}, series = {Springer Proceedings in Complexity}, pages = {127--137}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7287-8\_11}, doi = {10.1007/978-94-007-7287-8\_11}, timestamp = {Fri, 19 May 2017 01:26:07 +0200}, biburl = {https://dblp.org/rec/conf/kmo/MoriwakiYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ladc/XiangMTYSM13, author = {Jianwen Xiang and Fumio Machida and Kumiko Tadano and Kazuo Yanoo and Wei Sun and Yoshiharu Maeno}, title = {A Static Analysis of Dynamic Fault Trees with Priority-AND Gates}, booktitle = {Sixth Latin-American Symposium on Dependable Computing, {LADC} 2013, Rio de Janeiro, Brazil, April 1-5, 2013}, pages = {58--67}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/LADC.2013.14}, doi = {10.1109/LADC.2013.14}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ladc/XiangMTYSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SazeidesOKNKA13, author = {Yiannakis Sazeides and Emre {\"{O}}zer and Danny Kershaw and Panagiota Nikolaou and Marios Kleanthous and Jaume Abella}, editor = {Matthew K. Farrens and Christos Kozyrakis}, title = {Implicit-storing and redundant-encoding-of-attribute information in error-correction-codes}, booktitle = {The 46th Annual {IEEE/ACM} International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7-11, 2013}, pages = {160--171}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2540708.2540723}, doi = {10.1145/2540708.2540723}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/SazeidesOKNKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/IpLBBHTMHWKKWLM13, author = {Ezra Ip and Ming{-}Jun Li and Kevin Bennett and Scott R. Bickham and Yue{-}Kai Huang and Akihiro Tanaka and Eduardo Mateo and Junqiang Hu and Ting Wang and Andrey Korolev and Konstantin Koreshkov and William Wood and Jesus Linares and Carlos Montero and Vicente Moreno and Xesus Prieto and Yutaka Yano and Yoshiaki Aono and Tsutomo Tajima and Kiyoshi Fukuchi}, title = {6{\texttimes}28-Gbaud few-mode recirculating loop transmission with gain-equalized inline few-mode fiber amplifier}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6533202}, timestamp = {Thu, 26 Sep 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/ofc/IpLBBHTMHWKKWLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/IpLBHTKKWMHY13, author = {Ezra Ip and Ming{-}Jun Li and Kevin Bennett and Yue{-}Kai Huang and Akihiro Tanaka and Andrey Korolev and Konstantin Koreshkov and William A. Wood and Eduardo Mateo and Junqiang Hu and Yutaka Yano}, title = {146{\(\lambda\)}{\texttimes}6{\texttimes}19-Gbaud wavelength- and mode-division multiplexed transmission over 10{\texttimes}50-km spans of few-mode fiber with a gain-equalized few-mode {EDFA}}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6533222}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/IpLBHTKKWMHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/MoriwakiHOYS13, author = {Norihiko Moriwaki and Miki Hayakawa and Norio Ohkubo and Kazuo Yano and Dai Senoo}, editor = {Jae{-}Nam Lee and Ji{-}Ye Mao and James Y. L. Thong}, title = {Sensor-based Knowledge Discovery from a Large Quantity of Situational Variables}, booktitle = {17th Pacific Asia Conference on Information Systems, {PACIS} 2013, Jeju Island, Korea, June 18-22, 2013}, pages = {257}, year = {2013}, url = {http://aisel.aisnet.org/pacis2013/257}, timestamp = {Tue, 08 Apr 2014 14:41:43 +0200}, biburl = {https://dblp.org/rec/conf/pacis/MoriwakiHOYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/NakadaMYN13, author = {Takashi Nakada and Shinobu Miwa and Keisuke Y. Yano and Hiroshi Nakamura}, title = {Performance modeling for designing NoC-based multiprocessors}, booktitle = {Proceedings of the 24th {IEEE} International Symposium on Rapid System Prototyping, {RSP} 2013, Montreal, QC, Canada, October 3-4, 2013}, pages = {30--36}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RSP.2013.6683955}, doi = {10.1109/RSP.2013.6683955}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/NakadaMYN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/SerizawaYMMFK13, author = {Yasutaka Serizawa and Takashi Yano and Masayuki Miyazaki and Kenichi Mizugaki and Ryosuke Fujiwara and Masaru Kokubo}, title = {Verification of interference avoidance effect with Adaptive Channel Diversity method based on ISA100.11a standard}, booktitle = {2013 {IEEE} Radio and Wireless Symposium, Austin, TX, USA, January 20-23, 2013}, pages = {361--363}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RWS.2013.6486741}, doi = {10.1109/RWS.2013.6486741}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/rws/SerizawaYMMFK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/KawaguchiSYF13, author = {Tomoyuki Kawaguchi and Ryota Sakamoto and Ken'ichi Yano and Mustapha S. Fofana}, title = {Equalization control of machining surface by cutting depth estimation using machining support system}, booktitle = {Proceedings of the 2013 {IEEE/SICE} International Symposium on System Integration, {SII} 2013, Kobe, Japan, December 15-17, 2013}, pages = {574--579}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SII.2013.6776735}, doi = {10.1109/SII.2013.6776735}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/sii/KawaguchiSYF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WashizuMNYHW13, author = {Manabu Washizu and Shuhei Morioka and Isao Nambu and Shohei Yano and Haruhide Hokari and Yasuhiro Wada}, title = {Improving the Localization Accuracy of Virtual Sound Source through Reinforcement Learning}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {4378--4383}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.747}, doi = {10.1109/SMC.2013.747}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/WashizuMNYHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/YonezawaMKYAKN13, author = {Keishi Yonezawa and Naoto Mizutani and Norihiko Kato and Ken'ichi Yano and Takaaki Aoki and Yasuyuki Kobayashi and Yutaka Nishimoto}, title = {Extension Force Control Considering Contact with an Object Using a Wearable Robot for an Upper Limb}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {3555--3560}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.606}, doi = {10.1109/SMC.2013.606}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/YonezawaMKYAKN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/WatanabeYM13, author = {Jun{-}ichiro Watanabe and Kazuo Yano and Saki Matsuda}, title = {Relationship between Physical Behaviors of Students and Their Scholastic Performance}, booktitle = {2013 {IEEE} 10th International Conference on Ubiquitous Intelligence and Computing and 2013 {IEEE} 10th International Conference on Autonomic and Trusted Computing, {UIC/ATC} 2013, Vietri sul Mare, Sorrento Peninsula, Italy, December 18-21, 2013}, pages = {170--177}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/UIC-ATC.2013.86}, doi = {10.1109/UIC-ATC.2013.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/WatanabeYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/SonobeTMYBUK13, author = {Satoshi Sonobe and Satoshi Tsukamoto and Takahiro Maeda and Kazuto Yano and Hiroshi Ban and Masahiro Uno and Kiyoshi Kobayashi}, title = {Field experiments of LTE-Advanced-based 8{\texttimes}8 multiuser {MIMO} system with vector perturbation}, booktitle = {11th International Symposium and Workshops on Modeling and Optimization in Mobile, Ad Hoc and Wireless Networks, WiOpt 2013, Tsukuba Science City, Japan, May 13-17, 2013}, pages = {83--88}, publisher = {{IEEE}}, year = {2013}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2013/SonobeTMYBUK13.pdf}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/SonobeTMYBUK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/UnoMYATOK13, author = {Masahiro Uno and Tomohiro Miyasaka and Kazuto Yano and Masayuki Ariyoshi and Satoshi Tsukamoto and Koji Oshima and Kiyoshi Kobayashi}, title = {A proposal of QoE based self-organized wireless system considering the measurement results in a major hospital}, booktitle = {11th International Symposium and Workshops on Modeling and Optimization in Mobile, Ad Hoc and Wireless Networks, WiOpt 2013, Tsukuba Science City, Japan, May 13-17, 2013}, pages = {101--106}, publisher = {{IEEE}}, year = {2013}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2013/UnoMYATOK13.pdf}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/UnoMYATOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KobayashiKKYM13, author = {Kenichi Kobayashi and Manabu Kamimura and Koki Kato and Keisuke Yano and Akihiko Matsuo}, title = {Feature-Gathering Dependency-Based Software Clustering Using Dedication and Modularity}, journal = {CoRR}, volume = {abs/1306.2096}, year = {2013}, url = {http://arxiv.org/abs/1306.2096}, eprinttype = {arXiv}, eprint = {1306.2096}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/KobayashiKKYM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KobayashiKYKM13, author = {Kenichi Kobayashi and Manabu Kamimura and Keisuke Yano and Koki Kato and Akihiko Matsuo}, title = {SArF Map: Visualizing Software Architecture from Feature and Layer Viewpoints}, journal = {CoRR}, volume = {abs/1306.0958}, year = {2013}, url = {http://arxiv.org/abs/1306.0958}, eprinttype = {arXiv}, eprint = {1306.0958}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/KobayashiKYKM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/afs/YanoS12, author = {Hitoshi Yano and Masatoshi Sakawa}, title = {Interactive Multiobjective Fuzzy Random Linear Programming through Fractile Criteria}, journal = {Adv. Fuzzy Syst.}, volume = {2012}, pages = {521080:1--521080:9}, year = {2012}, url = {https://doi.org/10.1155/2012/521080}, doi = {10.1155/2012/521080}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/afs/YanoS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/MatsudaOY12, author = {Yuma Matsuda and Masatsugu Ogawa and Masafumi Yano}, title = {Visual shape representation with geometrically characterized contour partitions}, journal = {Biol. Cybern.}, volume = {106}, number = {4-5}, pages = {295--305}, year = {2012}, url = {https://doi.org/10.1007/s00422-012-0496-4}, doi = {10.1007/S00422-012-0496-4}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/MatsudaOY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/AraASTMYY12, author = {Koji Ara and Tomoaki Akitomi and Nobuo Sato and Kunio Takahashi and Hideyuki Maeda and Kazuo Yano and Masao Yanagisawa}, title = {Integrating Wearable Sensor Technology into Project-management Process}, journal = {Inf. Media Technol.}, volume = {7}, number = {2}, pages = {882--894}, year = {2012}, url = {https://doi.org/10.11185/imt.7.882}, doi = {10.11185/IMT.7.882}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/AraASTMYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/YanoD12, author = {Masayuki Yano and David L. Darmofal}, title = {An optimization-based framework for anisotropic simplex mesh adaptation}, journal = {J. Comput. Phys.}, volume = {231}, number = {22}, pages = {7626--7649}, year = {2012}, url = {https://doi.org/10.1016/j.jcp.2012.06.040}, doi = {10.1016/J.JCP.2012.06.040}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/YanoD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/GotoMATKHAMKIYO12, author = {Masami Goto and Tosiaki Miyati and Osamu Abe and Hidemasa Takao and Tomomi Kurosu and Naoto Hayashi and Shigeki Aoki and Harushi Mori and Akira Kunimatsu and Kenji Ino and Keiichi Yano and Kuni Ohtomo}, title = {Repeatability of Measured Brain Volume by Atlas-Based Method Using T1-Weighted Image}, journal = {J. Digit. Imaging}, volume = {25}, number = {1}, pages = {173--178}, year = {2012}, url = {https://doi.org/10.1007/s10278-011-9412-z}, doi = {10.1007/S10278-011-9412-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/GotoMATKHAMKIYO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jip/AraASTMYY12, author = {Koji Ara and Tomoaki Akitomi and Nobuo Sato and Kunio Takahashi and Hideyuki Maeda and Kazuo Yano and Masao Yanagisawa}, title = {Integrating Wearable Sensor Technology into Project-management Process}, journal = {J. Inf. Process.}, volume = {20}, number = {2}, pages = {406--418}, year = {2012}, url = {https://doi.org/10.2197/ipsjjip.20.406}, doi = {10.2197/IPSJJIP.20.406}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jip/AraASTMYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/Mattiello-FranciscoMCY12, author = {Maria de F{\'{a}}tima Mattiello{-}Francisco and Eliane Martins and Ana R. Cavalli and Edgar Toshiro Yano}, title = {InRob: An approach for testing interoperability and robustness of real-time embedded software}, journal = {J. Syst. Softw.}, volume = {85}, number = {1}, pages = {3--15}, year = {2012}, url = {https://doi.org/10.1016/j.jss.2011.02.034}, doi = {10.1016/J.JSS.2011.02.034}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/Mattiello-FranciscoMCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/HallWJHMYSP12, author = {Rasheeda K. Hall and Virginia Wang and George L. Jackson and Bradley G. Hammill and Matthew L. Maciejewski and Elizabeth M. Yano and Laura P. Svetkey and Uptal D. Patel}, title = {Implementation of automated reporting of estimated glomerular filtration rate among Veterans Affairs laboratories: a retrospective study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {12}, pages = {69}, year = {2012}, url = {https://doi.org/10.1186/1472-6947-12-69}, doi = {10.1186/1472-6947-12-69}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/HallWJHMYSP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/HouYMMK12, author = {Yang Hou and Akira Yanou and Mamoru Minami and Takayuki Matsuno and Yosuke Kobayashi}, title = {Comparison between first and second order prediction for on-line configuration control of redundant manipulator based on {AMSIP}}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2012, Dubrovnik, Croatia, October 3-5, 2012}, pages = {526--532}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCA.2012.6402402}, doi = {10.1109/CCA.2012.6402402}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/HouYMMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/MizutaniKY12, author = {Naoto Mizutani and Norihiko Kato and Ken'ichi Yano}, title = {A novel haptic display based on curvature estimation and its application to a machining support robot}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2012, Dubrovnik, Croatia, October 3-5, 2012}, pages = {498--503}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCA.2012.6402372}, doi = {10.1109/CCA.2012.6402372}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/MizutaniKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsc/SatoMYH12, author = {Toshinori Sato and Hideki Mori and Rikiya Yano and Takanori Hayashida}, editor = {Mark Reynolds and Bruce H. Thomas}, title = {Importance of Single-Core Performance in the Multicore Era}, booktitle = {Thirty-Fifth Australasian Computer Science Conference, {ACSC} 2012, Melbourne, Australia, January 2012}, series = {{CRPIT}}, volume = {122}, pages = {107--114}, publisher = {Australian Computer Society}, year = {2012}, url = {http://crpit.scem.westernsydney.edu.au/abstracts/CRPITV122Sato.html}, timestamp = {Fri, 02 Jul 2021 14:10:58 +0200}, biburl = {https://dblp.org/rec/conf/acsc/SatoMYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/UemoriIKDKMNAHYGTY12, author = {Satoshi Uemori and Masamichi Ishii and Haruo Kobayashi and Yuta Doi and Osamu Kobayashi and Tatsuji Matsuura and Kiichi Niitsu and Yuta Arakawa and Daiki Hirabayashi and Yuji Yano and Tatsuhiro Gake and Nobukazu Takai and Takahiro J. Yamaguchi}, title = {Multi-bit sigma-delta {TDC} architecture with self-calibration}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {671--674}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6419124}, doi = {10.1109/APCCAS.2012.6419124}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/UemoriIKDKMNAHYGTY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KurodaYIYIS12, author = {Yasuto Kuroda and Yuji Yano and Hisashi Iwamoto and Koji Yamamoto and Kazunari Inoue and Masahiro Suzuki}, title = {A 200Msps, 0.6W eDRAM-based search engine applying full-route capacity dedicated {FIB} application}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330671}, doi = {10.1109/CICC.2012.6330671}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KurodaYIYIS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dev/ChenKYHP12, author = {Kuang Chen and Akshay Kannan and Yoriyasu Yano and Joseph M. Hellerstein and Tapan S. Parikh}, editor = {Ed Cutrell and Ellen W. Zegura and Gaetano Borriello and Bill Thies}, title = {Shreddr: pipelined paper digitization for low-resource organizations}, booktitle = {{ACM} Annual Symposium on Computing for Development, {ACM} {DEV} '12, Atlanta, GA, {USA} - March 10 - 11, 2012}, pages = {3:1--3:10}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2160601.2160605}, doi = {10.1145/2160601.2160605}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dev/ChenKYHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MiyashitaKYK12, author = {Koji Miyashita and Ken'ichi Kanazawa and Ken'ichi Yano and Masanori Kakuda}, title = {New method for liquid-medication filling systems}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {6483--6486}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347479}, doi = {10.1109/EMBC.2012.6347479}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MiyashitaKYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MilojevicIJOLPPNHFS12, author = {Dragomir Milojevic and Sachin Idgunji and Djordje Jevdjic and Emre Ozer and Pejman Lotfi{-}Kamran and Andreas Panteli and Andreas Prodromou and Chrysostomos Nicopoulos and Damien Hardy and Babak Falsafi and Yiannakis Sazeides}, title = {Thermal characterization of cloud workloads on a power-efficient server-on-chip}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {175--182}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378637}, doi = {10.1109/ICCD.2012.6378637}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/MilojevicIJOLPPNHFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/MoriokaNYHW12, author = {Shuhei Morioka and Isao Nambu and Shohei Yano and Haruhide Hokari and Yasuhiro Wada}, editor = {Tingwen Huang and Zhigang Zeng and Chuandong Li and Chi{-}Sing Leung}, title = {Adaptive Modeling of HRTFs Based on Reinforcement Learning}, booktitle = {Neural Information Processing - 19th International Conference, {ICONIP} 2012, Doha, Qatar, November 12-15, 2012, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {7666}, pages = {423--430}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34478-7\_52}, doi = {10.1007/978-3-642-34478-7\_52}, timestamp = {Tue, 30 Jun 2020 11:04:50 +0200}, biburl = {https://dblp.org/rec/conf/iconip/MoriokaNYHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsm/KobayashiKKYM12, author = {Kenichi Kobayashi and Manabu Kamimura and Koki Kato and Keisuke Yano and Akihiko Matsuo}, title = {Feature-gathering dependency-based software clustering using Dedication and Modularity}, booktitle = {28th {IEEE} International Conference on Software Maintenance, {ICSM} 2012, Trento, Italy, September 23-28, 2012}, pages = {462--471}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICSM.2012.6405308}, doi = {10.1109/ICSM.2012.6405308}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsm/KobayashiKKYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcci/YanoS12, author = {Hitoshi Yano and Masatoshi Sakawa}, editor = {Agostinho C. Rosa and Ant{\'{o}}nio Dourado Correia and Kurosh Madani and Joaquim Filipe and Janusz Kacprzyk}, title = {Interactive Fuzzy Decision Making for Multiobjective Fuzzy Random Linear Programming Problems}, booktitle = {{IJCCI} 2012 - Proceedings of the 4th International Joint Conference on Computational Intelligence, Barcelona, Spain, 5 - 7 October, 2012}, pages = {319--328}, publisher = {SciTePress}, year = {2012}, timestamp = {Fri, 30 Nov 2012 20:53:53 +0100}, biburl = {https://dblp.org/rec/conf/ijcci/YanoS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcci/YanoS12a, author = {Hitoshi Yano and Masatoshi Sakawa}, editor = {Kurosh Madani and Ant{\'{o}}nio Dourado Correia and Agostinho C. Rosa and Joaquim Filipe}, title = {Interactive Fuzzy Decision Making for Multiobjective Fuzzy Random Linear Programming Problems and Its Application to a Crop Planning Problem}, booktitle = {Computational Intelligence - International Joint Conference, {IJCCI} 2012 Barcelona, Spain, October 5-7, 2012 Revised Selected Papers}, series = {Studies in Computational Intelligence}, volume = {577}, pages = {143--157}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-319-11271-8\_10}, doi = {10.1007/978-3-319-11271-8\_10}, timestamp = {Tue, 16 Aug 2022 23:04:36 +0200}, biburl = {https://dblp.org/rec/conf/ijcci/YanoS12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipmu/MitsuharaMTKKY12, author = {Hiroyuki Mitsuhara and Katsuhiko Moriya and Kazumoto Tanaka and Junko Kagawa and Kazuhide Kanenishi and Yoneo Yano}, editor = {Salvatore Greco and Bernadette Bouchon{-}Meunier and Giulianella Coletti and Mario Fedrizzi and Benedetto Matarazzo and Ronald R. Yager}, title = {Teacher Support for Theatrical Learning Support in Lectutainment - Seeing through Students Using Augmented Reality}, booktitle = {Advances on Computational Intelligence - 14th International Conference on Information Processing and Management of Uncertainty in Knowledge-Based Systems, {IPMU} 2012, Catania, Italy, July 9-13, 2012. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {298}, pages = {405--414}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31715-6\_43}, doi = {10.1007/978-3-642-31715-6\_43}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipmu/MitsuharaMTKKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/XiangMTYSM12, author = {Jianwen Xiang and Fumio Machida and Kumiko Tadano and Kazuo Yanoo and Wei Sun and Yoshiharu Maeno}, title = {Combinatorial Analysis of Dynamic Fault Trees with Priority-AND Gates}, booktitle = {23rd {IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} Workshops, Dallas, TX, USA, November 27-30, 2012}, pages = {3--4}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISSREW.2012.27}, doi = {10.1109/ISSREW.2012.27}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issre/XiangMTYSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlearn/YanowGF12, author = {Mireille Yanow and Peter Galuardi and Justin Fort}, editor = {Marcus Specht and Mike Sharples and Jari Multisilta}, title = {Thuze: The Interactive Mobile Learning Solution (Industry Showcase)}, booktitle = {Proceedings of the 11th International Conference on Mobile and Contextual Learning, mLearn 2012, Helsinki, Finland, October 16 -18, 2012}, series = {{CEUR} Workshop Proceedings}, volume = {955}, pages = {308--309}, publisher = {CEUR-WS.org}, year = {2012}, url = {https://ceur-ws.org/Vol-955/papers/paper\_14.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:46 +0100}, biburl = {https://dblp.org/rec/conf/mlearn/YanowGF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mum/MuraoYTM12, author = {Kazuya Murao and Ai Yano and Tsutomu Terada and Ryuichi Matsukura}, editor = {Enrico Rukzio}, title = {Evaluation study on sensor placement and gesture selection for mobile devices}, booktitle = {11th International Conference on Mobile and Ubiquitous Multimedia, {MUM} '12, Ulm, Germany, December 4-6, 2012}, pages = {7}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2406367.2406376}, doi = {10.1145/2406367.2406376}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mum/MuraoYTM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/HouYMKO12, author = {Yang Hou and Akira Yanou and Mamoru Minami and Yosuke Kobayashi and Satoshi Okazaki}, title = {Performance of first-order configuration prediction for redundant manipulators based on avoidance manipulability}, booktitle = {The 6th International Conference on Soft Computing and Intelligent Systems (SCIS), and The 13th International Symposium on Advanced Intelligence Systems (ISIS), Kobe, Japan, November 20-24, 2012}, pages = {203--209}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SCIS-ISIS.2012.6505132}, doi = {10.1109/SCIS-ISIS.2012.6505132}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/HouYMKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/HigoOONAYM12, author = {Naoki Higo and Taiki Ogata and Eisuke Ono and Takayuki Nozawa and Koji Ara and Kazuo Yano and Yoshihiro Miyake}, title = {Interpersonal entrainment of body sway in everyday face-to-face communication}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {906--911}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SII.2012.6427363}, doi = {10.1109/SII.2012.6427363}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/HigoOONAYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/KobayashiMYM12, author = {Yosuke Kobayashi and Mamoru Minami and Akira Yanou and Tomohide Maeba}, title = {Dynamic reconfiguration manipulability analyses of redundant robot and humanoid walking}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {73--78}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SII.2012.6426955}, doi = {10.1109/SII.2012.6426955}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/KobayashiMYM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/TanimotoMYT12, author = {Hiro Tanimoto and Mamoru Minami and Akira Yanou and Masaki Takebayashi}, title = {Continuous shape-grinding experiment based on constraint-combined force / position hybrid control method}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {464--469}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SII.2012.6427277}, doi = {10.1109/SII.2012.6427277}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sii/TanimotoMYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialinformatics/WatanabeFYKH12, author = {Jun{-}ichiro Watanabe and Marina Fujita and Kazuo Yano and Hideo Kanesaka and Tomoyuki Hasegawa}, title = {Resting Time Activeness Determines Team Performance in Call Centers}, booktitle = {2012 International Conference on Social Informatics (SocialInformatics), Washington, D.C., USA, December 14-16, 2012}, pages = {26--31}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SocialInformatics.2012.40}, doi = {10.1109/SOCIALINFORMATICS.2012.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialinformatics/WatanabeFYKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HouSYUK12, author = {Yafei Hou and Satoshi Sonobe and Kazuto Yano and Masahiro Uno and Kiyoshi Kobayashi}, title = {A simple method of transmitting stream adaptation for fixed-matrix-size precoding on {MU-MIMO} transmission}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {136--141}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6213993}, doi = {10.1109/WCNC.2012.6213993}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HouSYUK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/LiOHUY12, author = {Mengmeng Li and Hiroaki Ogata and Bin Hou and Noriko Uosaki and Yoneo Yano}, title = {Personalization in Context-aware Ubiquitous Learning-Log System}, booktitle = {Seventh {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technology in Education, {WMUTE} 2012, Takamatsu, Kagawa, Japan, March 27-30, 2012}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/WMUTE.2012.14}, doi = {10.1109/WMUTE.2012.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/LiOHUY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/NowoczynskiSYSZ12, author = {Paul Nowoczynski and Jason Sommerfield and Jared Yanovich and J. Ray Scott and Zhihui Zhang and Michael Levine}, editor = {Craig Stewart}, title = {The data supercell}, booktitle = {Proceedings of the 1st Conference of the Extreme Science and Engineering Discovery Environment - Bridging from the eXtreme to the campus and beyond, {XSEDE} '12, Chicago, Illinois, USA, July 16-20, 2012}, pages = {13:1--13:11}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2335755.2335805}, doi = {10.1145/2335755.2335805}, timestamp = {Thu, 13 Jan 2022 12:04:32 +0100}, biburl = {https://dblp.org/rec/conf/xsede/NowoczynskiSYSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1205-4808, author = {Taro Takaguchi and Nobuo Sato and Kazuo Yano and Naoki Masuda}, title = {Importance of individual events in temporal networks}, journal = {CoRR}, volume = {abs/1205.4808}, year = {2012}, url = {http://arxiv.org/abs/1205.4808}, eprinttype = {arXiv}, eprint = {1205.4808}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1205-4808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1205-5109, author = {Naoki Masuda and Taro Takaguchi and Nobuo Sato and Kazuo Yano}, title = {Self-exciting point process modeling of conversation event sequences}, journal = {CoRR}, volume = {abs/1205.5109}, year = {2012}, url = {http://arxiv.org/abs/1205.5109}, eprinttype = {arXiv}, eprint = {1205.5109}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1205-5109.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1206-5286, author = {Yair Weiss and Chen Yanover and Talya Meltzer}, title = {{MAP} Estimation, Linear Programming and Belief Propagation with Convex Free Energies}, journal = {CoRR}, volume = {abs/1206.5286}, year = {2012}, url = {http://arxiv.org/abs/1206.5286}, eprinttype = {arXiv}, eprint = {1206.5286}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1206-5286.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/MatsumotoMMY11, author = {Jumpei Matsumoto and Yoshinari Makino and Haruki Miura and Masafumi Yano}, title = {A computational model of the hippocampus that represents environmental structure and goal location, and guides movement}, journal = {Biol. Cybern.}, volume = {105}, number = {2}, pages = {139--152}, year = {2011}, url = {https://doi.org/10.1007/s00422-011-0454-6}, doi = {10.1007/S00422-011-0454-6}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/MatsumotoMMY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/YamaguchiTFTKMMKINYMNKWMSI11, author = {Ryosuke Yamaguchi and Kensei Terashima and Keiki Fukumoto and Yukio Takada and Masato Kotsugi and Yuta Miyata and Kazuma Mima and Satoshi Komori and Shuichi Itoda and Yoshitaka Nakatsu and Masao Yano and Noritaka Miyamoto and Tetsuya Nakamura and Toyohiko Kinoshita and Yoshio Watanabe and Akira Manabe and Shigemasa Suga and Shin Imada}, title = {An {XMCD-PEEM} study on magnetized Dy-doped Nd-Fe-B permanent magnets}, journal = {{IBM} J. Res. Dev.}, volume = {55}, number = {4}, pages = {12}, year = {2011}, url = {https://doi.org/10.1147/JRD.2011.2159148}, doi = {10.1147/JRD.2011.2159148}, timestamp = {Wed, 04 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/YamaguchiTFTKMMKINYMNKWMSI11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/ZhusubaliyevMY11, author = {Zhanybai T. Zhusubaliyev and Erik Mosekilde and Olga O. Yanochkina}, title = {Torus bifurcations in Multilevel converter Systems}, journal = {Int. J. Bifurc. Chaos}, volume = {21}, number = {8}, pages = {2343--2356}, year = {2011}, url = {https://doi.org/10.1142/S0218127411029835}, doi = {10.1142/S0218127411029835}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/ZhusubaliyevMY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/OsunlekeDY11, author = {Ajiboye S. Osunleke and Mingcong Deng and Akira Yanou}, title = {A design procedure for control of strictly proper non-minimum phase processes with input constraints and disturbance}, journal = {Int. J. Model. Identif. Control.}, volume = {13}, number = {1/2}, pages = {46--55}, year = {2011}, url = {https://doi.org/10.1504/IJMIC.2011.040489}, doi = {10.1504/IJMIC.2011.040489}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmic/OsunlekeDY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/GotodaMOTY11, author = {Naka Gotoda and Kenji Matsuura and Shinji Otsuka and Toshio Tanaka and Yoneo Yano}, title = {Remote coaching system for runner's form with wearable wireless sensor}, journal = {Int. J. Mob. Learn. Organisation}, volume = {5}, number = {3/4}, pages = {282--298}, year = {2011}, url = {https://doi.org/10.1504/IJMLO.2011.045318}, doi = {10.1504/IJMLO.2011.045318}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/GotodaMOTY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/AltshulerYWB11, author = {Yaniv Altshuler and Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, title = {Multi-agent Cooperative Cleaning of Expanding Domains}, journal = {Int. J. Robotics Res.}, volume = {30}, number = {8}, pages = {1037--1071}, year = {2011}, url = {https://doi.org/10.1177/0278364910377245}, doi = {10.1177/0278364910377245}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/AltshulerYWB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/YuSMYD11, author = {Fujia Yu and Wei Song and Mamoru Minami and Akira Yanou and Mingcong Deng}, title = {Experimental Evaluations of Approaching Hand/Eye-Vergence Visual Servoing}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {15}, number = {7}, pages = {878--887}, year = {2011}, url = {https://doi.org/10.20965/jaciii.2011.p0878}, doi = {10.20965/JACIII.2011.P0878}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/YuSMYD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/SharabiYDS11, author = {Oz Sharabi and Chen Yanover and Ayelet Dekel and Julia M. Shifman}, title = {Optimizing energy functions for protein-protein interface design}, journal = {J. Comput. Chem.}, volume = {32}, number = {1}, pages = {23--32}, year = {2011}, url = {https://doi.org/10.1002/jcc.21594}, doi = {10.1002/JCC.21594}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/SharabiYDS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/SakuraiAOSOSHYYAAHYKOTKSSS11, author = {Nozomu Sakurai and Takeshi Ara and Yoshiyuki Ogata and Ryosuke Sano and Takashi Ohno and Kenjiro Sugiyama and Atsushi Hiruta and Kiyoshi Yamazaki and Kentaro Yano and Koh Aoki and Asaph Aharoni and Kazuki Hamada and Koji Yokoyama and Shingo Kawamura and Hirofumi Otsuka and Toshiaki Tokimatsu and Minoru Kanehisa and Hideyuki Suzuki and Kazuki Saito and Daisuke Shibata}, title = {KaPPA-View4: a metabolic pathway database for representation and analysis of correlation networks of gene co-expression and metabolite co-accumulation and omics data}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {677--684}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq989}, doi = {10.1093/NAR/GKQ989}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/SakuraiAOSOSHYYAAHYKOTKSSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuaGBRLYKTJSACRWT11, author = {Xue Hua and Boris Gutman and Christina P. Boyle and Priya Rajagopalan and Alex D. Leow and Igor Yanovsky and Anand R. Kumar and Arthur W. Toga and Clifford R. Jack Jr. and Norbert Schuff and Gene E. Alexander and Kewei Chen and Eric Reiman and Michael W. Weiner and Paul M. Thompson}, title = {Accurate measurement of brain changes in longitudinal {MRI} scans using tensor-based morphometry}, journal = {NeuroImage}, volume = {57}, number = {1}, pages = {5--14}, year = {2011}, url = {https://doi.org/10.1016/j.neuroimage.2011.01.079}, doi = {10.1016/J.NEUROIMAGE.2011.01.079}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuaGBRLYKTJSACRWT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/KleanthousS11, author = {Marios Kleanthous and Yiannakis Sazeides}, title = {{CATCH:} {A} mechanism for dynamically detecting cache-content-duplication in instruction caches}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {8}, number = {3}, pages = {11:1--11:27}, year = {2011}, url = {https://doi.org/10.1145/2019608.2019610}, doi = {10.1145/2019608.2019610}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/KleanthousS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/BarfieldBCWSMYWY11, author = {C. A. Barfield and R. S. Barney and C. H. Crudder and J. L. Wilmoth and D. S. Stevens and S. Mora{-}Garcia and M. J. Yanovsky and B. H. Weigl and J. Yanovsky}, title = {A Highly Sensitive Rapid Diagnostic Test for Chagas Disease That Utilizes a Recombinant Trypanosoma cruzi Antigen}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {3}, pages = {814--817}, year = {2011}, url = {https://doi.org/10.1109/TBME.2010.2087334}, doi = {10.1109/TBME.2010.2087334}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/BarfieldBCWSMYWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thipeac/SazeidesMCK11, author = {Yiannakis Sazeides and Andreas Moustakas and Kypros Constantinides and Marios Kleanthous}, title = {Improving Branch Prediction by Considering Affectors and Affectees Correlations}, journal = {Trans. High Perform. Embed. Archit. Compil.}, volume = {3}, pages = {69--88}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19448-1\_4}, doi = {10.1007/978-3-642-19448-1\_4}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thipeac/SazeidesMCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AraASTHWOOBMY11, author = {Koji Ara and Tomoaki Akitomi and Nobuo Sato and Satomi Tsuji and Miki Hayakawa and Yoshihiro Wakisaka and Norio Ohkubo and Rieko Otsuka and Fumiko Beniyama and Norihiko Moriwaki and Kazuo Yano}, title = {Healthcare of an organization: Using wearable sensors and feedback system for energizing workers}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {567--572}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722254}, doi = {10.1109/ASPDAC.2011.5722254}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AraASTHWOOBMY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KuriyamaYW11, author = {Yoshifumi Kuriyama and Ken'ichi Yano and Mamoru Watanabe}, title = {Layout algorithm of searching point for a {CFD} optimization problem}, booktitle = {50th {IEEE} Conference on Decision and Control and European Control Conference, 11th European Control Conference, {CDC/ECC} 2011, Orlando, FL, USA, December 12-15, 2011}, pages = {6596--6601}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CDC.2011.6160571}, doi = {10.1109/CDC.2011.6160571}, timestamp = {Wed, 24 Feb 2021 08:49:08 +0100}, biburl = {https://dblp.org/rec/conf/cdc/KuriyamaYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcai/OmatuY11, author = {Sigeru Omatu and Mitsuaki Yano}, editor = {Ajith Abraham and Juan M. Corchado and Sara Rodr{\'{\i}}guez{-}Gonz{\'{a}}lez and Juan F. De Paz Santana}, title = {Intelligent Electronic Nose System Independent on Odor Concentration}, booktitle = {International Symposium on Distributed Computing and Artificial Intelligence, {DCAI} 2011, Salamanca, Spain, 6-8 April 2011}, series = {Advances in Intelligent and Soft Computing}, volume = {91}, pages = {1--9}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19934-9\_1}, doi = {10.1007/978-3-642-19934-9\_1}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcai/OmatuY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-sda/GurbuzKYIA11, author = {Sabri Gurbuz and Masahiro Kawakita and Sumio Yano and Shoichiro Iwasawa and Hiroshi Ando}, editor = {Andrew J. Woods and Nicolas S. Holliman and Neil A. Dodgson}, title = {3D imaging for glasses-free multiview 3D displays}, booktitle = {Stereoscopic Displays and Applications XXII, San Francisco Airport, California, USA, January 23-27, 2011}, series = {{SPIE} Proceedings}, volume = {7863}, pages = {786320}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.872696}, doi = {10.1117/12.872696}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-sda/GurbuzKYIA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-sda/IwasawaKYA11, author = {Shoichiro Iwasawa and Masahiro Kawakita and Sumio Yano and Hiroshi Ando}, editor = {Andrew J. Woods and Nicolas S. Holliman and Neil A. Dodgson}, title = {Implementation of autostereoscopic {HD} projection display with dense horizontal parallax}, booktitle = {Stereoscopic Displays and Applications XXII, San Francisco Airport, California, USA, January 23-27, 2011}, series = {{SPIE} Proceedings}, volume = {7863}, pages = {78630T}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.876769}, doi = {10.1117/12.876769}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-sda/IwasawaKYA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/EbisawaKYMW11, author = {Masashi Ebisawa and Masumi Kogure and Shohei Yano and Syu{-}ichi Matsuzaki and Yasuhiro Wada}, title = {Estimation of direction of attention using {EEG} and out-of-head sound localization}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {7417--7420}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091727}, doi = {10.1109/IEMBS.2011.6091727}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/EbisawaKYMW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/YanoMS11, author = {Thaise Yano and Eliane Martins and Fabiano Luis de Sousa}, editor = {Natalio Krasnogor and Pier Luca Lanzi}, title = {A multi-objective evolutionary algorithm to obtain test cases with variable lengths}, booktitle = {13th Annual Genetic and Evolutionary Computation Conference, {GECCO} 2011, Proceedings, Dublin, Ireland, July 12-16, 2011}, pages = {1875--1882}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2001576.2001828}, doi = {10.1145/2001576.2001828}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gecco/YanoMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/AbellaQCSV11, author = {Jaume Abella and Eduardo Qui{\~{n}}ones and Francisco J. Cazorla and Yanos Sazeides and Mateo Valero}, editor = {Manolis Katevenis and Margaret Martonosi and Christos Kozyrakis and Olivier Temam}, title = {{RVC:} a mechanism for time-analyzable real-time processors with faulty caches}, booktitle = {High Performance Embedded Architectures and Compilers, 6th International Conference, HiPEAC 2011, Heraklion, Crete, Greece, January 24-26, 2011. Proceedings}, pages = {97--106}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1944862.1944878}, doi = {10.1145/1944862.1944878}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/AbellaQCSV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/SongMMZY11, author = {Wei Song and Mamoru Minami and Tomohide Maeba and Yanan Zhang and Akira Yanou}, title = {Visual Lifting stabilization of dynamic Bipedal Walking}, booktitle = {11th {IEEE-RAS} International Conference on Humanoid Robots (Humanoids 2011), Bled, Slovenia, October 26-28, 2011}, pages = {345--351}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/Humanoids.2011.6100840}, doi = {10.1109/HUMANOIDS.2011.6100840}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/SongMMZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/AoyamaNMKHY11, author = {Hiroaki Aoyama and Tomoyuki Nakao and Naruto Miyagawa and Naoki Kubota and Satoshi Horihata and Ken'ichi Yano}, title = {Development of drawing assist system for patients with cerebral palsy of the tension athetosis type}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2011, Shanghai, China, 9-13 May 2011}, pages = {4664--4669}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICRA.2011.5979888}, doi = {10.1109/ICRA.2011.5979888}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/AoyamaNMKHY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SongMYZY11, author = {Wei Song and Mamoru Minami and Fujia Yu and Yanan Zhang and Akira Yanou}, title = {3-D hand {\&} eye-vergence approaching visual servoing with Lyapunouv-stable pose tracking}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2011, Shanghai, China, 9-13 May 2011}, pages = {5210--5217}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICRA.2011.5979749}, doi = {10.1109/ICRA.2011.5979749}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/SongMYZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/YanoMS11, author = {Thaise Yano and Eliane Martins and Fabiano Luis de Sousa}, title = {{MOST:} {A} Multi-objective Search-Based Testing from {EFSM}}, booktitle = {Fourth {IEEE} International Conference on Software Testing, Verification and Validation, {ICST} 2012, Berlin, Germany, 21-25 March, 2011, Workshop Proceedings}, pages = {164--173}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICSTW.2011.37}, doi = {10.1109/ICSTW.2011.37}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icst/YanoMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbellaQCVS11, author = {Jaume Abella and Eduardo Qui{\~{n}}ones and Francisco J. Cazorla and Mateo Valero and Yanos Sazeides}, title = {RVC-based time-predictable faulty caches for safety-critical systems}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5993806}, doi = {10.1109/IOLTS.2011.5993806}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbellaQCVS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SanchezSAG11, author = {Daniel S{\'{a}}nchez and Yiannakis Sazeides and Juan L. Arag{\'{o}}n and Jos{\'{e}} M. Garc{\'{\i}}a}, title = {An analytical model for the calculation of the Expected Miss Ratio in faulty caches}, booktitle = {17th {IEEE} International On-Line Testing Symposium {(IOLTS} 2011), 13-15 July, 2011, Athens, Greece}, pages = {252--257}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IOLTS.2011.5994538}, doi = {10.1109/IOLTS.2011.5994538}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/SanchezSAG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/XiangYMTMKO11, author = {Jianwen Xiang and Kazuo Yanoo and Yoshiharu Maeno and Kumiko Tadano and Fumio Machida and Atsushi Kobayashi and Takao Osaki}, editor = {Tadashi Dohi and Bojan Cukic}, title = {Efficient Analysis of Fault Trees with Voting Gates}, booktitle = {{IEEE} 22nd International Symposium on Software Reliability Engineering, {ISSRE} 2011, Hiroshima, Japan, November 29 - December 2, 2011}, pages = {230--239}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISSRE.2011.23}, doi = {10.1109/ISSRE.2011.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/XiangYMTMKO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswc/MuraoTYM11, author = {Kazuya Murao and Tsutomu Terada and Ai Yano and Ryuichi Matsukura}, title = {Evaluating Gesture Recognition by Multiple-Sensor-Containing Mobile Devices}, booktitle = {15th {IEEE} International Symposium on Wearable Computers {(ISWC} 2011), 12-15 June 2011, San Francisco, CA, {USA}}, pages = {55--58}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISWC.2011.20}, doi = {10.1109/ISWC.2011.20}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iswc/MuraoTYM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/OgataLHUY11, author = {Hiroaki Ogata and Mengmeng Li and Bin Hou and Noriko Uosaki and Yoneo Yano}, title = {Learning by Logging: Supporting Ubiquitous Learning Using a Lifelogging Tool}, booktitle = {2011 {IEEE} International Conference on Internet of Things (iThings) {\&} 4th {IEEE} International Conference on Cyber, Physical and Social Computing (CPSCom), Dalian, China, October 19-22, 2011}, pages = {552--557}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/iThings/CPSCom.2011.56}, doi = {10.1109/ITHINGS/CPSCOM.2011.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ithings/OgataLHUY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/GotodaMOTYY11, author = {Naka Gotoda and Kenji Matsuura and Shinji Otsuka and Toshio Tanaka and Shinichi Yamagiwa and Yoneo Yano}, editor = {Andreas K{\"{o}}nig and Andreas Dengel and Knut Hinkelmann and Koichi Kise and Robert J. Howlett and Lakhmi C. Jain}, title = {A Wearable System with Virtual Competitor Based on Runner's Body Motion}, booktitle = {Knowledge-Based and Intelligent Information and Engineering Systems - 15th International Conference, {KES} 2011, Kaiserslautern, Germany, September 12-14, 2011, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6883}, pages = {21--30}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23854-3\_3}, doi = {10.1007/978-3-642-23854-3\_3}, timestamp = {Fri, 13 Sep 2019 15:47:24 +0200}, biburl = {https://dblp.org/rec/conf/kes/GotodaMOTYY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/OtsukaMGTKOY11, author = {Shinji Otsuka and Kenji Matsuura and Naka Gotoda and Toshio Tanaka and Kazuhide Kanenishi and Hiroaki Ogata and Yoneo Yano}, editor = {Andreas K{\"{o}}nig and Andreas Dengel and Knut Hinkelmann and Koichi Kise and Robert J. Howlett and Lakhmi C. Jain}, title = {Designing the Web-Community for Self-managed Training of Runners}, booktitle = {Knowledge-Based and Intelligent Information and Engineering Systems - 15th International Conference, {KES} 2011, Kaiserslautern, Germany, September 12-14, 2011, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6883}, pages = {520--528}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23854-3\_55}, doi = {10.1007/978-3-642-23854-3\_55}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/OtsukaMGTKOY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/NakaoMYMKH11, author = {Tomoyuki Nakao and Hirokazu Matsui and Ken'ichi Yano and Naruto Miyagawa and Naoki Kubota and Satoshi Horihata}, title = {Drawing assist system for cerebral palsy patients considering the involuntary movements}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {1573--1578}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181513}, doi = {10.1109/ROBIO.2011.6181513}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/NakaoMYMKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssiri/XiangYMT11, author = {Jianwen Xiang and Kazuo Yanoo and Yoshiharu Maeno and Kumiko Tadano}, title = {Automatic Synthesis of Static Fault Trees from System Models}, booktitle = {Fifth International Conference on Secure Software Integration and Reliability Improvement, {SSIRI} 2011, 27-29 June, 2011, Jeju Island, Korea}, pages = {127--136}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SSIRI.2011.32}, doi = {10.1109/SSIRI.2011.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ssiri/XiangYMT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1104-5344, author = {Taro Takaguchi and Mitsuhiro Nakamura and Nobuo Sato and Kazuo Yano and Naoki Masuda}, title = {Predictability of conversation partners}, journal = {CoRR}, volume = {abs/1104.5344}, year = {2011}, url = {http://arxiv.org/abs/1104.5344}, eprinttype = {arXiv}, eprint = {1104.5344}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1104-5344.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/advai/MakinoY10, author = {Yoshinari Makino and Masafumi Yano}, title = {Investigating the Underlying Intelligence Mechanisms of the Biological Olfactory System}, journal = {Adv. Artif. Intell.}, volume = {2010}, pages = {478107:1--478107:9}, year = {2010}, url = {https://doi.org/10.1155/2010/478107}, doi = {10.1155/2010/478107}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/advai/MakinoY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arc/ZhusubaliyevYMB10, author = {Zhanybai T. Zhusubaliyev and Olga O. Yanochkina and Erik Mosekilde and Soumitro Banerjee}, title = {Two-mode dynamics in pulse-modulated control systems}, journal = {Annu. Rev. Control.}, volume = {34}, number = {1}, pages = {62--70}, year = {2010}, url = {https://doi.org/10.1016/j.arcontrol.2010.01.001}, doi = {10.1016/J.ARCONTROL.2010.01.001}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arc/ZhusubaliyevYMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/FromerYHSWL10, author = {Menachem Fromer and Chen Yanover and Amir Harel and Ori Shachar and Yair Weiss and Michal Linial}, title = {{SPRINT:} side-chain prediction inference toolbox for multistate protein design}, journal = {Bioinform.}, volume = {26}, number = {19}, pages = {2466--2467}, year = {2010}, url = {https://doi.org/10.1093/bioinformatics/btq445}, doi = {10.1093/BIOINFORMATICS/BTQ445}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/FromerYHSWL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/YanofskyB10, author = {Corey M. Yanofsky and David R. Bickel}, title = {Validation of differential gene expression algorithms: Application comparing fold-change estimation to hypothesis testing}, journal = {{BMC} Bioinform.}, volume = {11}, pages = {63}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-63}, doi = {10.1186/1471-2105-11-63}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/YanofskyB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/MiyataTSTHAHS10, author = {Shigeharu Miyata and Nakagami Takashi and Kobayashi Sei and Izumi Tomoji and Naito Hisayoshi and Yanou Akira and Nakamura Hitomi and Takehara Shin}, title = {Improvement of Adaptive Cruise Control Performance}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/295016}, doi = {10.1155/2010/295016}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/MiyataTSTHAHS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/El-BishoutyORY10, author = {Moushir M. El{-}Bishouty and Hiroaki Ogata and Samia Rahman and Yoneo Yano}, title = {Social Knowledge Awareness Map for Computer Supported Ubiquitous Learning Environment}, journal = {J. Educ. Technol. Soc.}, volume = {13}, number = {4}, pages = {27--37}, year = {2010}, url = {http://www.ifets.info/abstract.php?art\_id=1084}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/El-BishoutyORY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JeongYTT10, author = {Kilsoo Jeong and Kazuto Yano and Satoshi Tsukamoto and Makoto Taromaru}, title = {Overlay Transmission System on Wireless {LAN} with {RTS/CTS} Exchange Taking into Account Timing Synchronization}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {3}, pages = {640--649}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.640}, doi = {10.1587/TRANSCOM.E93.B.640}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JeongYTT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdet/LiOHHLUY10, author = {Mengmeng Li and Hiroaki Ogata and Bin Hou and Satoshi Hashimoto and Yuqin Liu and Noriko Uosaki and Yoneo Yano}, title = {Development of Adaptive Kanji Learning System for Mobile Phone}, journal = {Int. J. Distance Educ. Technol.}, volume = {8}, number = {4}, pages = {29--41}, year = {2010}, url = {https://doi.org/10.4018/jdet.2010100103}, doi = {10.4018/JDET.2010100103}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdet/LiOHHLUY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijkwi/GotodaMHTY10, author = {Naka Gotoda and Kenji Matsuura and Takuji Hirano and Toshio Tanaka and Yoneo Yano}, title = {Supporting real-time awareness for the community of runners}, journal = {Int. J. Knowl. Web Intell.}, volume = {1}, number = {3/4}, pages = {289--303}, year = {2010}, url = {https://doi.org/10.1504/IJKWI.2010.034193}, doi = {10.1504/IJKWI.2010.034193}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijkwi/GotodaMHTY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijlt/OgataYEY10, author = {Hiroaki Ogata and Chengjiu Yin and Moushir M. El{-}Bishouty and Yoneo Yano}, title = {Computer supported ubiquitous learning environment for vocabulary learning}, journal = {Int. J. Learn. Technol.}, volume = {5}, number = {1}, pages = {5--24}, year = {2010}, url = {https://doi.org/10.1504/IJLT.2010.031613}, doi = {10.1504/IJLT.2010.031613}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijlt/OgataYEY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/El-BishoutyOAY10, author = {Moushir M. El{-}Bishouty and Hiroaki Ogata and Gerardo Ayala and Yoneo Yano}, title = {Context-aware support for self-directed ubiquitous-learning}, journal = {Int. J. Mob. Learn. Organisation}, volume = {4}, number = {3}, pages = {317--331}, year = {2010}, url = {https://doi.org/10.1504/IJMLO.2010.033558}, doi = {10.1504/IJMLO.2010.033558}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/El-BishoutyOAY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/OgataMHY10, author = {Hiroaki Ogata and Masayuki Miyata and Bin Hou and Yoneo Yano}, title = {{JAMIOLAS2:} supporting Japanese mimetic words and onomatopoeia learning with wireless sensor networks for overseas students}, journal = {Int. J. Mob. Learn. Organisation}, volume = {4}, number = {4}, pages = {333--345}, year = {2010}, url = {https://doi.org/10.1504/IJMLO.2010.037532}, doi = {10.1504/IJMLO.2010.037532}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/OgataMHY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuaLHYLTJBRHKSAWT10, author = {Xue Hua and Suh Lee and Derrek P. Hibar and Igor Yanovsky and Alex D. Leow and Arthur W. Toga and Clifford R. Jack Jr. and Matt A. Bernstein and Eric Reiman and Danielle J. Harvey and John Kornak and Norbert Schuff and Gene E. Alexander and Michael W. Weiner and Paul M. Thompson}, title = {Mapping Alzheimer's disease progression in 1309 {MRI} scans: Power estimates for different inter-scan intervals}, journal = {NeuroImage}, volume = {51}, number = {1}, pages = {63--75}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2010.01.104}, doi = {10.1016/J.NEUROIMAGE.2010.01.104}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuaLHYLTJBRHKSAWT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/MatsuoY10, author = {Yasutaka Matsuo and Sumio Yano}, title = {Converting Ultrahigh-Definition Video Into Digital Cinema by Using Time-Expanding Bi-Directional Motion Estimation and Higher Green Frequency}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {20}, number = {1}, pages = {65--75}, year = {2010}, url = {https://doi.org/10.1109/TCSVT.2009.2026952}, doi = {10.1109/TCSVT.2009.2026952}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/MatsuoY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/MitsuharaKY10, author = {Hiroyuki Mitsuhara and Kazuhide Kanenishi and Yoneo Yano}, editor = {Victor R. L. Shen and Henry Been{-}Lirn Duh and Masahiko Inami and Michael Haller and Yoshifumi Kitamura}, title = {What if children learn phenomena of light through real world edutainment?}, booktitle = {Proceedings of the 7th International Conference on Advances in Computer Entertainment Technology, {ACE} 2010, Taipei, Taiwan, November 17-19, 2010}, pages = {11--14}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1971630.1971634}, doi = {10.1145/1971630.1971634}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMace/MitsuharaKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/BiDY10, author = {Shuhui Bi and Mingcong Deng and Akira Yanou}, title = {Operator based control design for perturbed nonlinear systems output tracking}, booktitle = {American Control Conference, {ACC} 2010, Baltimore, Maryland, USA, June 30 - July 2, 2010}, pages = {5573--5577}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ACC.2010.5531021}, doi = {10.1109/ACC.2010.5531021}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/BiDY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/BuDY10, author = {Ni Bu and Mingcong Deng and Akira Yanou}, title = {Isomorphism-based robust right coprime factorization for nonlinear feedback control systems design}, booktitle = {American Control Conference, {ACC} 2010, Baltimore, Maryland, USA, June 30 - July 2, 2010}, pages = {3435--3439}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ACC.2010.5531094}, doi = {10.1109/ACC.2010.5531094}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/BuDY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/PokharelYAKY10, author = {Ramesh K. Pokharel and Youichi Yano and Mahmoud A. Abdelghany and Haruichi Kanaya and Keiji Yoshida}, title = {Design of high linearity low flicker noise 5.2 GHz down-conversion mixer for direct conversion receiver}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {64--67}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774950}, doi = {10.1109/APCCAS.2010.5774950}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/PokharelYAKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/NakaoHYYM10, author = {Megumi Nakao and Kei Wai Cecilia Hung and Satoshi Yano and Koji Yoshimura and Kotaro Minato}, title = {Adaptive proxy geometry for direct volume manipulation}, booktitle = {{IEEE} Pacific Visualization Symposium PacificVis 2010, Taipei, Taiwan, March 2-5, 2010}, pages = {161--168}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PACIFICVIS.2010.5429597}, doi = {10.1109/PACIFICVIS.2010.5429597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apvis/NakaoHYYM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/MoritaYK10, author = {Tetsuo Morita and Junji Yano and Kouji Kagawa}, editor = {Wiebe van der Hoek and Gal A. Kaminka and Yves Lesp{\'{e}}rance and Michael Luck and Sandip Sen}, title = {Multiagent based interpolation system for traffic condition by estimation/learning}, booktitle = {9th International Conference on Autonomous Agents and Multiagent Systems {(AAMAS} 2010), Toronto, Canada, May 10-14, 2010, Volume 1-3}, pages = {1697--1704}, publisher = {{IFAAMAS}}, year = {2010}, url = {https://dl.acm.org/citation.cfm?id=1838198}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/atal/MoritaYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/YanoverMGPB10, author = {Chen Yanover and Mari Malkki and Ted Gooley and Effie W. Petersdorf and Philip Bradley}, editor = {Aidong Zhang and Mark Borodovsky and Gultekin {\"{O}}zsoyoglu and Armin R. Mikler}, title = {How do amino acid mismatches affect the outcome of hematopoietic cell transplants?: a structural perspective}, booktitle = {Proceedings of the First {ACM} International Conference on Bioinformatics and Computational Biology, {BCB} 2010, Niagara Falls, NY, USA, August 2-4, 2010}, pages = {627--633}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854776.1854893}, doi = {10.1145/1854776.1854893}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bcb/YanoverMGPB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/DengBY10, author = {Mingcong Deng and Ni Bu and Akira Yanou}, editor = {Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {Framework of an Estimation Algorithm of Time Varying Multijoint Human Arm Viscoelasticity}, booktitle = {{BIOSIGNALS} 2010 - Proceedings of the Third International Conference on Bio-inspired Systems and Signal Processing, Valencia, Spain, January 20-23, 2010}, pages = {258--263}, publisher = {{INSTICC} Press}, year = {2010}, timestamp = {Fri, 29 Apr 2011 08:18:44 +0200}, biburl = {https://dblp.org/rec/conf/biostec/DengBY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/MichaudSS10, author = {Pierre Michaud and Yiannakis Sazeides and Andr{\'{e}} Seznec}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {Proposition for a sequential accelerator in future general-purpose manycore processors and the problem of migration-induced cache misses}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {237--246}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787330}, doi = {10.1145/1787275.1787330}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/MichaudSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/culture/NakayaYIKTKTMSI10, author = {Tomoki Nakaya and Keiji Yano and Yuzuru Isoda and Tatsunori Kawasumi and Yutaka Takase and Takashi Kirimura and Akihiro Tsukamoto and Ayako Matsumoto and Toshikazu Seto and Takafusa Iizuka}, editor = {Toru Ishida}, title = {Virtual Kyoto Project: Digital Diorama of the Past, Present, and Future of the Historical City of Kyoto}, booktitle = {Culture and Computing - Computing and Communication for Crosscultural Interaction [First International Conference on Culture and Computing, Kyoto, Japan. February 22-23, 2010]}, series = {Lecture Notes in Computer Science}, volume = {6259}, pages = {173--187}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17184-0\_14}, doi = {10.1007/978-3-642-17184-0\_14}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/culture/NakayaYIKTKTMSI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YanoSO10, author = {Takahiro Yano and Masao Shimizu and Masatoshi Okutomi}, title = {Image restoration and disparity estimation from an uncalibrated multi-layered image}, booktitle = {The Twenty-Third {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2010, San Francisco, CA, USA, 13-18 June 2010}, pages = {247--254}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CVPR.2010.5540204}, doi = {10.1109/CVPR.2010.5540204}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/YanoSO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurographics/Lopez-GulliverY10, author = {Roberto Lopez{-}Gulliver and Shunsuke Yoshida and Mao Makino and Sumio Yano and Hiroshi Ando}, editor = {Hendrik P. A. Lensch and Stefan Seipel}, title = {gCubik+i Virtual 3D Aquarium: Interfacing a Graspable 3d Display with a Tabletop Display}, booktitle = {31st Annual Conference of the European Association for Computer Graphics, Eurographics 2010 - Short Papers, Norrk{\"{o}}ping, Sweden, May 3-7, 2010}, pages = {45--48}, publisher = {Eurographics Association}, year = {2010}, url = {https://doi.org/10.2312/egsh.20101044}, doi = {10.2312/EGSH.20101044}, timestamp = {Thu, 02 Jul 2020 14:44:06 +0200}, biburl = {https://dblp.org/rec/conf/eurographics/Lopez-GulliverY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmi/SumiYN10, author = {Yasuyuki Sumi and Masaharu Yano and Toyoaki Nishida}, editor = {Wen Gao and Chin{-}Hui Lee and Jie Yang and Xilin Chen and Maxine Esk{\'{e}}nazi and Zhengyou Zhang}, title = {Analysis environment of conversational structure with nonverbal multimodal data}, booktitle = {Proceedings of the 12th International Conference on Multimodal Interfaces / 7. International Workshop on Machine Learning for Multimodal Interaction, {ICMI-MLMI} 2010, Beijing, China, November 8-12, 2010}, pages = {44:1--44:4}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1891903.1891958}, doi = {10.1145/1891903.1891958}, timestamp = {Thu, 02 Dec 2021 17:27:17 +0100}, biburl = {https://dblp.org/rec/conf/icmi/SumiYN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/SakamotoKY10, author = {Kazuhiro Sakamoto and Taichi Kumada and Masafumi Yano}, editor = {Kok Wai Wong and B. Sumudu U. Mendis and Abdesselam Bouzerdoum}, title = {A Computational Model That Enables Global Amodal Completion Based on {V4} Neurons}, booktitle = {Neural Information Processing. Theory and Algorithms - 17th International Conference, {ICONIP} 2010, Sydney, Australia, November 22-25, 2010, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6443}, pages = {9--16}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17537-4\_2}, doi = {10.1007/978-3-642-17537-4\_2}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/SakamotoKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/TsuchidaYT10, author = {Masaru Tsuchida and Keiji Yano and Hiromi T. Tanaka}, title = {Development of a High-Definition and Multispectral Image Capturing System for Digital Archiving of Early Modern Tapestries of Kyoto Gion Festival}, booktitle = {20th International Conference on Pattern Recognition, {ICPR} 2010, Istanbul, Turkey, 23-26 August 2010}, pages = {2828--2831}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPR.2010.693}, doi = {10.1109/ICPR.2010.693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/TsuchidaYT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/YamakoshiHOKSSIYSM10, author = {Ryoichi Yamakoshi and Kousuke Hirasawa and Haruhisa Okuda and Hiroshi Kage and Kazuhiko Sumi and Hidenobu Sakamoto and Yuri Ivanov and Toshihiro Yanou and Daisaku Suga and Masao Murakami}, title = {Implicit Feature-Based Alignment System for Radiotherapy}, booktitle = {20th International Conference on Pattern Recognition, {ICPR} 2010, Istanbul, Turkey, 23-26 August 2010}, pages = {2286--2289}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPR.2010.559}, doi = {10.1109/ICPR.2010.559}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/YamakoshiHOKSSIYSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icst/YanoMS10, author = {Thaise Yano and Eliane Martins and Fabiano Luis de Sousa}, title = {Generating Feasible Test Paths from an Executable Model Using a Multi-objective Approach}, booktitle = {Third International Conference on Software Testing, Verification and Validation, {ICST} 2010, Paris, France, April 7-9, 2010, Workshops Proceedings}, pages = {236--239}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICSTW.2010.52}, doi = {10.1109/ICSTW.2010.52}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icst/YanoMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icumt/ChenHLLLCHMT10, author = {Nan{-}Kuang Chen and Kuei{-}Chu Hsu and Jim{-}Wein Lin and Shien{-}Kuei Liaw and Feng{-}Zhou Liu and Yi{-}Ning Chen and Jui{-}Ming Hsu and Alina Manshina and Yuriy Tver'yanovic}, title = {Wavelength-tunable Er\({}^{\mbox{3+}}\)-doped fs mode-locked fiber laser using fundamental mode cutoff filters}, booktitle = {Proceedings of the International Conference on Ultra Modern Telecommunications, {ICUMT} 2010, 18-20 October 2010, Moscow, Russia}, pages = {1009--1011}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICUMT.2010.5676497}, doi = {10.1109/ICUMT.2010.5676497}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/icumt/ChenHLLLCHMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icumt/LiawHHCHYWMT10, author = {Shien{-}Kuei Liaw and Yu{-}Sheng Huang and Hsin{-}Kai Hung and Nan{-}Kuang Chen and Kuei{-}Chu Hsu and Yi{-}Lin Yu and Ting Wang and Alina Manshina and Yuriy Tver'yanovic}, title = {Dispersion management and gain flattened a hybrid {EDFA/RFA} with pumping recycling mechanism}, booktitle = {Proceedings of the International Conference on Ultra Modern Telecommunications, {ICUMT} 2010, 18-20 October 2010, Moscow, Russia}, pages = {1039--1041}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICUMT.2010.5676503}, doi = {10.1109/ICUMT.2010.5676503}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icumt/LiawHHCHYWMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icumt/LiawHHCHYWMT10a, author = {Shien{-}Kuei Liaw and Yu{-}Sheng Huang and Hsin{-}Kai Hung and Nan{-}Kuang Chen and Kuei{-}Chu Hsu and Yi{-}Lin Yu and Ting Wang and Alina Manshina and Yuriy Tver'yanovic}, title = {Dispersion management and gain flattened for a bridge-type hybrid amplifiers in a pumping recycling mechanism}, booktitle = {Proceedings of the International Conference on Ultra Modern Telecommunications, {ICUMT} 2010, 18-20 October 2010, Moscow, Russia}, pages = {1180--1182}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICUMT.2010.5676529}, doi = {10.1109/ICUMT.2010.5676529}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icumt/LiawHHCHYWMT10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ItoOIY10, author = {Masashi Ito and Keiji Ohara and Akinori Ito and Masafumi Yano}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {An effect of formant amplitude in vowel perception}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2490--2493}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-669}, doi = {10.21437/INTERSPEECH.2010-669}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ItoOIY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/OsumiKYS10, author = {Hisashi Osumi and Masahiro Kubo and Shisato Yano and Keiichiro Saito}, title = {Development of tele-operation system for a crane without overshoot in positioning}, booktitle = {2010 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 18-22, 2010, Taipei, Taiwan}, pages = {5799--5805}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IROS.2010.5652897}, doi = {10.1109/IROS.2010.5652897}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/OsumiKYS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KleanthousSD10, author = {Marios Kleanthous and Yiannakis Sazeides and Marios D. Dikaiakos}, editor = {Ana Lucia Varbanescu and Anca Mariana Molnos and Rob van Nieuwpoort}, title = {Extrinsic and Intrinsic Text Cloning}, booktitle = {Computer Architecture - {ISCA} 2010 International Workshops A4MMC, AMAS-BT, EAMA, WEED, WIOSCA, Saint-Malo, France, June 19-23, 2010, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6161}, pages = {324--340}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-24322-6\_26}, doi = {10.1007/978-3-642-24322-6\_26}, timestamp = {Mon, 26 Jun 2023 20:44:16 +0200}, biburl = {https://dblp.org/rec/conf/isca/KleanthousSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/GotodaMOTY10, author = {Naka Gotoda and Kenji Matsuura and Shinji Otsuka and Toshio Tanaka and Yoneo Yano}, editor = {Rossitza Setchi and Ivan Jordanov and Robert J. Howlett and Lakhmi C. Jain}, title = {A Web-Community Supporting Self-management for Runners with Annotation}, booktitle = {Knowledge-Based and Intelligent Information and Engineering Systems - 14th International Conference, {KES} 2010, Cardiff, UK, September 8-10, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6277}, pages = {620--629}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15390-7\_64}, doi = {10.1007/978-3-642-15390-7\_64}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/GotodaMOTY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/MatsuuraGUY10, author = {Kenji Matsuura and Naka Gotoda and Tetsushi Ueta and Yoneo Yano}, editor = {Rossitza Setchi and Ivan Jordanov and Robert J. Howlett and Lakhmi C. Jain}, title = {Bridging Multiple Motor-Skills in a Community Site}, booktitle = {Knowledge-Based and Intelligent Information and Engineering Systems - 14th International Conference, {KES} 2010, Cardiff, UK, September 8-10, 2010, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {6279}, pages = {145--152}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15384-6\_16}, doi = {10.1007/978-3-642-15384-6\_16}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/MatsuuraGUY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/locweb/YanoKK10, author = {Motoki Yano and Katsuhiko Kaji and Nobuo Kawaguchi}, title = {TimeTable.Locky: nation wide WiFi location information system based on user contributed information}, booktitle = {Proceedings of the Third International Workshop on Location and the Web, LocWeb 2010, Tokyo, Japan, November 29, 2010}, pages = {7}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1899662.1899669}, doi = {10.1145/1899662.1899669}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/locweb/YanoKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MitsuharaYM10, author = {Hiroyuki Mitsuhara and Yoneo Yano and Toshiyuki Moriyama}, title = {Paper-top interface for supporting note-taking and its preliminary experiment}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Istanbul, Turkey, 10-13 October 2010}, pages = {3456--3462}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSMC.2010.5642448}, doi = {10.1109/ICSMC.2010.5642448}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/MitsuharaYM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/TanakaHYTFNTCW10, author = {Hiromi T. Tanaka and Kozaburo Hachimura and Keiji Yano and Satoshi Tanaka and Kohei Furukawa and Takanobu Nishiura and Masaru Tsutida and Woong Choi and Wataru Wakita}, editor = {Hyun Seung Yang and Kazumasa Enami and Nadia Magnenat{-}Thalmann and Jim X. Chen and Seiki Inoue and Zhigeng Pan and Jong{-}Il Park}, title = {Multimodal digital archiving and reproduction of the world cultural heritage "Gion Festival in Kyoto"}, booktitle = {Proceedings of the 9th International Conference on Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2010, Seoul, Republic of Korea, December 12-13, 2010}, pages = {21--28}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1900179.1900183}, doi = {10.1145/1900179.1900183}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/TanakaHYTFNTCW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/HouOMLY10, author = {Bin Hou and Hiroaki Ogata and Masayuki Miyata and Mengmeng Li and Yoneo Yano}, editor = {Ulrich Hoppe and Roy Pea and Chen{-}Chung Liu}, title = {Development of Web-Based Japanese Mimicry and Onomatopoeia Learning Assistant System with Sensor Network}, booktitle = {6th {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2010, Kaohsiung, Taiwan, April 12-16, 2010}, pages = {117--121}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/WMUTE.2010.24}, doi = {10.1109/WMUTE.2010.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/HouOMLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/LiOHHULY10, author = {Mengmeng Li and Hiroaki Ogata and Bin Hou and Satoshi Hashimoto and Noriko Uosaki and Yuqin Liu and Yoneo Yano}, editor = {Ulrich Hoppe and Roy Pea and Chen{-}Chung Liu}, title = {Development of Adaptive Vocabulary Learning via Mobile Phone E-mail}, booktitle = {6th {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2010, Kaohsiung, Taiwan, April 12-16, 2010}, pages = {34--41}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/WMUTE.2010.9}, doi = {10.1109/WMUTE.2010.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/LiOHHULY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/OgataMHLEY10, author = {Hiroaki Ogata and Toru Misumi and Bin Hou and Mengmeng Li and Moushir M. El{-}Bishouty and Yoneo Yano}, editor = {Ulrich Hoppe and Roy Pea and Chen{-}Chung Liu}, title = {{LORAMS:} Sharing Learning Experiences with Social and Ubiquitous Media}, booktitle = {6th {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2010, Kaohsiung, Taiwan, April 12-16, 2010}, pages = {151--155}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/WMUTE.2010.18}, doi = {10.1109/WMUTE.2010.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/OgataMHLEY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/YanoverSZ09, author = {Chen Yanover and Mona Singh and Elena Zaslavsky}, title = {\emph{M} are better than one: an ensemble-based motif finder and its application to regulatory element prediction}, journal = {Bioinform.}, volume = {25}, number = {7}, pages = {868--874}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btp090}, doi = {10.1093/BIOINFORMATICS/BTP090}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/YanoverSZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/YanoS09, author = {Hitoshi Yano and Masatoshi Sakawa}, title = {A fuzzy approach to hierarchical multiobjective programming problems and its application to an industrial pollution control problem}, journal = {Fuzzy Sets Syst.}, volume = {160}, number = {22}, pages = {3309--3322}, year = {2009}, url = {https://doi.org/10.1016/j.fss.2009.06.014}, doi = {10.1016/J.FSS.2009.06.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/YanoS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hac/IsodaTKOSYNT09, author = {Yuzuru Isoda and Akihiro Tsukamoto and Yoshihiro Kosaka and Takuya Okumura and Masakazu Sawai and Keiji Yano and Susumu Nakata and Satoshi Tanaka}, title = {Reconstruction of Kyoto of the Edo era based on arts and historical documents: 3D urban model based on historical {GIS} data}, journal = {Int. J. Humanit. Arts Comput.}, volume = {3}, number = {1-2}, pages = {21--38}, year = {2009}, url = {https://doi.org/10.3366/ijhac.2009.0007}, doi = {10.3366/IJHAC.2009.0007}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hac/IsodaTKOSYNT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YanoTU09, author = {Kazuto Yano and Makoto Taromaru and Masazumi Ueba}, title = {Performance of Pre-FFT Type {MMSE} Adaptive Array Antenna with Iterative Weight Update in Presence of Sporadic Intra-System Interference}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {5}, pages = {1852--1859}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.1852}, doi = {10.1587/TRANSCOM.E92.B.1852}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YanoTU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/OgataMEY09, author = {Hiroaki Ogata and Yoshiki Matsuka and Moushir M. El{-}Bishouty and Yoneo Yano}, title = {{LORAMS:} linking physical objects and videos for capturing and sharing learning experiences towards ubiquitous learning}, journal = {Int. J. Mob. Learn. Organisation}, volume = {3}, number = {4}, pages = {337--350}, year = {2009}, url = {https://doi.org/10.1504/IJMLO.2009.027452}, doi = {10.1504/IJMLO.2009.027452}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/OgataMEY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmmsc/MartinezO09, author = {Antonio Olivas Martinez and Georgy A. Omel'yanov}, title = {Uniform in Time Description for Weak Solutions of the Hopf Equation with Nonconvex Nonlinearity}, journal = {Int. J. Math. Math. Sci.}, volume = {2009}, pages = {101647:1--101647:16}, year = {2009}, url = {https://doi.org/10.1155/2009/101647}, doi = {10.1155/2009/101647}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmmsc/MartinezO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interfaces/DrorSY09, author = {Moshe Dror and Kenneth R. Smith and Candace Arai Yano}, title = {Deux Chemicals Inc. Goes Just-in-Time}, journal = {Interfaces}, volume = {39}, number = {6}, pages = {503--515}, year = {2009}, url = {https://doi.org/10.1287/inte.1090.0456}, doi = {10.1287/INTE.1090.0456}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interfaces/DrorSY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/AndreaniMMY09, author = {Roberto Andreani and Jos{\'{e}} Mario Mart{\'{\i}}nez and Leandro Mart{\'{\i}}nez and Fl{\'{a}}vio S. Yano}, title = {Low Order-Value Optimization and applications}, journal = {J. Glob. Optim.}, volume = {43}, number = {1}, pages = {1--22}, year = {2009}, url = {https://doi.org/10.1007/s10898-008-9280-3}, doi = {10.1007/S10898-008-9280-3}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jgo/AndreaniMMY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TeradaFONNMSYMO09, author = {Takahide Terada and Ryosuke Fujiwara and Goichi Ono and Takayasu Norimatsu and Tatsuo Nakagawa and Masayuki Miyazaki and Kei Suzuki and Kazuo Yano and Akira Maeki and Yuji Ogata and Shinsuke Kobayashi and Noboru Koshizuka and Ken Sakamura}, title = {Intermittent Operation Control Scheme for Reducing Power Consumption of {UWB-IR} Receiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {10}, pages = {2702--2710}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2027533}, doi = {10.1109/JSSC.2009.2027533}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TeradaFONNMSYMO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/YanovskyLLOT09, author = {Igor Yanovsky and Alex D. Leow and Suh Lee and Stanley J. Osher and Paul M. Thompson}, title = {Comparing registration methods for mapping brain change using tensor-based morphometry}, journal = {Medical Image Anal.}, volume = {13}, number = {5}, pages = {679--700}, year = {2009}, url = {https://doi.org/10.1016/j.media.2009.06.002}, doi = {10.1016/J.MEDIA.2009.06.002}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/YanovskyLLOT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuaLYLCHGTJBRHKSAWT09, author = {Xue Hua and Suh Lee and Igor Yanovsky and Alex D. Leow and Yi{-}Yu Chou and April J. Ho and Boris Gutman and Arthur W. Toga and Clifford R. Jack Jr. and Matt A. Bernstein and Eric Reiman and Danielle J. Harvey and John Kornak and Norbert Schuff and Gene E. Alexander and Michael W. Weiner and Paul M. Thompson}, title = {Optimizing power to track brain degeneration in Alzheimer's disease and mild cognitive impairment with tensor-based morphometry: An {ADNI} study of 515 subjects}, journal = {NeuroImage}, volume = {48}, number = {4}, pages = {668--681}, year = {2009}, url = {https://doi.org/10.1016/j.neuroimage.2009.07.011}, doi = {10.1016/J.NEUROIMAGE.2009.07.011}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuaLYLCHGTJBRHKSAWT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LeowYPHLTJBBGWBSTFHKSAWTa09, author = {Alex D. Leow and Igor Yanovsky and Neelroop Parikshak and Xue Hua and Suh Lee and Arthur W. Toga and Clifford R. Jack Jr. and Matt A. Bernstein and Paula J. Britson and Jeffrey L. Gunter and Chadwick P. Ward and Bret J. Borowski and Leslie M. Shaw and John Q. Trojanowski and Adam Fleisher and Danielle J. Harvey and John Kornak and Norbert Schuff and Gene E. Alexander and Michael W. Weiner and Paul M. Thompson and Alzheimer's Disease Neuroimaging Initiative}, title = {Alzheimer's Disease Neuroimaging Initiative: {A} one-year follow up study using tensor-based morphometry correlating degenerative rates, biomarkers and cognition}, journal = {NeuroImage}, volume = {45}, number = {3}, pages = {645--655}, year = {2009}, url = {https://doi.org/10.1016/j.neuroimage.2009.01.004}, doi = {10.1016/J.NEUROIMAGE.2009.01.004}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/LeowYPHLTJBBGWBSTFHKSAWTa09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tedu/TarumiYDKITHY09, author = {Hiroyuki Tarumi and Keitaro Yamada and Takafumi Daikoku and Fusako Kusunoki and Shigenori Inagaki and Makiko Takenaka and Toshihiro Hayashi and Masahiko Yano}, title = {KEI-Time Traveler: {A} Virtual Time Machine with Mobile Phones for Learning Local History}, journal = {Trans. Edutainment}, volume = {2}, pages = {258--281}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03270-7\_18}, doi = {10.1007/978-3-642-03270-7\_18}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tedu/TarumiYDKITHY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KuriyamaHYW09, author = {Yoshifumi Kuriyama and Shuichiro Hayashi and Ken'ichi Yano and Mamoru Watanabe}, title = {Solution search algorithm for a {CFD} optimization problem with multimodal solution space}, booktitle = {Proceedings of the 48th {IEEE} Conference on Decision and Control, {CDC} 2009, combined withe the 28th Chinese Control Conference, December 16-18, 2009, Shanghai, China}, pages = {5556--5561}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CDC.2009.5400402}, doi = {10.1109/CDC.2009.5400402}, timestamp = {Fri, 04 Mar 2022 13:27:41 +0100}, biburl = {https://dblp.org/rec/conf/cdc/KuriyamaHYW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/KuriharaTNYKM09, author = {Satoshi Kurihara and Hiroshi Tamaki and Masayuki Numao and Junji Yano and Kouji Kagawa and Tetsuo Morita}, title = {Traffic congestion forecasting based on pheromone communication model for intelligent transport systems}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2009, Trondheim, Norway, 18-21 May, 2009}, pages = {2879--2884}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CEC.2009.4983304}, doi = {10.1109/CEC.2009.4983304}, timestamp = {Thu, 16 Dec 2021 14:01:55 +0100}, biburl = {https://dblp.org/rec/conf/cec/KuriharaTNYKM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/IwanamiKKHYNTYM09, author = {Takuya Iwanami and Ayano Kikuchi and Takashi Kaneko and Keita Hirai and Natsumi Yano and Toshiya Nakaguchi and Norimichi Tsumura and Yasuhiro Yoshida and Yoichi Miyake}, editor = {Reiner Eschbach and Gabriel G. Marcu and Shoji Tominaga and Alessandro Rizzi}, title = {The relationship between ambient illumination and psychological factors in viewing of display Images}, booktitle = {Color Imaging {XIV:} Displaying, Processing, Hardcopy, and Applications, San Jose, CA, USA, January 20-22, 2009}, series = {{SPIE} Proceedings}, volume = {7241}, pages = {72410L}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.810118}, doi = {10.1117/12.810118}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clrimg/IwanamiKKHYNTYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SekineFTNHIYHIW09, author = {Tsuyoshi Sekine and Ryuichi Fujimoto and Yoshimitsu Takamatsu and Mitsumasa Nakamura and Takuya Hirakawa and Masato Ishii and Takaya Yasuda and Motohiko Hayashi and H. Itoh and Yoko Wada and Teruo Imayama and Tatsuro Oomoto and Yosuke Ogasawara and Shigehito Saigusa and M. Yano and Masaki Nishikawa and Hiroshi Yoshida and Yoshihiro Yoshida and Kenji Yoshioka and Nobuyuki Itoh}, title = {A single-chip {RF} tuner / {OFDM} demodulator for mobile digital {TV} application}, booktitle = {35th European Solid-State Circuits Conference, {ESSCIRC} 2009, Athens, Greece, 14-18 September 2009}, pages = {188--191}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ESSCIRC.2009.5326017}, doi = {10.1109/ESSCIRC.2009.5326017}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SekineFTNHIYHIW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/YanoMI09, author = {Hiroaki Yano and Yuichi Miyamoto and Hiroo Iwata}, title = {Haptic interface for perceiving remote object using a laser range finder}, booktitle = {World Haptics 2009 - Third Joint EuroHaptics conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, Salt Lake City, UT, USA, 18-20 March 2009}, pages = {196--201}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/WHC.2009.4810889}, doi = {10.1109/WHC.2009.4810889}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/YanoMI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/AltshulerYWB09, author = {Yaniv Altshuler and Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Swarm ant robotics for a dynamic cleaning problem - analytic lower bounds and impossibility results}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {216--221}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4804016}, doi = {10.1109/ICARA.2000.4804016}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icara/AltshulerYWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/AltshulerYWB09a, author = {Yaniv Altshuler and Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Swarm ant robotics for a dynamic cleaning problem - upper bounds}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {227--232}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4804019}, doi = {10.1109/ICARA.2000.4804019}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icara/AltshulerYWB09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcci/YanoMS09, author = {Thaise Yano and Eliane Martins and Fabiano Luis de Sousa}, editor = {Ant{\'{o}}nio Dourado Correia and Agostinho C. Rosa and Kurosh Madani}, title = {An Evolutionary Approach for Robustness Testing}, booktitle = {{IJCCI} 2009 - Proceedings of the International Joint Conference on Computational Intelligence, Funchal, Madeira, Portugal, October 5-7, 2009}, pages = {277--280}, publisher = {{INSTICC} Press}, year = {2009}, timestamp = {Fri, 04 May 2012 07:40:11 +0200}, biburl = {https://dblp.org/rec/conf/ijcci/YanoMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ItoOIY09, author = {Masashi Ito and Keiji Ohara and Akinori Ito and Masafumi Yano}, title = {Relative importance of formant and whole-spectral cues for vowel perception}, booktitle = {10th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2009, Brighton, United Kingdom, September 6-10, 2009}, pages = {124--127}, publisher = {{ISCA}}, year = {2009}, url = {https://doi.org/10.21437/Interspeech.2009-48}, doi = {10.21437/INTERSPEECH.2009-48}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ItoOIY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/YukawaTFYMYTMH09, author = {Takashi Yukawa and Hirotaka Takahashi and Yoshimi Fukumura and Makoto Yamazaki and Toshimasa Miyazaki and Shohei Yano and Akiko Takeuchi and Hajime Miura and Naoki Hasegawa}, editor = {Juan D. Vel{\'{a}}squez and Sebasti{\'{a}}n A. R{\'{\i}}os and Robert J. Howlett and Lakhmi C. Jain}, title = {Online Collaboration Support Tools for Project-Based Learning of Embedded Software Design}, booktitle = {Knowledge-Based and Intelligent Information and Engineering Systems, 13th International Conference, {KES} 2009, Santiago, Chile, September 28-30, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5712}, pages = {531--538}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04592-9\_66}, doi = {10.1007/978-3-642-04592-9\_66}, timestamp = {Thu, 02 Jun 2022 16:47:22 +0200}, biburl = {https://dblp.org/rec/conf/kes/YukawaTFYMYTMH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/KawaguchiYISISM09, author = {Nobuo Kawaguchi and Motoki Yano and Shogo Ishida and Takeshi Sasaki and Yohei Iwasaki and Kenji Sugiki and Shigeki Matsubara}, editor = {Yu{-}Chee Tseng and Peter Scheuermann and Ralf Hartmut G{\"{u}}ting and Wang{-}Chien Lee and Chung{-}Ta King and Evaggelia Pitoura}, title = {Underground Positioning: Subway Information System Using WiFi Location Technology}, booktitle = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, pages = {371--372}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MDM.2009.57}, doi = {10.1109/MDM.2009.57}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/KawaguchiYISISM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ZhuMRK09, author = {Yanong Zhu and Derek R. Magee and Rishya Ratnalingam and David Kessel}, editor = {James D. Westwood and Susan W. Westwood and Randy S. Haluck and Helene M. Hoffman and Greg T. Mogel and Roger Phillips and Richard A. Robb and Kirby G. Vosburgh}, title = {A Physics Based Method for Combining Multiple Anatomy Models with Application to Medical Simulation}, booktitle = {Medicine Meets Virtual Reality 17 - NextMed: Design for/the Well Being, {MMVR} 2009, Long Beach, CA, USA, January 19-22, 2009}, series = {Studies in Health Technology and Informatics}, volume = {142}, pages = {465--467}, publisher = {{IOS} Press}, year = {2009}, url = {https://doi.org/10.3233/978-1-58603-964-6-465}, doi = {10.3233/978-1-58603-964-6-465}, timestamp = {Thu, 20 Jul 2017 17:48:30 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ZhuMRK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/SuzukiTYU09, author = {Yasuo Suzuki and Makoto Taromaru and Kazuto Yano and Masazumi Ueba}, title = {Proposal of band-limited divided-spectrum single carrier transmission for dynamic spectrum controlled access in {ISM} band}, booktitle = {Proceedings of the {IEEE} 20th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2009, 13-16 September 2009, Tokyo, Japan}, pages = {132--136}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/PIMRC.2009.5450141}, doi = {10.1109/PIMRC.2009.5450141}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/SuzukiTYU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/YanoTTU09, author = {Kazuto Yano and Satoshi Tsukamoto and Makoto Taromaru and Masazumi Ueba}, title = {Area throughput enhancement of OFDM-based wireless {LAN} in {OBSS} environment by physical header modification and adaptive array antenna}, booktitle = {Proceedings of the {IEEE} 20th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2009, 13-16 September 2009, Tokyo, Japan}, pages = {3059--3063}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/PIMRC.2009.5449807}, doi = {10.1109/PIMRC.2009.5449807}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/YanoTTU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prima/MoritaYK09, author = {Tetsuo Morita and Junji Yano and Kouji Kagawa}, editor = {Jung{-}Jin Yang and Makoto Yokoo and Takayuki Ito and Zhi Jin and Paul Scerri}, title = {Interpolation System of Traffic Condition by Estimation/Learning Agents}, booktitle = {Principles of Practice in Multi-Agent Systems, 12th International Conference, {PRIMA} 2009, Nagoya, Japan, December 14-16, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5925}, pages = {487--499}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-11161-7\_34}, doi = {10.1007/978-3-642-11161-7\_34}, timestamp = {Wed, 08 Sep 2021 09:45:06 +0200}, biburl = {https://dblp.org/rec/conf/prima/MoritaYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YamanakaNKYSM09, author = {Kentaro Yamanaka and Shinsuke Nakamura and Shota Kobayashi and Akane Yano and Masashi Shiraishi and Shigeo Morishima}, title = {Accurate skin deformation model of forearm using {MRI}}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2009, New Orleans, Louisiana, USA, August 3-7, 2009, Poster Proceedings}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1599301.1599361}, doi = {10.1145/1599301.1599361}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/YamanakaNKYSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YamanakaYM09, author = {Kentaro Yamanaka and Akane Yano and Shigeo Morishima}, editor = {Ken{-}ichi Anjyo}, title = {Example based skinning with progressively optimized support joints}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} {ASIA} 2009, Yokohama, Japan, December 16-19, 2009, Poster Proceedings}, pages = {55:1}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1666778.1666833}, doi = {10.1145/1666778.1666833}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/YamanakaYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YanoMI09, author = {Hiroaki Yano and Yuichi Miyamoto and Hiroo Iwata}, editor = {Yuko Oda and Mariko Tanaka}, title = {Touch the untouchable}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} {ASIA} 2009, Yokohama, Japan, December 16-19, 2009, Art Gallery {\&} Emerging Technologies: Adaptation}, pages = {87}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1665137.1665206}, doi = {10.1145/1665137.1665206}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/YanoMI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0022578, author = {Noson S. Yanofsky and Mirco Mannucci}, title = {Quantum Computing for Computer Scientists}, publisher = {Cambridge University Press}, year = {2008}, isbn = {978-0-521-87996-5}, timestamp = {Tue, 15 Mar 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/daglib/0022578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/OgataSPMY08, author = {Hiroaki Ogata and Nobuji A. Saito and Rosa G. J. Paredes and Gerardo Ayala San Martin and Yoneo Yano}, title = {Supporting Classroom Activities with the {BSUL} System}, journal = {J. Educ. Technol. Soc.}, volume = {11}, number = {1}, pages = {1--16}, year = {2008}, url = {http://www.ifets.info/abstract.php?art\_id=815}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/OgataSPMY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HanaokaYH08, author = {Seishi Hanaoka and Masashi Yano and Tetsuhiko Hirata}, title = {Testbed System of Inter-Radio System Switching for Cognitive Radio}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {1}, pages = {14--21}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.1.14}, doi = {10.1093/IETCOM/E91-B.1.14}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HanaokaYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HanaokaYY08, author = {Seishi Hanaoka and Junji Yamamoto and Masashi Yano}, title = {Platform for Load Balancing and Throughput Enhancement with Cognitive Radio}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {8}, pages = {2501--2508}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.8.2501}, doi = {10.1093/IETCOM/E91-B.8.2501}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HanaokaYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/MatsuuraGNKY08, author = {Kenji Matsuura and Naka Gotoda and Keiji Niki and Kazuhide Kanenishi and Yoneo Yano}, title = {Supporting multi-step annotation to promote reflective learning: triggered by a cell-phone}, journal = {Int. J. Mob. Learn. Organisation}, volume = {2}, number = {2}, pages = {119--132}, year = {2008}, url = {https://doi.org/10.1504/IJMLO.2008.019764}, doi = {10.1504/IJMLO.2008.019764}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/MatsuuraGNKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/OsherovichYWB08, author = {Eliyahu Osherovich and Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, title = {Robust and Efficient Covering of Unknown Continuous Domains with Simple, Ant-Like A(ge)nts}, journal = {Int. J. Robotics Res.}, volume = {27}, number = {7}, pages = {815--831}, year = {2008}, url = {https://doi.org/10.1177/0278364908092465}, doi = {10.1177/0278364908092465}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/OsherovichYWB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/WagnerAYB08, author = {Israel A. Wagner and Yaniv Altshuler and Vladimir Yanovski and Alfred M. Bruckstein}, title = {Cooperative Cleaners: {A} Study in Ant Robotics}, journal = {Int. J. Robotics Res.}, volume = {27}, number = {1}, pages = {127--151}, year = {2008}, url = {https://doi.org/10.1177/0278364907085789}, doi = {10.1177/0278364907085789}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/WagnerAYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/AraKOWKMGLOPY08, author = {Koji Ara and Naoto Kanehira and Daniel Olgu{\'{\i}}n Olgu{\'{\i}}n and Benjamin N. Waber and Taemie Kim and Akshay Mohan and Peter A. Gloor and Robert Laubacher and Daniel Oster and Alex 'Sandy' Pentland and Kazuo Yano}, title = {Sensible Organizations: Changing Our Businesses and Work Styles through Sensor Data}, journal = {Inf. Media Technol.}, volume = {3}, number = {3}, pages = {604--615}, year = {2008}, url = {https://doi.org/10.11185/imt.3.604}, doi = {10.11185/IMT.3.604}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/AraKOWKMGLOPY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jip/AraKOWKMGLOPY08, author = {Koji Ara and Naoto Kanehira and Daniel Olgu{\'{\i}}n Olgu{\'{\i}}n and Benjamin N. Waber and Taemie Kim and Akshay Mohan and Peter A. Gloor and Rob Laubacher and Daniel Oster and Alex Pentland and Kazuo Yano}, title = {Sensible Organizations: Changing Our Businesses and Work Styles through Sensor Data}, journal = {J. Inf. Process.}, volume = {16}, pages = {1--12}, year = {2008}, url = {https://doi.org/10.2197/ipsjjip.16.1}, doi = {10.2197/IPSJJIP.16.1}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jip/AraKOWKMGLOPY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/YanoMNTTSI08, author = {Hiroaki Yano and Takayuki Masuda and Yosuke Nakajima and Naoki Tanaka and Shintaro Tamefusa and Hideyuki Saitou and Hiroo Iwata}, title = {Development of a Gait Rehabilitation System With a Spherical Immersive Projection Display}, journal = {J. Robotics Mechatronics}, volume = {20}, number = {6}, pages = {836--845}, year = {2008}, url = {https://doi.org/10.20965/jrm.2008.p0836}, doi = {10.20965/JRM.2008.P0836}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/YanoMNTTSI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NakagawaOFNTMSY08, author = {Tatsuo Nakagawa and Goichi Ono and Ryosuke Fujiwara and Takayasu Norimatsu and Takahide Terada and Masayuki Miyazaki and Kei Suzuki and Kazuo Yano and Yuji Ogata and Akira Maeki and Shinsuke Kobayashi and Noboru Koshizuka and Ken Sakamura}, title = {1-cc Computer: Cross-Layer Integration With {UWB-IR} Communication and Locationing}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {4}, pages = {964--973}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.917543}, doi = {10.1109/JSSC.2008.917543}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NakagawaOFNTMSY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mp/AndreaniMMY08, author = {Roberto Andreani and Jos{\'{e}} Mario Mart{\'{\i}}nez and Leandro Mart{\'{\i}}nez and Fl{\'{a}}vio S. Yano}, title = {Continuous optimization methods for structure alignments}, journal = {Math. Program.}, volume = {112}, number = {1}, pages = {93--124}, year = {2008}, url = {https://doi.org/10.1007/s10107-006-0091-3}, doi = {10.1007/S10107-006-0091-3}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mp/AndreaniMMY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/NaganawaKYMYIOI08, author = {Mika Naganawa and Yuichi Kimura and Junichi Yano and Masahiro Mishina and Masao Yanagisawa and Kenji Ishii and Keiichi Oda and Kiichi Ishiwata}, title = {Robust estimation of the arterial input function for Logan plots using an intersectional searching algorithm and clustering in positron emission tomography for neuroreceptor imaging}, journal = {NeuroImage}, volume = {40}, number = {1}, pages = {26--34}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2007.11.035}, doi = {10.1016/J.NEUROIMAGE.2007.11.035}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/NaganawaKYMYIOI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/AltshulerYWB08, author = {Yaniv Altshuler and Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, title = {Efficient cooperative search of smart targets using {UAV} Swarms}, journal = {Robotica}, volume = {26}, number = {4}, pages = {551--557}, year = {2008}, url = {https://doi.org/10.1017/S0263574708004141}, doi = {10.1017/S0263574708004141}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/AltshulerYWB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rptel/OgataMMEY08, author = {Hiroaki Ogata and Toru Misumi and Tsuyoshi Matsuka and Moushir M. El{-}Bishouty and Yoneo Yano}, title = {A Framework for Capturing, Sharing and Comparing Learning Experiences in a Ubiquitous Learning Environment}, journal = {Res. Pract. Technol. Enhanc. Learn.}, volume = {3}, number = {3}, pages = {297--312}, year = {2008}, url = {https://doi.org/10.1142/S1793206808000537}, doi = {10.1142/S1793206808000537}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rptel/OgataMMEY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/KuriyamaYH08, author = {Yoshifumi Kuriyama and Ken'ichi Yano and Masafumi Hamaguchi}, title = {Trajectory planning for meal assist robot considering spilling avoidance}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2008, San Antonio, TX, USA, September 3-5, 2008}, pages = {1220--1225}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CCA.2008.4629665}, doi = {10.1109/CCA.2008.4629665}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/KuriyamaYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmidc/TarumiYDKITHY08, author = {Hiroyuki Tarumi and Keitaro Yamada and Takafumi Daikoku and Fusako Kusunoki and Shigenori Inagaki and Makiko Takenaka and Toshihiro Hayashi and Masahiko Yano}, editor = {Justine Cassell}, title = {KEI-time traveler: visiting a past world with mobile phones to enhance learning motivation}, booktitle = {Proceedings of the 7th International Conference on Interaction Design and Children, {IDC} 2008, Chicago, Illinois, USA, June 11-13, 2008}, pages = {161--164}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1463689.1463746}, doi = {10.1145/1463689.1463746}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acmidc/TarumiYDKITHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cacsd/KogaAY08, author = {Masanobu Koga and Satoru Anan and Kentaro Yano}, title = {Numerical and symbolic state space realizations of linear systems with algebraic loops}, booktitle = {{IEEE} International Conference on Computer-Aided Control Systems, {CACSD} 2008, San Antonio, TX, USA, September 3-5, 2008}, pages = {132--137}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CACSD.2008.4627355}, doi = {10.1109/CACSD.2008.4627355}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/cacsd/KogaAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgiv/YanoMITNM08, author = {Natsumi Yano and Takao Makino and Toru Ishii and Norimichi Tsumura and Toshiya Nakaguchi and Yoichi Miyake}, title = {Efficient Light Field Measurement for Rendering with Mirror Spheres Array}, booktitle = {4th European Conference on Colour in Graphics, Imaging, and Vision and 10th International Symposium on Multispectral Colour Science, {CGIV} 2008/MCS'08, Terrassa, Spain, June 9-13, 2008}, pages = {144--148}, publisher = {IS{\&}T - The Society for Imaging Science and Technology}, year = {2008}, url = {http://www.ingentaconnect.com/content/ist/cgiv/2008/00002008/00000001/art00032}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cgiv/YanoMITNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimaging/YanovskyTOL08, author = {Igor Yanovsky and Paul M. Thompson and Stanley J. Osher and Alex D. Leow}, editor = {Charles A. Bouman and Eric L. Miller and Ilya Pollak}, title = {Multimodal unbiased image matching via mutual information}, booktitle = {Computational Imaging VI, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Jose, CA, USA, January 28-29, 2008, Proceedings}, series = {{SPIE} Proceedings}, volume = {6814}, pages = {681410}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.775762}, doi = {10.1117/12.775762}, timestamp = {Tue, 05 Nov 2019 12:22:57 +0100}, biburl = {https://dblp.org/rec/conf/cimaging/YanovskyTOL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YanovskyTOL08, author = {Igor Yanovsky and Paul M. Thompson and Stanley J. Osher and Alex D. Leow}, title = {Asymmetric and symmetric unbiased image registration: Statistical assessment of performance}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2008, Anchorage, AK, USA, 23-28 June, 2008}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CVPRW.2008.4562988}, doi = {10.1109/CVPRW.2008.4562988}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YanovskyTOL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KleanthousS08, author = {Marios Kleanthous and Yiannakis Sazeides}, editor = {Donatella Sciuto}, title = {{CATCH:} {A} Mechanism for Dynamically Detecting Cache-Content-Duplication and its Application to Instruction Caches}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {1426--1431}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484874}, doi = {10.1109/DATE.2008.4484874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KleanthousS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/SazeidesMCK08, author = {Yiannakis Sazeides and Andreas Moustakas and Kypros Constantinides and Marios Kleanthous}, editor = {Per Stenstr{\"{o}}m and Michel Dubois and Manolis Katevenis and Rajiv Gupta and Theo Ungerer}, title = {The Significance of Affectors and Affectees Correlations for Branch Prediction}, booktitle = {High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, G{\"{o}}teborg, Sweden, January 27-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4917}, pages = {243--257}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-77560-7\_17}, doi = {10.1007/978-3-540-77560-7\_17}, timestamp = {Mon, 06 Dec 2021 16:37:01 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/SazeidesMCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/MinatoMKUTSY08, author = {Junko Minato and Hiroyuki Mitsuhara and Kenji Kume and Noriko Uosaki and Mihoko Teshigawara and Hiroshi Sakata and Yoneo Yano}, editor = {Miguel Baptista Nunes and Maggie McPherson}, title = {Student Centered Method To Create Learning Materials For Niche-Learning}, booktitle = {{IADIS} International Conference e-Learning 2008, Amsterdam, The Netherlands, July 22-25, 2008. Proceedings}, pages = {177--184}, publisher = {{IADIS}}, year = {2008}, timestamp = {Sun, 01 Mar 2009 21:54:10 +0100}, biburl = {https://dblp.org/rec/conf/iadis/MinatoMKUTSY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/El-BishoutyOY08, author = {Moushir M. El{-}Bishouty and Hiroaki Ogata and Yoneo Yano}, title = {A Model of Personalized Collaborative Computer Support Ubiquitous Learning Environment}, booktitle = {The 8th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2008, Santander, Cantabria, Spain, July 1-5, 2008}, pages = {97--101}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICALT.2008.55}, doi = {10.1109/ICALT.2008.55}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/El-BishoutyOY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/OgataGWY08, author = {Hiroaki Ogata and Li Hui Gan and Makoto Wada and Yoneo Yano}, title = {Supporting Task Assignments for Language Learning Outside Classroom with Handhelds}, booktitle = {The 8th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2008, Santander, Cantabria, Spain, July 1-5, 2008}, pages = {814--818}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICALT.2008.80}, doi = {10.1109/ICALT.2008.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/OgataGWY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/SuenagaTSYNS08, author = {Takashi Suenaga and Shoko Takahashi and Miho Saji and Junko Yano and Kei{-}ichiro Nakagawa and Jun Sekine}, title = {A Framework for Business Data Analysis}, booktitle = {2008 {IEEE} International Conference on e-Business Engineering, {ICEBE} 2008, Xi'an, China, October 22-24, 2008}, pages = {703--708}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICEBE.2008.100}, doi = {10.1109/ICEBE.2008.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/SuenagaTSYNS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/SakamotoNSY09, author = {Kazuhiro Sakamoto and Hidekazu Nakajima and Takeshi Suzuki and Masafumi Yano}, editor = {Mario K{\"{o}}ppen and Nikola K. Kasabov and George G. Coghill}, title = {A "Global Closure" Effect in Contour Integration}, booktitle = {Advances in Neuro-Information Processing, 15th International Conference, {ICONIP} 2008, Auckland, New Zealand, November 25-28, 2008, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5506}, pages = {259--266}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-642-02490-0\_32}, doi = {10.1007/978-3-642-02490-0\_32}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/SakamotoNSY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KomoguchiYPB08, author = {Yuta Komoguchi and Ken'ichi Yano and Angelika Peer and Martin Buss}, title = {Redundancy resolution of a 7 {DOF} haptic interface considering collision and singularity avoidance}, booktitle = {2008 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, September 22-26, 2008, Acropolis Convention Center, Nice, France}, pages = {3513--3518}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IROS.2008.4651076}, doi = {10.1109/IROS.2008.4651076}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KomoguchiYPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/YanovskyTOHSTL08, author = {Igor Yanovsky and Paul M. Thompson and Stanley J. Osher and Xue Hua and David W. Shattuck and Arthur W. Toga and Alex D. Leow}, title = {Validating unbiased registration on longitudinal {MRI} scans from the Alzheimer'S Disease neuroimaging initiative {(ADNI)}}, booktitle = {Proceedings of the 2008 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008}, pages = {1091--1094}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISBI.2008.4541190}, doi = {10.1109/ISBI.2008.4541190}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/YanovskyTOHSTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismb/FromerY08, author = {Menachem Fromer and Chen Yanover}, title = {A computational framework to empower probabilistic protein design}, booktitle = {Proceedings 16th International Conference on Intelligent Systems for Molecular Biology (ISMB), Toronto, Canada, July 19-23, 2008}, pages = {214--222}, year = {2008}, url = {https://doi.org/10.1093/bioinformatics/btn168}, doi = {10.1093/BIOINFORMATICS/BTN168}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismb/FromerY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimuraYAYNSKNMT08, author = {Tohru Kimura and Hitoshi Yano and Yuuichi Aoki and Nobuhide Yoshida and Jun Noda and Teruki Sukenari and Yusuke Konishi and Toshiyasu Nakao and Akitake Mitsuhashi and Daigo Taguchi}, title = {A Wireless Dual-Link System for Sensor Network Applications}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {534--535}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523293}, doi = {10.1109/ISSCC.2008.4523293}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimuraYAYNSKNMT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YanoSWTOHM08, author = {Kazuo Yano and Nobuo Sato and Yoshihiro Wakisaka and Satomi Tsuji and Norio Ohkubo and Miki Hayakawa and Norihiko Moriwaki}, title = {Life Thermoscope: Integrated Microelectronics for Visualizing Hidden Life Rhythm}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {136--137}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523094}, doi = {10.1109/ISSCC.2008.4523094}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YanoSWTOHM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/NakaoYMKM08, author = {Megumi Nakao and Satoshi Yano and T. Matsuyuki and T. Kawamoto and Kotaro Minato}, editor = {James D. Westwood and Randy S. Haluck and Helene M. Hoffman and Greg T. Mogel and Roger Phillips and Richard A. Robb and Kirby G. Vosburgh}, title = {Interactive Volume Manipulation for Supporting Preoperative Planning}, booktitle = {Medicine Meets Virtual Reality 16 - parallel, combinatorial, convergent: NextMed by Design, {MMVR} 2008, Long Beach, CA, USA, January 29, 2008}, series = {Studies in Health Technology and Informatics}, volume = {132}, pages = {316--321}, publisher = {{IOS} Press}, year = {2008}, timestamp = {Sat, 20 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmvr/NakaoYMKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/EsenYB08, author = {Hasan Esen and Ken'ichi Yano and Martin Buss}, editor = {Martin Buss and Kolja K{\"{u}}hnlenz}, title = {Force skill training with a hybrid trainer model}, booktitle = {The 17th {IEEE} International Symposium on Robot and Human Interactive Communication, {RO-MAN} 2008, Munich, Germany, August 1-3, 2008}, pages = {9--14}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROMAN.2008.4600635}, doi = {10.1109/ROMAN.2008.4600635}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/EsenYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/PaesHY08, author = {Carlos Eduardo de Barros Paes and Celso Massaki Hirata and Edgar Toshiro Yano}, editor = {Roger L. Wainwright and Hisham Haddad}, title = {Extending {RUP} to develop fault tolerant software}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008}, pages = {783--790}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1363686.1363867}, doi = {10.1145/1363686.1363867}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/PaesHY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ShahrimanIHYM08, author = {Abu Bakar Shahriman and Ryojun Ikeura and Yuichiro Handa and Takemi Yano and Kazuki Mizutani}, title = {Analyzing the characteristics of horizontal and vertical plane hand movement in human-human cooperative task}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {792--797}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811375}, doi = {10.1109/ICSMC.2008.4811375}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ShahrimanIHYM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wabi/YanovskyRB08, author = {Vladimir Yanovski and Stephen M. Rumble and Michael Brudno}, editor = {Keith A. Crandall and Jens Lagergren}, title = {Read Mapping Algorithms for Single Molecule Sequencing Data}, booktitle = {Algorithms in Bioinformatics, 8th International Workshop, {WABI} 2008, Karlsruhe, Germany, September 15-19, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5251}, pages = {38--49}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87361-7\_4}, doi = {10.1007/978-3-540-87361-7\_4}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/wabi/YanovskyRB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/star/EsenYB08, author = {Hasan Esen and Ken'ichi Yano and Martin Buss}, editor = {Antonio Bicchi and Martin Buss and Marc O. Ernst and Angelika Peer}, title = {Bone Drilling Medical Training System}, booktitle = {The Sense of Touch and its Rendering - Progress in Haptics Research}, volume = {45}, pages = {245--278}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-79035-8\_12}, doi = {10.1007/978-3-540-79035-8\_12}, timestamp = {Wed, 13 Mar 2019 18:03:01 +0100}, biburl = {https://dblp.org/rec/series/star/EsenYB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/MoriokaKHYOS07, author = {Ryoko Morioka and Shigehiko Kanaya and Masami Y. Hirai and Mitsuru Yano and Naotake Ogasawara and Kazuki Saito}, title = {Predicting state transitions in the transcriptome and metabolome using a linear dynamical system model}, journal = {{BMC} Bioinform.}, volume = {8}, year = {2007}, url = {https://doi.org/10.1186/1471-2105-8-343}, doi = {10.1186/1471-2105-8-343}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/MoriokaKHYOS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/YanovskyWB07, author = {Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, title = {A Linear-Time Constant-Space Algorithm for the Boundary Fill Problem}, journal = {Comput. J.}, volume = {50}, number = {4}, pages = {473--477}, year = {2007}, url = {https://doi.org/10.1093/comjnl/bxm004}, doi = {10.1093/COMJNL/BXM004}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/YanovskyWB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/El-BishoutyOY07, author = {Moushir M. El{-}Bishouty and Hiroaki Ogata and Yoneo Yano}, title = {{PERKAM:} Personalized Knowledge Awareness Map for Computer Supported Ubiquitous Learning}, journal = {J. Educ. Technol. Soc.}, volume = {10}, number = {3}, pages = {122--134}, year = {2007}, url = {http://www.ifets.info/abstract.php?art\_id=773}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/El-BishoutyOY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/FlachsADHGKLLLLMOMTHKMNOPSYHWYBPTI07, author = {Brian K. Flachs and Shigehiro Asano and Sang H. Dhong and H. Peter Hofstee and Gilles Gervais and Roy Kim and Tien Le and Peichun Liu and Jens Leenstra and John S. Liberty and Brad W. Michael and Hwa{-}Joon Oh and Silvia M. M{\"{u}}ller and Osamu Takahashi and Koji Hirairi and Atsushi Kawasumi and Hiroaki Murakami and Hiromi Noro and Shoji Onishi and Juergen Pille and Joel Silberman and Suksoon Yong and Akiyuki Hatakeyama and Yukio Watanabe and Naoka Yano and Daniel A. Brokenshire and Mohammad Peyravian and VanDung To and Eiji Iwata}, title = {Microarchitecture and implementation of the synergistic processor in 65-nm and 90-nm {SOI}}, journal = {{IBM} J. Res. Dev.}, volume = {51}, number = {5}, pages = {529--544}, year = {2007}, url = {https://doi.org/10.1147/rd.515.0529}, doi = {10.1147/RD.515.0529}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/FlachsADHGKLLLLMOMTHKMNOPSYHWYBPTI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IshibashiTY07, author = {Koichi Ishibashi and Kenichi Takada and Masatsugu Yano}, title = {Proposal and Implementation Study of Forwarding Method for Urgent Messages on a Wireless Sensor Network}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {12}, pages = {3402--3409}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.12.3402}, doi = {10.1093/IETCOM/E90-B.12.3402}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/IshibashiTY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/TanakaYYK07, author = {Mitsuru Tanaka and Kazuki Yano and Hiroyuki Yoshida and Atsushi Kusunoki}, title = {Multigrid Optimization Method Applied to Electromagnetic Inverse Scattering Problem}, journal = {{IEICE} Trans. Electron.}, volume = {90-C}, number = {2}, pages = {320--326}, year = {2007}, url = {https://doi.org/10.1093/ietele/e90-c.2.320}, doi = {10.1093/IETELE/E90-C.2.320}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/TanakaYYK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/DengIIY07, author = {Mingcong Deng and Akira Inoue and Naohiko Ishibashi and Akira Yanou}, title = {Application of an anti-windup multivariable continuous-time generalised predictive control to a temperature control of an aluminium plate}, journal = {Int. J. Model. Identif. Control.}, volume = {2}, number = {2}, pages = {130--137}, year = {2007}, url = {https://doi.org/10.1504/IJMIC.2007.014625}, doi = {10.1504/IJMIC.2007.014625}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmic/DengIIY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/YanoverFS07, author = {Chen Yanover and Menachem Fromer and Julia M. Shifman}, title = {Dead-end elimination for multistate protein design}, journal = {J. Comput. Chem.}, volume = {28}, number = {13}, pages = {2122--2129}, year = {2007}, url = {https://doi.org/10.1002/jcc.20661}, doi = {10.1002/JCC.20661}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/YanoverFS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/Artishchev-ZapolotskyDEY07, author = {Maria Artishchev{-}Zapolotsky and Yefim Dinitz and Shimon Even and Vladimir Yanovski}, title = {Layout of an Arbitrary Permutation in a Minimal Right Triangle Area}, journal = {J. Interconnect. Networks}, volume = {8}, number = {2}, pages = {101--118}, year = {2007}, url = {https://doi.org/10.1142/S0219265907001928}, doi = {10.1142/S0219265907001928}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/join/Artishchev-ZapolotskyDEY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/YoshiharaTMY07, author = {Yuki Yoshihara and Nozomi Tomita and Yoshinari Makino and Masafumi Yano}, title = {Autonomous Control of Reaching Movement by 'Mobility' Measure}, journal = {J. Robotics Mechatronics}, volume = {19}, number = {4}, pages = {448--458}, year = {2007}, url = {https://doi.org/10.20965/jrm.2007.p0448}, doi = {10.20965/JRM.2007.P0448}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/YoshiharaTMY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/MichaudSFSC07, author = {Pierre Michaud and Andr{\'{e}} Seznec and Damien Fetis and Yiannakis Sazeides and Theofanis Constantinou}, title = {A study of thread migration in temperature-constrained multicores}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {4}, number = {2}, pages = {9}, year = {2007}, url = {https://doi.org/10.1145/1250727.1250729}, doi = {10.1145/1250727.1250729}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/MichaudSFSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LeowYCLKLBDTT07, author = {Alex D. Leow and Igor Yanovsky and Ming{-}Chang Chiang and Agatha D. Lee and Andrea D. Klunder and Allen Lu and James T. Becker and Simon W. Davis and Arthur W. Toga and Paul M. Thompson}, title = {Statistical Properties of Jacobian Maps and the Realization of Unbiased Large-Deformation Nonlinear Image Registration}, journal = {{IEEE} Trans. Medical Imaging}, volume = {26}, number = {6}, pages = {822--832}, year = {2007}, url = {https://doi.org/10.1109/TMI.2007.892646}, doi = {10.1109/TMI.2007.892646}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/LeowYCLKLBDTT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/YanoYT07, author = {Ken'ichi Yano and Masahiro Yamada and Kazuhiko Terashima}, title = {Development of Operator Support System for Rotary Crane with Simultaneous Control of Positioning and Sway Suppression}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2007, Singapore, October 1-3, 2007}, pages = {551--556}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CCA.2007.4389289}, doi = {10.1109/CCA.2007.4389289}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/YanoYT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/anss/YanoTNN07, author = {Masahiro Yano and Toru Takasaki and Takashi Nakada and Hiroshi Nakashima}, title = {An Accurate and Efficient Time-Division Parallelization of Cycle Accurate Architectural Simulators}, booktitle = {Proceedings 40th Annual Simulation Symposium {(ANSS-40} 2007), 26-28 March 2007, Norfolk, Virginia, {USA}}, pages = {247--255}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ANSS.2007.9}, doi = {10.1109/ANSS.2007.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/anss/YanoTNN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crowncom/HanaokaNYYH07, author = {Seishi Hanaoka and Naruhito Nakahara and Masashi Yano and Satoshi Yoshizawa and Tetsuhiko Hirata}, editor = {Rajarathnam Chandramouli and Fr{\'{e}}d{\'{e}}rick Martin}, title = {Proposal and Testbed System of Inter Radio System Switching for Cognitive Radio}, booktitle = {2nd International {ICST} Conference on Cognitive Radio Oriented Wireless Networks and Communications, {CROWNCOM} 2007, Orlando, Florida, USA, August 1-3, 2007}, pages = {181--186}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CROWNCOM.2007.4549793}, doi = {10.1109/CROWNCOM.2007.4549793}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/crowncom/HanaokaNYYH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YanovskyTOL07, author = {Igor Yanovsky and Paul M. Thompson and Stanley J. Osher and Alex D. Leow}, title = {Topology Preserving Log-Unbiased Nonlinear Image Registration: Theory and Implementation}, booktitle = {2007 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2007), 18-23 June 2007, Minneapolis, Minnesota, {USA}}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CVPR.2007.383144}, doi = {10.1109/CVPR.2007.383144}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YanovskyTOL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YanovskyTOVL07, author = {Igor Yanovsky and Paul M. Thompson and Stanley J. Osher and Luminita A. Vese and Alex D. Leow}, title = {Multiphase Segmentation of Deformation using Logarithmic Priors}, booktitle = {2007 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2007), 18-23 June 2007, Minneapolis, Minnesota, {USA}}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CVPR.2007.383431}, doi = {10.1109/CVPR.2007.383431}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YanovskyTOVL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/digitel/MitsuharaOKY07, author = {Hiroyuki Mitsuhara and Hiroaki Ogata and Kazuhide Kanenishi and Yoneo Yano}, editor = {Tak{-}Wai Chan and Ana Paiva and David Williamson Shaffer and Kinshuk and Jie{-}Chi Yang}, title = {Do Children Understand Binary Numbers by Electric Card Game?}, booktitle = {{DIGITEL} 2007, The First {IEEE} International Workshop on Digital Game and Intelligent Toy Enhanced Learning, Proceedings, 26-28 March 2007, Jhongli, Taiwan}, pages = {191--192}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DIGITEL.2007.22}, doi = {10.1109/DIGITEL.2007.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/digitel/MitsuharaOKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edutainment/MitsuharaHKY07, author = {Hiroyuki Mitsuhara and Yasumoto Hirakawa and Kazuhide Kanenishi and Yoneo Yano}, editor = {Kin{-}Chuen Hui and Zhigeng Pan and Ronald Chi{-}kit Chung and Charlie C. L. Wang and Xiaogang Jin and Stefan G{\"{o}}bel and Eric C.{-}L. Li}, title = {Real World Edutainment Focusing on Human-Human Interaction}, booktitle = {Technologies for E-Learning and Digital Entertainment, Second International Conference, Edutainment 2007, Hong Kong, China, June 11-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4469}, pages = {527--538}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73011-8\_51}, doi = {10.1007/978-3-540-73011-8\_51}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edutainment/MitsuharaHKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/IshikawaHKYN07, author = {Takashi Ishikawa and Seishi Hanaoka and Mikio Kataoka and Masashi Yano and Shinji Nishimura}, title = {Basic Simulation Result of Inter System Handover for Cognitive Radio}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {270--273}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FGCN.2007.77}, doi = {10.1109/FGCN.2007.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/IshikawaHKYN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/MatsumotoYI07, author = {Mutsuki Matsumoto and Hiroaki Yano and Hiroo Iwata}, title = {Development of a Motion Teaching System Using an Immersive Projection Display and a Haptic Interface}, booktitle = {Second Joint EuroHaptics Conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, {WHC} 2007, Tsukuba, Japan, March 22-24, 2007}, pages = {298--303}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/WHC.2007.37}, doi = {10.1109/WHC.2007.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/MatsumotoYI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/El-BishoutyOY07, author = {Moushir M. El{-}Bishouty and Hiroaki Ogata and Yoneo Yano}, editor = {Tsukasa Hirashima and Heinz Ulrich Hoppe and Shelley Shwu{-}Ching Young}, title = {Detection, Recommendation and Collaboration: Knowledge Awareness Map in Computer Supported Ubiquitous Learning}, booktitle = {Supporting Learning Flow through Integrative Technologies, Proceeding of the 15th International Conference on Computers in Education, {ICCE} 2007, November 5-9, 2007, Hiroshima, Japan}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {162}, pages = {305--312}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=7567}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/El-BishoutyOY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/GanOWOUY07, author = {Li Hui Gan and Hiroaki Ogata and Makoto Wada and Yasuko Oishi and Takahito Ueda and Yoneo Yano}, editor = {Tsukasa Hirashima and Heinz Ulrich Hoppe and Shelley Shwu{-}Ching Young}, title = {Language Learning Outside the Classroom Using Handhelds with Knowledge Management}, booktitle = {Supporting Learning Flow through Integrative Technologies, Proceeding of the 15th International Conference on Computers in Education, {ICCE} 2007, November 5-9, 2007, Hiroshima, Japan}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {162}, pages = {361--368}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=7577}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/GanOWOUY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/MatsuuraKMY07, author = {Kenji Matsuura and Kazuhide Kanenishi and Yasuo Miyoshi and Yoneo Yano}, editor = {Tsukasa Hirashima and Heinz Ulrich Hoppe and Shelley Shwu{-}Ching Young}, title = {Practical Data Management among Learning-Support Systems}, booktitle = {Supporting Learning Flow through Integrative Technologies, Proceeding of the 15th International Conference on Computers in Education, {ICCE} 2007, November 5-9, 2007, Hiroshima, Japan}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {162}, pages = {271--274}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=7559}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/MatsuuraKMY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/OgataMEY07, author = {Hiroaki Ogata and Yoshiki Matsuka and Moushir M. El{-}Bishouty and Yoneo Yano}, editor = {Tsukasa Hirashima and Heinz Ulrich Hoppe and Shelley Shwu{-}Ching Young}, title = {{LORAMS:} Linking Physical Objects and Videos for Ubiquitous Learning}, booktitle = {Supporting Learning Flow through Integrative Technologies, Proceeding of the 15th International Conference on Computers in Education, {ICCE} 2007, November 5-9, 2007, Hiroshima, Japan}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {162}, pages = {297--304}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=7566}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/OgataMEY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/YanouIM07, author = {Akira Yanou and Akira Inoue and Shiro Masuda}, title = {An Extension of Two Degree-of-Freedom of Self-Tuning {GPC} Based on State-space Approach Using Coprime Factorization Approach}, booktitle = {Proceedings of the {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2007, London, UK, 15-17 April 2007}, pages = {542--546}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICNSC.2007.372836}, doi = {10.1109/ICNSC.2007.372836}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/YanouIM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KatsuradaOYIN07, author = {Kouichi Katsurada and Yuji Okuma and Makoto Yano and Yurie Iribe and Tsuneo Nitta}, title = {Management of static/dynamic properties in a multimodal interaction system}, booktitle = {8th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2007, Antwerp, Belgium, August 27-31, 2007}, pages = {2525--2528}, publisher = {{ISCA}}, year = {2007}, url = {https://doi.org/10.21437/Interspeech.2007-671}, doi = {10.21437/INTERSPEECH.2007-671}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KatsuradaOYIN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/YanovskyCTKBDTL07, author = {Igor Yanovsky and Ming{-}Chang Chiang and Paul M. Thompson and Andrea D. Klunder and James T. Becker and Simon W. Davis and Arthur W. Toga and Alex D. Leow}, title = {Quantifying Deformation Using Information Theory: The Log-Unbiased Nonlinear Registration}, booktitle = {Proceedings of the 2007 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Washington, DC, USA, April 12-16, 2007}, pages = {13--16}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISBI.2007.356776}, doi = {10.1109/ISBI.2007.356776}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isbi/YanovskyCTKBDTL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/GotodaMKY07, author = {Naka Gotoda and Kenji Matsuura and Kazuhide Kanenishi and Yoneo Yano}, editor = {Bruno Apolloni and Robert J. Howlett and Lakhmi C. Jain}, title = {Organizing Online Learning-Community Based on the Real World Log}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, {KES} 2007, {XVII} Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4694}, pages = {608--615}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74829-8\_75}, doi = {10.1007/978-3-540-74829-8\_75}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/GotodaMKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ZhuMRK07, author = {Yanong Zhu and Derek R. Magee and Rish Ratnalingam and David Kessel}, editor = {Nicholas Ayache and S{\'{e}}bastien Ourselin and Anthony J. Maeder}, title = {A Training System for Ultrasound-Guided Needle Insertion Procedures}, booktitle = {Medical Image Computing and Computer-Assisted Intervention - {MICCAI} 2007, 10th International Conference, Brisbane, Australia, October 29 - November 2, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4791}, pages = {566--574}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75757-3\_69}, doi = {10.1007/978-3-540-75757-3\_69}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ZhuMRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/new2an/GalkinSY07, author = {Anatoly M. Galkin and Olga A. Simonina and Gennady G. Yanovsky}, editor = {Yevgeni Koucheryavy and Jarmo Harju and Alexander Sayenko}, title = {Routes Building Approach for Multicast Applications in Metro Ethernet Networks}, booktitle = {Next Generation Teletraffic and Wired/Wireless Advanced Networking, 7th International Conference, {NEW2AN} 2007, St. Petersburg, Russia, September 10-14, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4712}, pages = {187--193}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74833-5\_16}, doi = {10.1007/978-3-540-74833-5\_16}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/new2an/GalkinSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/TaromaruAKY07, author = {Makoto Taromaru and Nobuhiko Ando and Toshiro Kodera and Kazuto Yano}, title = {An {EER} Transmitter Architecture with Burst-Width Envelope Modulation based on Triangle-Wave Comparison {PWM}}, booktitle = {Proceedings of the {IEEE} 18th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September 2007, Athens, Greece}, pages = {1--5}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/PIMRC.2007.4394620}, doi = {10.1109/PIMRC.2007.4394620}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/TaromaruAKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/EsenSYB07, author = {Hasan Esen and Andreas Sachsenhauser and Yuta Yano and Martin Buss}, title = {A Multi-User Virtual Training System Concept and Objective Assessment of Trainings}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {1084--1089}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415242}, doi = {10.1109/ROMAN.2007.4415242}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/EsenSYB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/IwataYT07, author = {Hiroo Iwata and Hiroaki Yano and Masaki Tomiyoshi}, editor = {Kathy Ryall and John L. Sibert}, title = {String walker}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2007, San Diego, California, USA, August 5-9, 2007, Emerging Technologies}, pages = {20}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1278280.1278301}, doi = {10.1145/1278280.1278301}, timestamp = {Thu, 22 Dec 2022 13:40:23 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/IwataYT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YanoKATM07, author = {Akane Yano and Hiroyuki Kubo and Yoshihiro Adachi and Demetri Terzopoulos and Shigeo Morishima}, editor = {Marc Alexa and Adam Finkelstein}, title = {Variable rate speech animation synthesis}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2007, San Diego, California, USA, August 5-9, 2007, Posters}, pages = {28}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1280720.1280752}, doi = {10.1145/1280720.1280752}, timestamp = {Thu, 28 Jul 2022 12:13:22 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/YanoKATM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YanoNM07, author = {Satoshi Yano and Megumi Nakao and Kotaro Minato}, editor = {Marc Alexa and Adam Finkelstein}, title = {Real-time volume shading for deformable model}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2007, San Diego, California, USA, August 5-9, 2007, Posters}, pages = {189}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1280720.1280924}, doi = {10.1145/1280720.1280924}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/YanoNM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ArigaYDO07, author = {Michiaki Ariga and Yoshikazu Yano and Shinji Doki and Shigeru Okuma}, title = {Mental tension detection in the speech based on physiological monitoring}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {2022--2027}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4414150}, doi = {10.1109/ICSMC.2007.4414150}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ArigaYDO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/somet/HondaYTYSF07, author = {Sota Honda and Hidaka Yano and Michiru Tanaka and Keizo Yamada and Jun Sasaki and Yutaka Funyu}, editor = {Hamido Fujita and Domenico M. Pisanelli}, title = {A Collaborative Environment for User-initiated Development of Web Applications}, booktitle = {New Trends in Software Methodologies, Tools and Techniques - Proceedings of the Sixth SoMeT 2007, November 7-9, 2007, Rome, Italy}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {161}, pages = {494--504}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=7290}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/somet/HondaYTYSF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/somet/YanoHSTYF07, author = {Hidaka Yano and Sota Honda and Jun Sasaki and Michiru Tanaka and Keizo Yamada and Yutaka Funyu}, editor = {Hamido Fujita and Domenico M. Pisanelli}, title = {Development of a Maintenance Environment that Enabling Users to Revise Existing Web Applications}, booktitle = {New Trends in Software Methodologies, Tools and Techniques - Proceedings of the Sixth SoMeT 2007, November 7-9, 2007, Rome, Italy}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {161}, pages = {475--484}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=7288}, timestamp = {Wed, 14 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/somet/YanoHSTYF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/WeissYM07, author = {Yair Weiss and Chen Yanover and Talya Meltzer}, editor = {Ronald Parr and Linda C. van der Gaag}, title = {{MAP} Estimation, Linear Programming and Belief Propagation with Convex Free Energies}, booktitle = {{UAI} 2007, Proceedings of the Twenty-Third Conference on Uncertainty in Artificial Intelligence, Vancouver, BC, Canada, July 19-22, 2007}, pages = {416--425}, publisher = {{AUAI} Press}, year = {2007}, url = {https://dslpitt.org/uai/displayArticleDetails.jsp?mmnu=1\&smnu=2\&article\_id=1717\&proceeding\_id=23}, timestamp = {Wed, 03 Feb 2021 11:09:18 +0100}, biburl = {https://dblp.org/rec/conf/uai/WeissYM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/YanovskyOTL07, author = {Igor Yanovsky and Stanley J. Osher and Paul M. Thompson and Alex D. Leow}, editor = {Alpesh Ranchordas and Helder Ara{\'{u}}jo and Jordi Vitri{\`{a}}}, title = {Log-unbiased large-deformation image registration}, booktitle = {{VISAPP} 2007: Proceedings of the Second International Conference on Computer Vision Theory and Applications, Barcelona, Spain, March 8-11, 2007 - Volume 1}, pages = {272--279}, publisher = {{INSTICC} - Institute for Systems and Technologies of Information, Control and Communication}, year = {2007}, timestamp = {Wed, 24 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/visapp/YanovskyOTL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/YanoT07, author = {Kazuto Yano and Makoto Taromaru}, title = {Pre-FFT Type {MMSE} Adaptive Array Antenna to Suppress Asynchronous Interference for {OFDM} Packet Transmission}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {1394--1399}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/WCNC.2007.263}, doi = {10.1109/WCNC.2007.263}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/YanoT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ParraY06, author = {Carlos M. Parra and Masakazu Yano}, title = {Evolutionary dynamics of knowledge}, journal = {Complex.}, volume = {11}, number = {5}, pages = {12--19}, year = {2006}, url = {https://doi.org/10.1002/cplx.20127}, doi = {10.1002/CPLX.20127}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/ParraY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KojimaFYAS06, author = {Tetsuya Kojima and Akiko Fujiwara and Kenji Yano and Masahiro Aono and Naoki Suehiro}, title = {Comparison of the Two Signal Design Methods in the {CDMA} Systems Using Complete Complementary Codes}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {9}, pages = {2299--2306}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.9.2299}, doi = {10.1093/IETFEC/E89-A.9.2299}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KojimaFYAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ToyodaMFSYWIMK06, author = {Etsuko Toyoda and Morihiko Matsumoto and Tomoyuki Fujita and Kenichi Shioi and Kazuaki Yano and Masamitsu Watanabe and Toshihiro Ichino and Yoshimori Miyata and Nobuo Kuwaki}, title = {A Humidity-Control Method for Preventing Insulation Failure in Surge Protectors}, journal = {{IEICE} Trans. Commun.}, volume = {89-B}, number = {4}, pages = {1187--1193}, year = {2006}, url = {https://doi.org/10.1093/ietcom/e89-b.4.1187}, doi = {10.1093/IETCOM/E89-B.4.1187}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ToyodaMFSYWIMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijlt/RaymondKMY06, author = {David Raymond and Kazuhide Kanenishi and Kenji Matsuura and Yoneo Yano}, title = {Feasibility of videoconference-based lectures over the internet}, journal = {Int. J. Learn. Technol.}, volume = {2}, number = {1}, pages = {5--27}, year = {2006}, url = {https://doi.org/10.1504/IJLT.2006.008689}, doi = {10.1504/IJLT.2006.008689}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijlt/RaymondKMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/YanoverMW06, author = {Chen Yanover and Talya Meltzer and Yair Weiss}, title = {Linear Programming Relaxations and Belief Propagation - An Empirical Study}, journal = {J. Mach. Learn. Res.}, volume = {7}, pages = {1887--1907}, year = {2006}, url = {https://jmlr.org/papers/v7/yanover06a.html}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/YanoverMW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/YanoNTI06, author = {Hiroaki Yano and Masaki Nudejima and Masaki Tomiyoshi and Hiroo Iwata}, title = {Rigidity Distribution Rendering for a Tool-Handling Type Haptic Interface}, journal = {J. Robotics Mechatronics}, volume = {18}, number = {4}, pages = {418--425}, year = {2006}, url = {https://doi.org/10.20965/jrm.2006.p0418}, doi = {10.20965/JRM.2006.P0418}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/YanoNTI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/FlachsADFHGKLLL06, author = {Brian K. Flachs and Shigehiro Asano and Sang H. Dhong and H. Peter Hofstee and Gilles Gervais and Roy Kim and Tien Le and Peichun Liu and Jens Leenstra and John S. Liberty and Brad W. Michael and Hwa{-}Joon Oh and Silvia Melitta M{\"{u}}ller and Osamu Takahashi and A. Hatakeyama and Yukio Watanabe and Naoka Yano and Daniel A. Brokenshire and Mohammad Peyravian and Vandung To and Eiji Iwata}, title = {The microarchitecture of the synergistic processor for a cell processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {1}, pages = {63--70}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.859332}, doi = {10.1109/JSSC.2005.859332}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/FlachsADFHGKLLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaedaMHYTYYWNYT06, author = {Tadashi Maeda and Noriaki Matsuno and Shinichi Hori and Tomoyuki Yamase and Takashi Tokairin and Kiyoshi Yanagisawa and Hitoshi Yano and Robert Walkington and Keiichi Numata and Nobuhide Yoshida and Yuji Takahashi and Hikaru Hida}, title = {A Low-Power Dual-Band Triple-Mode {WLAN} {CMOS} Transceiver}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {11}, pages = {2481--2490}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.883323}, doi = {10.1109/JSSC.2006.883323}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaedaMHYTYYWNYT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MaedaYHMYTWYNYT06, author = {Tadashi Maeda and Hitoshi Yano and Shinichi Hori and Noriaki Matsuno and Tomoyuki Yamase and Takashi Tokairin and Robert Walkington and Nobuhide Yoshida and Keiichi Numata and Kiyoshi Yanagisawa and Yuji Takahashi and Masahiro Fujii and Hikaru Hida}, title = {Low-power-consumption direct-conversion {CMOS} transceiver for multi-standard 5-GHz wireless {LAN} systems with channel bandwidths of 5-20 MHz}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {2}, pages = {375--383}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.862339}, doi = {10.1109/JSSC.2005.862339}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MaedaYHMYTWYNYT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhMJTCMNTNYMD06, author = {Hwa{-}Joon Oh and Silvia M. M{\"{u}}ller and Christian Jacobi and Kevin D. Tran and Scott R. Cottier and Brad W. Michael and Hiroo Nishikawa and Yonetaro Totsuka and Tatsuya Namatame and Naoka Yano and Takashi Machida and Sang H. Dhong}, title = {A fully pipelined single-precision floating-point unit in the synergistic processor element of a {CELL} processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {759--771}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.870924}, doi = {10.1109/JSSC.2006.870924}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhMJTCMNTNYMD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HornYSG06, author = {John Darrell Van Horn and Melana Yanos and Paul J. Schmitt and Scott T. Grafton}, title = {Alcohol-induced suppression of {BOLD} activity during goal-directed visuomotor performance}, journal = {NeuroImage}, volume = {31}, number = {3}, pages = {1209--1221}, year = {2006}, url = {https://doi.org/10.1016/j.neuroimage.2006.01.020}, doi = {10.1016/J.NEUROIMAGE.2006.01.020}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HornYSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/KamitaniYM06, author = {Tatsuo Kamitani and Manabu Yano and Minoru Matsuda}, editor = {Hiroshi Ishii and Newton Lee and St{\'{e}}phane Natkin and Katsuhide Tsushima}, title = {Accuracy of musician's response time for an electronic music score system with a moving marker}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2006, Hollywood, California, USA, June 14-16, 2006}, pages = {88}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1178823.1178926}, doi = {10.1145/1178823.1178926}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/KamitaniYM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/antsw/OsherovichBY06, author = {Eliyahu Osherovich and Alfred M. Bruckstein and Vladimir Yanovski}, editor = {Marco Dorigo and Luca Maria Gambardella and Mauro Birattari and Alcherio Martinoli and Riccardo Poli and Thomas St{\"{u}}tzle}, title = {Covering a Continuous Domain by Distributed, Limited Robots}, booktitle = {Ant Colony Optimization and Swarm Intelligence, 5th International Workshop, {ANTS} 2006, Brussels, Belgium, September 4-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4150}, pages = {144--155}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11839088\_13}, doi = {10.1007/11839088\_13}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/antsw/OsherovichBY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgci/AltshulerYVWB06, author = {Yaniv Altshuler and Vladimir Yanovski and Daniel Vainsencher and Israel A. Wagner and Alfred M. Bruckstein}, editor = {Attila Kuba and L{\'{a}}szl{\'{o}} G. Ny{\'{u}}l and K{\'{a}}lm{\'{a}}n Pal{\'{a}}gyi}, title = {On Minimal Perimeter Polyminoes}, booktitle = {Discrete Geometry for Computer Imagery, 13th International Conference, {DGCI} 2006, Szeged, Hungary, October 25-27, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4245}, pages = {17--28}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11907350\_2}, doi = {10.1007/11907350\_2}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dgci/AltshulerYVWB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/OgataEY06, author = {Hiroaki Ogata and Moushir M. El{-}Bishouty and Yoneo Yano}, title = {Knowledge Awareness Map in Mobile Language-Learning}, booktitle = {Proceedings of the 6th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2006, Kerkrade, The Netherlands, July 5-7, 2006}, pages = {1180--1181}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICALT.2006.1652682}, doi = {10.1109/ICALT.2006.1652682}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/OgataEY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/GotodaMKNY06, author = {Naka Gotoda and Kenji Matsuura and Kazuhide Kanenishi and Keiji Niki and Yoneo Yano}, editor = {Riichiro Mizoguchi and Pierre Dillenbourg and Zhiting Zhu}, title = {Multi-step Annotation to Promote Reflective Learning with a Mobile Phone}, booktitle = {Learning by Effective Utilization of Technologies: Facilitating Intercultural Understanding, Proceeding of the 14th International Conference on Computers in Education, {ICCE} 2006, November 30 - December 4, 2006, Beijing, China}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {151}, pages = {477--480}, publisher = {{IOS} Press}, year = {2006}, timestamp = {Fri, 13 Feb 2009 10:15:34 +0100}, biburl = {https://dblp.org/rec/conf/icce/GotodaMKNY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/YuanYWMKY06, author = {Fei Yuan and Jing Yuan and Rong Wang and Hiroyuki Mitsuhara and Kazuhide Kanenishi and Yoneo Yano}, editor = {Riichiro Mizoguchi and Pierre Dillenbourg and Zhiting Zhu}, title = {Collaborative Building of Japanese Kanji Pronunciation Database for Learning Japanese by Chinese}, booktitle = {Learning by Effective Utilization of Technologies: Facilitating Intercultural Understanding, Proceeding of the 14th International Conference on Computers in Education, {ICCE} 2006, November 30 - December 4, 2006, Beijing, China}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {151}, pages = {413--416}, publisher = {{IOS} Press}, year = {2006}, timestamp = {Fri, 13 Feb 2009 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/YuanYWMKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/MakinoMOMY06, author = {Yoshinari Makino and Hisanori Makinae and Tsukasa Obara and Haruki Miura and Masafumi Yano}, title = {Observations of Olfactory Information Flows within Brain of the Terrestrial Slug, Inciralia fruhstorferi}, booktitle = {Proceedings of the International Joint Conference on Neural Networks, {IJCNN} 2006, part of the {IEEE} World Congress on Computational Intelligence, {WCCI} 2006, Vancouver, BC, Canada, 16-21 July 2006}, pages = {3874--3881}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IJCNN.2006.246884}, doi = {10.1109/IJCNN.2006.246884}, timestamp = {Tue, 10 Aug 2021 14:29:47 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/MakinoMOMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/new2an/GalkinSY06, author = {Anatoly M. Galkin and Olga A. Simonina and Gennady G. Yanovsky}, editor = {Yevgeni Koucheryavy and Jarmo Harju and Villy B{\ae}k Iversen}, title = {Multiservice {IP} Network QoS Parameters Estimation in Presence of Self-similar Traffic}, booktitle = {Next Generation Teletraffic and Wired/Wireless Advanced Networking, 6th International Conference, {NEW2AN} 2006, St. Petersburg, Russia, May 29 - June 2, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4003}, pages = {235--245}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11759355\_23}, doi = {10.1007/11759355\_23}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/new2an/GalkinSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/LiZ06a, author = {Ming Li and Yanong Zhu}, editor = {Wee Keong Ng and Masaru Kitsuregawa and Jianzhong Li and Kuiyu Chang}, title = {Image Classification Via {LZ78} Based String Kernel: {A} Comparative Study}, booktitle = {Advances in Knowledge Discovery and Data Mining, 10th Pacific-Asia Conference, {PAKDD} 2006, Singapore, April 9-12, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3918}, pages = {704--712}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11731139\_81}, doi = {10.1007/11731139\_81}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/LiZ06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/KusaharaHIKKMTY06, author = {Machiko Kusahara and Kazuhiko Hachiya and Masahiko Inami and Sachiko Kodama and Ryota Kuwakubo and Taro Maeda and Nobumichi Tosa and Hiroaki Yano and Hiroo Iwata}, editor = {John W. Finnegan and Mike McGrath}, title = {Device art, a new approach in media art: (poster{\_}0186)}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2006, Boston, Massachusetts, USA, July 30 - August 3, 2006, Research Posters}, pages = {143}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1179622.1179788}, doi = {10.1145/1179622.1179788}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/KusaharaHIKKMTY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/El-BishoutyOY06, author = {Moushir M. El{-}Bishouty and Hiroaki Ogata and Yoneo Yano}, title = {Learner-Space Knowledge Awareness Map in Computer Supported Ubiquitous Learning}, booktitle = {4th {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2006, Athens, Greece, November 16-17, 2006}, pages = {116--120}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/WMTE.2006.261357}, doi = {10.1109/WMTE.2006.261357}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/El-BishoutyOY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/MitsuharaOKY06, author = {Hiroyuki Mitsuhara and Hiroaki Ogata and Kazuhide Kanenishi and Yoneo Yano}, title = {Real World Interaction Oriented Edutainment using Ubiquitous Devices}, booktitle = {4th {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2006, Athens, Greece, November 16-17, 2006}, pages = {150--152}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/WMTE.2006.261365}, doi = {10.1109/WMTE.2006.261365}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmte/MitsuharaOKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/AltshulerYWB06, author = {Yaniv Altshuler and Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, editor = {Nadia Nedjah and Luiza de Macedo Mourelle}, title = {Swarm Intelligence - Searchers, Cleaners and Hunters}, booktitle = {Swarm Intelligent Systems}, series = {Studies in Computational Intelligence}, volume = {26}, pages = {93--132}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-33869-7\_5}, doi = {10.1007/978-3-540-33869-7\_5}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/AltshulerYWB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/SazeidesKTC05, author = {Yiannakis Sazeides and Rakesh Kumar and Dean M. Tullsen and Theofanis Constantinou}, title = {The Danger of Interval-Based Power Efficiency Metrics: When Worst Is Best}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {4}, number = {1}, pages = {1}, year = {2005}, url = {https://doi.org/10.1109/L-CA.2005.2}, doi = {10.1109/L-CA.2005.2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/SazeidesKTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/RaymondKMBGYD05, author = {David Raymond and Kazuhide Kanenishi and Kenji Matsuura and V{\'{e}}ronique Baudin and Thierry Gayraud and Yoneo Yano and Michel Diaz}, title = {A Model for Content and Communication Management in Synchronous Learning}, journal = {J. Educ. Technol. Soc.}, volume = {8}, number = {3}, pages = {187--205}, year = {2005}, url = {https://www.j-ets.net/ETS/journals/8\_3/17.pdf}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/RaymondKMBGYD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmmsc/Garcia-AlvaradoFO05, author = {Mart{\'{\i}}n G. Garc{\'{\i}}a{-}Alvarado and R. Flores{-}Espinoza and Georgy A. Omel'yanov}, title = {Interaction of shock waves in gas dynamics: Uniform in time asymptotics}, journal = {Int. J. Math. Math. Sci.}, volume = {2005}, number = {19}, pages = {3111--3126}, year = {2005}, url = {https://doi.org/10.1155/IJMMS.2005.3111}, doi = {10.1155/IJMMS.2005.3111}, timestamp = {Mon, 27 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmmsc/Garcia-AlvaradoFO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interfaces/ChaoCDMNTY05, author = {John Chao and Matty Chen and Aileen Deng and Harold Miao and Alexandra M. Newman and Sophia Tseng and Candace A. Yano}, title = {Safeway Designs Mixed-Product Pallets to Support Just-in-Time Deliveries}, journal = {Interfaces}, volume = {35}, number = {4}, pages = {294--307}, year = {2005}, url = {https://doi.org/10.1287/inte.1050.0144}, doi = {10.1287/INTE.1050.0144}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interfaces/ChaoCDMNTY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/ConstantinouSMFS05, author = {Theofanis Constantinou and Yiannakis Sazeides and Pierre Michaud and Damien Fetis and Andr{\'{e}} Seznec}, title = {Performance implications of single thread migration on a chip multi-core}, journal = {{SIGARCH} Comput. Archit. News}, volume = {33}, number = {4}, pages = {80--91}, year = {2005}, url = {https://doi.org/10.1145/1105734.1105745}, doi = {10.1145/1105734.1105745}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/ConstantinouSMFS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amt/MitsuharaKY05, author = {Hiroyuki Mitsuhara and Kazuhide Kanenishi and Yoneo Yano}, editor = {Hiroyuki Tarumi and Yuefeng Li and Tetsuya Yoshida}, title = {Adaptive tools for multiperspective thinking in Web-based exploratory learning}, booktitle = {Proceedings of the 2005 International Conference on Active Media Technology, {AMT} 2005, Kagawa International Conference Hall, Takamatsu, Kagawa, Japan, May 19-21, 2005}, pages = {163--168}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/AMT.2005.1505298}, doi = {10.1109/AMT.2005.1505298}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amt/MitsuharaKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amt/YuanMKY05, author = {Fei Yuan and Hiroyuki Mitsuhara and Kazuhide Kanenishi and Yoneo Yano}, editor = {Hiroyuki Tarumi and Yuefeng Li and Tetsuya Yoshida}, title = {A Web-based collaborative authoring system for Web educational material with high reusability}, booktitle = {Proceedings of the 2005 International Conference on Active Media Technology, {AMT} 2005, Kagawa International Conference Hall, Takamatsu, Kagawa, Japan, May 19-21, 2005}, pages = {193--198}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/AMT.2005.1505306}, doi = {10.1109/AMT.2005.1505306}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amt/YuanMKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arith/MullerJOTCMNTNYMD05, author = {Silvia M. M{\"{u}}ller and Christian Jacobi and Hwa{-}Joon Oh and Kevin D. Tran and Scott R. Cottier and Brad W. Michael and Hiroo Nishikawa and Yonetaro Totsuka and Tatsuya Namatame and Naoka Yano and Takashi Machida and Sang H. Dhong}, title = {The Vector Floating-Point Unit in a Synergistic Processor Element of a {CELL} Processor}, booktitle = {17th {IEEE} Symposium on Computer Arithmetic {(ARITH-17} 2005), 27-29 June 2005, Cape Cod, MA, {USA}}, pages = {59--67}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ARITH.2005.45}, doi = {10.1109/ARITH.2005.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arith/MullerJOTCMNTNYMD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/c5/OkamuraMY05, author = {Syuzi Okamura and Isao Matushima and Yoneo Yano}, title = {The Effective Learning Support Strategy for Self Learning with Problem-Based Learning}, booktitle = {3rd Conference on Creating, Connecting and Collaborating through Computing (C\({}^{\mbox{5}}\) 2005), January 28-29, 2005, Cambridge, MA, {USA}}, pages = {150--157}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/C5.2005.29}, doi = {10.1109/C5.2005.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/c5/OkamuraMY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgi/EndoMF05, author = {Luis Carlos Yano Endo and Carlos Hitoshi Morimoto and Antonio Elias Fabris}, editor = {Baining Guo and Hanspeter Pfister and Dimitris Samaras}, title = {JEcoSys - a framework for interactive plants simulation}, booktitle = {Computer Graphics International 2005, {CGI} 2005, Stony Brook, NY, USA, June 22-24, 2005}, pages = {66--73}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CGI.2005.1500376}, doi = {10.1109/CGI.2005.1500376}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgi/EndoMF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/NagamotoYUSO05, author = {Taichi Nagamoto and Satoshi Yano and Mitsuru Uchida and Yuichiro Shibata and Kiyoshi Oguri}, editor = {Laurence Tianruo Yang and Makoto Amamiya and Zhen Liu and Minyi Guo and Franz J. Rammig}, title = {New Area Management Method Based on "Pressure" for Plastic Cell Architecture}, booktitle = {Embedded and Ubiquitous Computing - {EUC} 2005, International Conference {EUC} 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3824}, pages = {418--427}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11596356\_43}, doi = {10.1007/11596356\_43}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/NagamotoYUSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/YanoNI05, author = {Hiroaki Yano and Masaki Nudejima and Hiroo Iwata}, title = {Development of Haptic Rendering Methods of Rigidity Distribution for Tool-Handling Type Haptic Interface}, booktitle = {First Joint Eurohaptics Conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, {WHC} 2005, Pisa, Italy, March 18-20, 2005}, pages = {569--570}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WHC.2005.38}, doi = {10.1109/WHC.2005.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/YanoNI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/MitsuharaKY05, author = {Hiroyuki Mitsuhara and Kazuhide Kanenishi and Yoneo Yano}, editor = {Kinshuk and Demetrios G. Sampson and Pedro T. Isa{\'{\i}}as}, title = {Educational Serialization of Web Pages for Web-Based Exploratory Learning}, booktitle = {Cognition and Exploratory Learning in Digital Age, {CELDA} 2005, 14-16 December 2005, Porto, Portugal, Proceedings}, pages = {261--268}, publisher = {{IADIS}}, year = {2005}, timestamp = {Tue, 07 Nov 2006 08:28:21 +0100}, biburl = {https://dblp.org/rec/conf/iadis/MitsuharaKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibpria/ZhuFZ05, author = {Yanong Zhu and Mark Fisher and Reyer Zwiggelaar}, editor = {Jorge S. Marques and Nicolas P{\'{e}}rez de la Blanca and Pedro Pina}, title = {Improving {ASM} Search Using Mixture Models for Grey-Level Profiles}, booktitle = {Pattern Recognition and Image Analysis, Second Iberian Conference, IbPRIA 2005, Estoril, Portugal, June 7-9, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3522}, pages = {292--299}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11492429\_36}, doi = {10.1007/11492429\_36}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ibpria/ZhuFZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/MeltzerYW05, author = {Talya Meltzer and Chen Yanover and Yair Weiss}, title = {Globally Optimal Solutions for Energy Minimization in Stereo Vision Using Reweighted Belief Propagation}, booktitle = {10th {IEEE} International Conference on Computer Vision {(ICCV} 2005), 17-20 October 2005, Beijing, China}, pages = {428--435}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCV.2005.110}, doi = {10.1109/ICCV.2005.110}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/MeltzerYW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LundstromWY05, author = {Mark S. Lundstrom and Philip Wong and Kazuo Yano}, title = {Nanoelectronics: Evolution or Revolution?}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {459}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.83}, doi = {10.1109/ISQED.2005.83}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LundstromWY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/MeraYI05, author = {Kazuya Mera and Hiromi Yano and Takumi Ichimura}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {Attribute Intensity Calculating Method from Evaluative Sentences by Fuzzy Inference}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3681}, pages = {893--900}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11552413\_128}, doi = {10.1007/11552413\_128}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/MeraYI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/ItoYO05, author = {Makoto Ito and Yoshikazu Yano and Shigeru Okuma}, title = {A Study of Visual Attention System Based on Recognition Feedback for Image Sequence}, booktitle = {Proceedings of the {IAPR} Conference on Machine Vision Applications {(IAPR} {MVA} 2005), May 16-18, 2005, Tsukuba Science City, Japan}, pages = {156--159}, year = {2005}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/2005/papers/2005156.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/ItoYO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/Artishchev-ZapolotskyEY05, author = {Maria Artishchev{-}Zapolotsky and Shimon Even and Vladimir Yanovski}, editor = {Hamid R. Arabnia}, title = {Layout of an Arbitrary Permutation in a Minimal Right Triangle Area}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2005, Las Vegas, Nevada, USA, June 27-30, 2005, Volume 1}, pages = {124--130}, publisher = {{CSREA} Press}, year = {2005}, timestamp = {Wed, 25 Jan 2006 09:49:27 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/Artishchev-ZapolotskyEY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbes/MacielY05, author = {Luiz Alexandre Hiane da Silva Maciel and Edgar Toshiro Yano}, editor = {Arndt von Staa}, title = {Uma Linguagem de Workflow Para Composi{\c{c}}{\~{a}}o de Web Services - {LCWS}}, booktitle = {Proceedings of the 19th Brazilian Symposium on Software Engineering, {SBES} 2005, Uberl{\^{a}}ndia, MG, Brazil, October 3-7, 2005}, pages = {104--119}, publisher = {{SBC}}, year = {2005}, url = {https://doi.org/10.5753/sbes.2005.23814}, doi = {10.5753/SBES.2005.23814}, timestamp = {Mon, 08 Jan 2024 11:24:25 +0100}, biburl = {https://dblp.org/rec/conf/sbes/MacielY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbsi/LimaMHYCM05, author = {Joubert de Castro Lima and Luiz Alexandre Hiane da Silva Maciel and Celso Massaki Hirata and Edgar Toshiro Yano and Adilson Marques da Cunha and Maur{\'{\i}}cio Micoski}, editor = {Alexandre Cidral and Olinto Jos{\'{e}} Varela Furtado}, title = {Um estudo de caso sobre a tecnologia de Business Intelligence na {\'{a}}rea de tr{\'{a}}fego de uma pra{\c{c}}a de ped{\'{a}}gio}, booktitle = {Proceedings of the 2nd Brazilian Symposium on Information Systems, {SBSI} 2005, Florian{\'{o}}polis, Brazil, October 26-28, 2005}, pages = {208--215}, year = {2005}, url = {https://doi.org/10.5753/sbsi.2005.14986}, doi = {10.5753/SBSI.2005.14986}, timestamp = {Thu, 17 Feb 2022 17:18:55 +0100}, biburl = {https://dblp.org/rec/conf/sbsi/LimaMHYCM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/FunabashiHSSKFY05, author = {Motohisa Funabashi and Koichi Homma and Toshiro Sasaki and Yoshinori Sato and Kunihiko Kido and Takashi Fukumoto and Koujin Yano}, title = {Socio-technical issues for ubiquitous information society in 2010}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Waikoloa, Hawaii, USA, October 10-12, 2005}, pages = {1978--1983}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICSMC.2005.1571437}, doi = {10.1109/ICSMC.2005.1571437}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/FunabashiHSSKFY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HommaSKFYKSF05, author = {Koichi Homma and Yoshinori Sato and Hiromitsu Kato and Takashi Fukumoto and Koujin Yano and Ken{-}ichiroh Kawakami and Toshiro Sasaki and Motohisa Funabashi}, title = {Systems technologies for the ubiquitous society}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Waikoloa, Hawaii, USA, October 10-12, 2005}, pages = {1989--1994}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICSMC.2005.1571439}, doi = {10.1109/ICSMC.2005.1571439}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/HommaSKFYKSF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MurakamiDOY05, author = {Koji Murakami and Shinji Doki and Shigeru Okuma and Yoshikazu Yano}, title = {A study of extraction method of motion patterns observed frequently from time-series posture data}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Waikoloa, Hawaii, USA, October 10-12, 2005}, pages = {3610--3615}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICSMC.2005.1571708}, doi = {10.1109/ICSMC.2005.1571708}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/MurakamiDOY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/MatsuuraNKY05, author = {Kenji Matsuura and Keiji Niki and Masahiko Katayama and Yoneo Yano}, title = {Development of the Digital Portfolio Environment for both {PC} and {PDA} clients}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {79--81}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.18}, doi = {10.1109/WMTE.2005.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/MatsuuraNKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/ParedesOYM05, author = {Rosa G. J. Paredes and Hiroaki Ogata and Yoneo Yano and Gerardo Ayala San Martin}, title = {A Multi-Model Approach for Supporting the Personalization of Ubiquitous Learning Applications}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {40--44}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.5}, doi = {10.1109/WMTE.2005.5}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/ParedesOYM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/RaymondYMBGDKM05, author = {David Raymond and Yoneo Yano and Carlos Eduardo Mauad and V{\'{e}}ronique Baudin{-}Thomas and Thierry Gayraud and Michel Diaz and Kazuhide Kanenishi and Kenji Matsuura}, title = {Bringing Mobility to Synchronous Collaborative Activities: Recent Enhancements of the "Platine" Platform}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {59--61}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.11}, doi = {10.1109/WMTE.2005.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/RaymondYMBGDKM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/SaitoOPYM05, author = {Nobuji A. Saito and Hiroaki Ogata and Rosa G. J. Paredes and Yoneo Yano and Gerardo Ayala San Martin}, title = {Supporting Classroom Activities with the {BSUL} Environment}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {243--250}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.61}, doi = {10.1109/WMTE.2005.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/SaitoOPYM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/SalcedoOY05, author = {Raul Morales Salcedo and Hiroaki Ogata and Yoneo Yano}, title = {Towards a New Digital Library Infrastructure with {RFID} for Mobile ELearning}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {261--263}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.66}, doi = {10.1109/WMTE.2005.66}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmte/SalcedoOY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/idea/siau2005/SongYTL05, author = {Il{-}Yeol Song and Kurt Yano and Juan Trujillo and Sergio Luj{\'{a}}n{-}Mora}, editor = {John Krogstie and Terry A. Halpin and Keng Siau}, title = {A Taxonomic Class Modeling Methodology for Object-Oriented Analysis}, booktitle = {Information Modeling Methods and Methodologies}, pages = {216--240}, publisher = {Idea Group}, year = {2005}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/idea/siau2005/SongYTL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/KatayamaYH04, author = {Katsuki Katayama and Masafumi Yano and Tsuyoshi Horiguchi}, title = {Neural network model of selective visual attention using Hodgkin-Huxley equation}, journal = {Biol. Cybern.}, volume = {91}, number = {5}, pages = {315--325}, year = {2004}, url = {https://doi.org/10.1007/s00422-004-0504-4}, doi = {10.1007/S00422-004-0504-4}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/KatayamaYH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwin/OnwukaNTY04, author = {Elizabeth N. Onwuka and Zhisheng Niu and Shiro Tanabe and Masashi Yano}, title = {Scalability and Performance Analysis of Multi-Level Hierarchy in {IP} Micro-Mobility Management}, journal = {Int. J. Wirel. Inf. Networks}, volume = {11}, number = {2}, pages = {71--78}, year = {2004}, url = {https://doi.org/10.1023/B:IJWI.0000034539.79336.cc}, doi = {10.1023/B:IJWI.0000034539.79336.CC}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwin/OnwukaNTY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/MitsuharaOY04, author = {Hiroyuki Mitsuhara and Youji Ochi and Yoneo Yano}, title = {A web-based learning system with the framework of linking explanations of related knowledge to web pages}, journal = {Syst. Comput. Jpn.}, volume = {35}, number = {10}, pages = {102--113}, year = {2004}, url = {https://doi.org/10.1002/scj.10517}, doi = {10.1002/SCJ.10517}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/MitsuharaOY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/FilhoHY04, author = {Wladimir Ara{\'{u}}jo Filho and Celso Massaki Hirata and Edgar Toshiro Yano}, title = {GroupSim: {A} Collaborative Environment for Discrete Event Simulation Software Development for the World Wide Web}, journal = {Simul.}, volume = {80}, number = {6}, pages = {257--272}, year = {2004}, url = {https://doi.org/10.1177/0037549704044882}, doi = {10.1177/0037549704044882}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/FilhoHY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YanoKM04, author = {Yuji Yano and Tetsushi Koide and Hans J{\"{u}}rgen Mattausch}, editor = {Masaharu Imai}, title = {Associative memory with fully parallel nearest-Manhattan-distance search for low-power real-time single-chip applications}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {543--544}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.61}, doi = {10.1109/ASPDAC.2004.61}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YanoKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cars/SakumaMIMKY04, author = {Ichiro Sakuma and K. Mukaiyama and Iulian Iordachita and Kiyoshi Matsumiya and Etsuko Kobayashi and H. Yano}, editor = {Heinz U. Lemke and Kiyonari Inamura and Kunio Doi and Michael W. Vannier and Allan G. Farman and Johan H. C. Reiber}, title = {A bone cutting device for rotational acetabular osteotomy {(RAO)} with a curved oscillating saw}, booktitle = {{CARS} 2004. Computer Assisted Radiology and Surgery. Proceedings of the 18th International Congress and Exhibition, Chicago, USA, June 23-26, 2004}, series = {International Congress Series}, volume = {1268}, pages = {632--637}, publisher = {Elsevier}, year = {2004}, timestamp = {Mon, 10 Jan 2005 11:17:57 +0100}, biburl = {https://dblp.org/rec/conf/cars/SakumaMIMKY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/IshiiOMSAKWY04, author = {Tomoyuki Ishii and Taro Osabe and Toshiyuki Mine and Toshiaki Sano and Bryan Atwood and Norifumi Kameshiro and Takao Watanabe and Kazuo Yano}, title = {{SESO} memory: {A} 3T gain cell solution using ultra thin silicon film for dense and low power embedded memories}, booktitle = {Proceedings of the {IEEE} 2004 Custom Integrated Circuits Conference, {CICC} 2004, Orlando, FL, USA, October 2004}, pages = {457--463}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CICC.2004.1358850}, doi = {10.1109/CICC.2004.1358850}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/IshiiOMSAKWY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShimizuYO04, author = {Masao Shimizu and Takahiro Yano and Masatoshi Okutomi}, title = {Precise Simultaneous Estimation of Image Deformation Parameters}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2004, Washington, DC, USA, June 27 - July 2, 2004}, pages = {175}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/CVPR.2004.420}, doi = {10.1109/CVPR.2004.420}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ShimizuYO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/AtwoodIWMKSY04, author = {Bryan Atwood and Tomoyuki Ishii and Takao Watanabe and Toshiyuki Mine and Norifumi Kameshiro and Toshiaki Sano and Kazuo Yano}, editor = {Michiel Steyaert and C. L. Claeys}, title = {A cavity channel {SESO} embedded memory with low standby-power techniques}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2004, Leuven, Belgium, September 21-23, 2004}, pages = {351--354}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ESSCIR.2004.1356690}, doi = {10.1109/ESSCIR.2004.1356690}, timestamp = {Wed, 29 Mar 2023 10:59:49 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/AtwoodIWMKSY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/IwataYUM04, author = {Hiroo Iwata and Hiroaki Yano and Takahiro Uemura and Tetsuro Moriya}, title = {Food Texture Display}, booktitle = {12th International Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems {(HAPTICS} 2004), 27-28 March 2004, Chicago, IL, USA, Proceedings}, pages = {310--315}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/HAPTIC.2004.1287214}, doi = {10.1109/HAPTIC.2004.1287214}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/IwataYUM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/YanoIKM04, author = {Hiroaki Yano and Hiromi Igawa and Toshihiro Kameda and Koichi Muzutani}, title = {AudioHaptics: Audio and Haptic Rendering Based on a Physical Model}, booktitle = {12th International Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems {(HAPTICS} 2004), 27-28 March 2004, Chicago, IL, USA, Proceedings}, pages = {250--257}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/HAPTIC.2004.1287203}, doi = {10.1109/HAPTIC.2004.1287203}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/YanoIKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/GurbuzKRY04, author = {Sabri Gurbuz and Keisuke Kinoshita and Marcia Riley and Sumio Yano}, title = {Biologically valid jaw movements for talking humanoid robots}, booktitle = {4th {IEEE/RAS} International Conference on Humanoid Robots, Humanoids 2004, Santa Monica, CA, USA, November 10-12, 2004}, pages = {781--793}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICHR.2004.1442685}, doi = {10.1109/ICHR.2004.1442685}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/GurbuzKRY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/MitsuharaKY04, author = {Hiroyuki Mitsuhara and Kazuhide Kanenishi and Yoneo Yano}, editor = {Kinshuk and Demetrios G. Sampson and Pedro T. Isa{\'{\i}}as}, title = {Adaptive Link Generation for Multiperspective Thinking in Web-Based Exploratory Learning}, booktitle = {Cognition and Exploratory Learning in Digital Age (CELDA'04), Proceedings of the {IADIS} International Conference, Lisbon, Portugal, 15-17 December 2004}, pages = {217--223}, publisher = {{IADIS}}, year = {2004}, timestamp = {Tue, 07 Nov 2006 08:28:10 +0100}, biburl = {https://dblp.org/rec/conf/iadis/MitsuharaKY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SalcedoY04, author = {Raul Morales Salcedo and Yoneo Yano}, title = {Supporting Course Sequencing in a Digital Library: Usage of Dynamic Metadata for Learning Objects}, booktitle = {{ICEIS} 2004, Proceedings of the 6th International Conference on Enterprise Information Systems, Porto, Portugal, April 14-17, 2004}, pages = {319--324}, year = {2004}, timestamp = {Thu, 02 Feb 2017 12:56:49 +0100}, biburl = {https://dblp.org/rec/conf/iceis/SalcedoY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciar/HuangFZ04, author = {Xiaoqiang Huang and Mark Fisher and Yanong Zhu}, editor = {Aur{\'{e}}lio C. Campilho and Mohamed S. Kamel}, title = {From Min Tree to Watershed Lake Tree: Theory and Implementation}, booktitle = {Image Analysis and Recognition: International Conference, {ICIAR} 2004, Porto, Portugal, September 29-October 1, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3212}, pages = {848--857}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30125-7\_105}, doi = {10.1007/978-3-540-30125-7\_105}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/iciar/HuangFZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/KatayamaYH04, author = {Katsuki Katayama and Masafumi Yano and Tsuyoshi Horiguchi}, editor = {Nikhil R. Pal and Nikola K. Kasabov and Rajani K. Mudi and Srimanta Pal and Swapan K. Parui}, title = {Synchronous Phenomena for Two-Layered Neural Network with Chaotic Neurons}, booktitle = {Neural Information Processing, 11th International Conference, {ICONIP} 2004, Calcutta, India, November 22-25, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3316}, pages = {19--30}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30499-9\_3}, doi = {10.1007/978-3-540-30499-9\_3}, timestamp = {Thu, 04 Jun 2020 19:07:58 +0200}, biburl = {https://dblp.org/rec/conf/iconip/KatayamaYH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ShimizuYO04, author = {Masao Shimizu and Takahiro Yano and Masatoshi Okutomi}, title = {Super-Resolution under Image Deformation}, booktitle = {17th International Conference on Pattern Recognition, {ICPR} 2004, Cambridge, UK, August 23-26, 2004}, pages = {586--589}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPR.2004.1334597}, doi = {10.1109/ICPR.2004.1334597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/ShimizuYO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/EsenYB04, author = {Hasan Esen and Ken'ichi Yano and Martin Buss}, title = {A virtual environment medical training system for bone drilling with 3 {DOF} force feedback}, booktitle = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, pages = {3631--3636}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IROS.2004.1389979}, doi = {10.1109/IROS.2004.1389979}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/EsenYB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismse/RaymondBKGMDY04, author = {David Raymond and V{\'{e}}ronique Baudin{-}Thomas and Kazuhide Kanenishi and Thierry Gayraud and Kenji Matsuura and Michel Diaz and Yoneo Yano}, title = {Distant e-learning using synchronous collaborative environment "Platine"}, booktitle = {Sixth {IEEE} International Symposium on Multimedia Software Engineering, {ISMSE} 2004, Miami, Florida, USA, December 13-15, 2004}, pages = {88--95}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/MMSE.2004.41}, doi = {10.1109/MMSE.2004.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismse/RaymondBKGMDY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/FlaxmanIY04, author = {Michael Flaxman and Yuzuru Isoda and Keiji Yano}, editor = {Ronen Barzel}, title = {Visual simulation of the interaction between market demand, planning rules and city form}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2004, Los Angeles, California, USA, August 8-12, 2004, Sketches}, pages = {12}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1186223.1186238}, doi = {10.1145/1186223.1186238}, timestamp = {Fri, 12 Mar 2021 11:31:47 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/FlaxmanIY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MaemotoOY04, author = {Hiroki Maemoto and Yoshikazu Okuma and Shigeru Yano}, title = {Parametric vehicle recognition using knowledge acquisition system}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: The Hague, Netherlands, 10-13 October 2004}, pages = {3982--3987}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICSMC.2004.1400967}, doi = {10.1109/ICSMC.2004.1400967}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/MaemotoOY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/SatoSKFYKHSF04, author = {Yoshinori Sato and Seiichi Shin and Hiromitsu Kato and Takashi Fukumoto and Koujin Yano and Ken{-}ichiroh Kawakami and Koichi Homma and Toshiro Sasaki and Motohisa Funabashi}, title = {Designing the ubiquitous information society based on an approach of trans-disciplinary science and technology}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: The Hague, Netherlands, 10-13 October 2004}, pages = {5651--5656}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICSMC.2004.1401094}, doi = {10.1109/ICSMC.2004.1401094}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/SatoSKFYKHSF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/IwataYUM04, author = {Hiroo Iwata and Hiroaki Yano and Takahiro Uemura and Tetsuro Moriya}, title = {Food Simulator: {A} Haptic Interface for Biting}, booktitle = {{IEEE} Virtual Reality Conference 2004 {(VR} 2004), 27-31 March 2004, Chicago, IL, USA, Proceedings}, pages = {51--58}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/VR.2004.40}, doi = {10.1109/VR.2004.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/IwataYUM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/SalcedoOY04, author = {Raul Morales Salcedo and Hiroaki Ogata and Yoneo Yano}, editor = {Jingtao Yao and Vijay V. Raghavan and G. Y. Wang}, title = {Enhancing Interoperability Services in the U-campus Digital Library Project}, booktitle = {Proceedings of the 2nd International Workshop on Web-based Support Systems, September 20, 2004, Beijing, China}, pages = {119--126}, publisher = {Saint Mary's University, Canada}, year = {2004}, timestamp = {Fri, 26 Mar 2021 08:28:30 +0100}, biburl = {https://dblp.org/rec/conf/webi/SalcedoOY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/YanovskiWB03, author = {Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, title = {A Distributed Ant Algorithm for Efficiently Patrolling a Network}, journal = {Algorithmica}, volume = {37}, number = {3}, pages = {165--186}, year = {2003}, url = {https://doi.org/10.1007/s00453-003-1030-9}, doi = {10.1007/S00453-003-1030-9}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/YanovskiWB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/YanoS03, author = {Masaharu Yano and Yuzo Seo}, title = {Conflicts among Net news participants and cultural background}, journal = {Internet Res.}, volume = {13}, number = {5}, pages = {386--399}, year = {2003}, url = {https://doi.org/10.1108/10662240310501667}, doi = {10.1108/10662240310501667}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/intr/YanoS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/KozhinYL03, author = {Mikhail Kozhin and Ilya Yanov and Jerzy Leszczynski}, title = {Network visualization system for computational chemistry}, journal = {J. Comput. Chem.}, volume = {24}, number = {13}, pages = {1678--1687}, year = {2003}, url = {https://doi.org/10.1002/jcc.10158}, doi = {10.1002/JCC.10158}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/KozhinYL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SasakiSKKKMY03, author = {Yasuhiko Sasaki and Mitsumasa Sato and Masaru Kuramoto and Fujio Kikuchi and Tsutomu Kawashima and Hiroo Masuda and Kazuo Yano}, title = {Crosstalk delay analysis of a 0.13-{\(\mu\)}m node test chip and precise gate-level simulation technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {5}, pages = {702--708}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.810062}, doi = {10.1109/JSSC.2003.810062}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SasakiSKKKMY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/ToriiYM03, author = {Shuichi Torii and Toshiaki Yano and H. Maeda}, title = {Counterflow diffusion flame under reduced gravity}, journal = {J. Vis.}, volume = {6}, number = {1}, pages = {6}, year = {2003}, url = {https://doi.org/10.1007/BF03180957}, doi = {10.1007/BF03180957}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/ToriiYM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KoideMYGS03, author = {Tetsushi Koide and Hans J{\"{u}}rgen Mattausch and Yuji Yano and Takayuki Gyohten and Yoshihiro Soda}, editor = {Hiroto Yasuura}, title = {A nearest-hamming-distance search memory with fully parallel mixed digital-analog match circuitry}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {591--592}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119906}, doi = {10.1145/1119772.1119906}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KoideMYGS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/DengIYH03, author = {Mingcong Deng and Akira Inoue and Akira Yanou and Yoichi Hirashima}, title = {Continuous-time anti-windup generalized predictive control of non-minimum phase processes with input constraints}, booktitle = {42nd {IEEE} Conference on Decision and Control, {CDC} 2003, Maui, Hawaii, USA, December 9-12, 2003}, pages = {4457--4462}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CDC.2003.1272229}, doi = {10.1109/CDC.2003.1272229}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/DengIYH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/HoriMYMNYTYWH03, author = {Shinichi Hori and Tadashi Maeda and Hitoshi Yano and Noriaki Matsuno and Keiichi Numata and Nobuhide Yoshida and Yuji Takahashi and Tomoyuki Yamase and Robert Walkington and Hikaru Hikaru}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A widely tunable {CMOS} Gm-C filter with a negative source degeneration resistor transconductor}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {449--452}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257169}, doi = {10.1109/ESSCIRC.2003.1257169}, timestamp = {Tue, 04 Jul 2023 08:46:31 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/HoriMYMNYTYWH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsr/MiuraSSMTY03, author = {Jun Miura and Yoshiaki Shirai and Nobutaka Shimada and Yasushi Makihara and Masao Takizawa and Yoshio Yano}, editor = {Shin'ichi Yuta and Hajime Asama and Sebastian Thrun and Erwin Prassler and Takashi Tsubouchi}, title = {Development of a Personal Service Robot with User-Friendly Interfaces}, booktitle = {Field and Service Robotics, Recent Advances in Reserch and Applications, {FSR} 2003, Lake Yamanaka, Japan, 14-16 July 2003}, series = {Springer Tracts in Advanced Robotics}, volume = {24}, pages = {427--436}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/10991459\_41}, doi = {10.1007/10991459\_41}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fsr/MiuraSSMTY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/OhbuchiYMN03, author = {Kazuhisa Ohbuchi and Tetsuya Yano and Shunji Miyazaki and Takaharu Nakamura}, title = {Turbo decoder for high-speed application in wideband-code division multiple access system}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {2355--2359}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258656}, doi = {10.1109/GLOCOM.2003.1258656}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/OhbuchiYMN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/YanoYI03, author = {Hiroaki Yano and Masayuki Yoshie and Hiroo Iwata}, title = {Development of a Non-Grounded Haptic Interface Using the Gyro Effect}, booktitle = {11th International Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems {(HAPTICS} 2003), 22-23 March 2003, Los Angeles, CA, USA, Proceedings}, pages = {32--39}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HAPTIC.2003.1191223}, doi = {10.1109/HAPTIC.2003.1191223}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/YanoYI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icat/IwataYUM03, author = {Hiroo Iwata and Hiroaki Yano and Takahiro Uemura and Tetsuro Moriya}, title = {Food Simulator}, booktitle = {Online Proceeding of the 13th International Conference on Artificial Reality and Telexistence, December 3-5, 2003, Keio University, Tokyo, Japan}, year = {2003}, url = {http://www.vrsj.org/ic-at/ICAT2003/php/upload/108\_camera\_2f13e14e3f6bddc912540bf7cfe8a1be.pdf}, timestamp = {Tue, 19 Apr 2005 12:56:22 +0200}, biburl = {https://dblp.org/rec/conf/icat/IwataYUM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/LimaCPFSY03, author = {Joubert de Castro Lima and Tiago Garcia de Senna Carneiro and Rodrigo Martins Pagliares and J{\'{u}}lio C{\'{e}}sar Ferreira and Jo{\~{a}}o Bosco M. Sobral and Edgar Toshiro Yano}, title = {Archcollect: {A} Set of Components Towards Web Users' Interactions}, booktitle = {{ICEIS} 2003, Proceedings of the 5th International Conference on Enterprise Information Systems, Angers, France, April 22-26, 2003}, pages = {308--316}, year = {2003}, timestamp = {Thu, 02 Feb 2017 12:57:29 +0100}, biburl = {https://dblp.org/rec/conf/iceis/LimaCPFSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RosnerMSR03, author = {Roni Rosner and Micha Moffie and Yiannakis Sazeides and Ronny Ronen}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Selecting long atomic traces for high coverage}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {2--11}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782818}, doi = {10.1145/782814.782818}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/RosnerMSR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/AsamaYTIYOIK03, author = {Hajime Asama and Masafumi Yano and Kazuo Tsuchiya and Koji Ito and Hideo Yuasa and Jun Ota and Akio Ishiguro and Toshiyuki Kondo}, title = {System principle on emergence of Mobiligence and its engineering realization}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {1715--1720}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1248891}, doi = {10.1109/IROS.2003.1248891}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/AsamaYTIYOIK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KanekoSYT03, author = {Motoki Kaneko and Yu Sugimoto and Ken'ichi Yano and Kazuhiko Terashima}, title = {Supervisory control of pouring process by tilting-type automatic pouring robot}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {3004--3009}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1249328}, doi = {10.1109/IROS.2003.1249328}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/KanekoSYT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MiyazakiTONOKY03, author = {Masayuki Miyazaki and Hidetoshi Tanaka and Goichi Ono and Tomohiro Nagano and Norio Ohkubo and Takayuki Kawahara and Kazuo Yano}, editor = {Ingrid Verbauwhede and Hyung Roh}, title = {Electric-energy generation using variable-capacitive resonator for power-free {LSI:} efficiency analysis and fundamental experiment}, booktitle = {Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003}, pages = {193--198}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/871506.871555}, doi = {10.1145/871506.871555}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MiyazakiTONOKY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ItoYMAK03, author = {Shin{-}Ichi Ito and Kensuke Yano and Yasue Mitsukura and Norio Akamatsu and Rajiv Khosla}, editor = {Vasile Palade and Robert J. Howlett and Lakhmi C. Jain}, title = {Color-Identification System Using the Sandglass-Type Neural Networks}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 7th International Conference, {KES} 2003, Oxford, UK, September 3-5, 2003, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2773}, pages = {1178--1184}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45224-9\_159}, doi = {10.1007/978-3-540-45224-9\_159}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kes/ItoYMAK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YanoverW03, author = {Chen Yanover and Yair Weiss}, editor = {Sebastian Thrun and Lawrence K. Saul and Bernhard Sch{\"{o}}lkopf}, title = {Finding the {M} Most Probable Configurations in Arbitrary Graphical Models}, booktitle = {Advances in Neural Information Processing Systems 16 [Neural Information Processing Systems, {NIPS} 2003, December 8-13, 2003, Vancouver and Whistler, British Columbia, Canada]}, pages = {289--296}, publisher = {{MIT} Press}, year = {2003}, url = {https://proceedings.neurips.cc/paper/2003/hash/70fcb77e6349f4467edd7227baa73222-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/YanoverW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/DengZNXONTYDOY03, author = {Hui Deng and Kai Zhang and YaLing Nie and Xing Xia and Masahiro Ojima and Zhisheng Niu and Shiro Tanabe and Masashi Yano and Akira Date and Takumi Oishi and Mariko Yamada}, title = {A real intercontinental mobile IPv6 demonstration between China and Japan for mobility enhancement}, booktitle = {Proceedings of the {IEEE} 14th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2003, 7-10 September 2003, Beijing, China}, pages = {2838--2841}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/PIMRC.2003.1259263}, doi = {10.1109/PIMRC.2003.1259263}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/DengZNXONTYDOY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/WangN0DY03, author = {Lan Wang and Zhisheng Niu and Yanfeng Zhu and Hui Deng and Masashi Yano}, title = {Integration of SNR, load and time in handoff initiation for wireless {LAN}}, booktitle = {Proceedings of the {IEEE} 14th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2003, 7-10 September 2003, Beijing, China}, pages = {2032--2036}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/PIMRC.2003.1259071}, doi = {10.1109/PIMRC.2003.1259071}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/WangN0DY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HashiyamaMYO03, author = {Tomonori Hashiyama and Daisuke Mochizuki and Yoshikazu Yano and Shigeru Okuma}, title = {Active frame subtraction for pedestrian detection from images of moving camera}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: Washington, D.C., USA, 5-8 October 2003}, pages = {480--485}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICSMC.2003.1243861}, doi = {10.1109/ICSMC.2003.1243861}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HashiyamaMYO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wscg/EndoMF03, author = {Luis Carlos Yano Endo and Carlos Hitoshi Morimoto and Antonio Elias Fabris}, title = {Real-time Animation of Underbrushes}, booktitle = {The 11-th International Conference in Central Europe on Computer Graphics, Visualization and Computer Vision'2003, {WSCG} 2003, in co-operation with {EUROGRAPHICS} and {IFIP} working group 5.10 on Computer Graphics and Virtual Worlds, University of West Bohemia, Campus Bory, Plzen-Bory, Czech Republic, February 3-7, 2003}, year = {2003}, url = {http://wscg.zcu.cz/wscg2003/Papers\_2003/J17.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wscg/EndoMF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/TokudaOMY02, author = {Kenichi Tokuda and Koichi Osuka and Yoshihiro Mori and Shinsuke Yano}, title = {Rescue robot {CUL:} walking system based on a 'Robotic Follower'}, journal = {Adv. Robotics}, volume = {16}, number = {6}, pages = {533--536}, year = {2002}, url = {https://doi.org/10.1163/156855302320535908}, doi = {10.1163/156855302320535908}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/TokudaOMY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chk/ParraY02, author = {Carlos M. Parra and Masakazu Yano}, title = {Tridimensional Recursive Learning Model}, journal = {Cybern. Hum. Knowing}, volume = {9}, number = {3-4}, pages = {79--99}, year = {2002}, url = {http://www.ingentaconnect.com/content/imp/chk/2002/00000009/F0020003/124}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chk/ParraY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/WelchMEGCCY02, author = {Thad B. Welch and Randall L. Musselman and Bomono A. Emessiene and Phillip D. Gift and Daniel K. Choudhury and Derek N. Cassadine and Scott M. Yano}, title = {The effects of the human body on {UWB} signal propagation in an indoor environment}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {20}, number = {9}, pages = {1778--1782}, year = {2002}, url = {https://doi.org/10.1109/JSAC.2002.805243}, doi = {10.1109/JSAC.2002.805243}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/WelchMEGCCY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/KanenishiMY02, author = {Kazuhide Kanenishi and Takahiko Mendori and Yoneo Yano}, title = {{LOGEMON:} The teacher support system in class using web-based materials - visualization of learning history for teacher aid}, journal = {Syst. Comput. Jpn.}, volume = {33}, number = {13}, pages = {60--74}, year = {2002}, url = {https://doi.org/10.1002/scj.1173}, doi = {10.1002/SCJ.1173}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/KanenishiMY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/MukaiHMMUY02, author = {Nobuhiko Mukai and Masayuki Harada and Katsunobu Muroi and Yuji Miyamoto and Akihiro Uratani and Toru Yano}, title = {Development of a PC-based real-time surgical simulator}, journal = {Syst. Comput. Jpn.}, volume = {33}, number = {7}, pages = {11--20}, year = {2002}, url = {https://doi.org/10.1002/scj.10076}, doi = {10.1002/SCJ.10076}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/MukaiHMMUY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ah/MitsuharaOY02, author = {Hiroyuki Mitsuhara and Youji Ochi and Yoneo Yano}, editor = {Paul De Bra and Peter Brusilovsky and Ricardo Conejo}, title = {Open-Ended Adaptive System for Facilitating Knowledge Construction in Web-Based Exploratory Learning}, booktitle = {Adaptive Hypermedia and Adaptive Web-Based Systems, Second International Conference, {AH} 2002, Malaga, Spain, May 29-31, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2347}, pages = {547--550}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47952-X\_81}, doi = {10.1007/3-540-47952-X\_81}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ah/MitsuharaOY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscl/OgataMY02, author = {Hiroaki Ogata and Kenji Matsuura and Yoneo Yano}, editor = {Gerry Stahl}, title = {Synchronizing group interactions with lecturing video in agent-based asynchronous virtual classroom}, booktitle = {Proceedings of the Conference on Computer Support for Collaborative Learning: Foundations for a {CSCL} Community, {CSCL} 2002, Boulder, CO, USA, January 7-11, 2002}, pages = {564--565}, publisher = {International Society of the Learning Sciences}, year = {2002}, url = {https://repository.isls.org/handle/1/3849}, timestamp = {Wed, 28 Apr 2021 17:11:51 +0200}, biburl = {https://dblp.org/rec/conf/cscl/OgataMY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dars/YanoHTM02, author = {Masafumi Yano and Shinpei Hibiya and Makoto Tokiwa and Yoshinari Makino}, editor = {Hajime Asama and Tamio Arai and Toshio Fukuda and Tsutomu Hasegawa}, title = {Real-time Control of Walking of Insect; Self-organization of the Constraints and Walking Patterns}, booktitle = {Distributed Autonomous Robotic Systems 5, Proceedings of the 6th International Symposium on Distributed Autonomous Robotic Systems, {DARS} 2002, Fukuoka, Japan, June 25-27, 2002}, pages = {444--451}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/978-4-431-65941-9\_44}, doi = {10.1007/978-4-431-65941-9\_44}, timestamp = {Fri, 26 Jul 2019 09:42:53 +0200}, biburl = {https://dblp.org/rec/conf/dars/YanoHTM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/MatsuuraHOOY02, author = {Kenji Matsuura and Friedrich W. Hesse and Youji Ochi and Hiroaki Ogata and Yoneo Yano}, title = {Real/Virtual Classmates in an Asynchronous Distant Learning Environment}, booktitle = {International Conference on Computers in Education, {ICCE} 2002, Auckland, New Zealand, December 3-6, 2002, Volume 1}, pages = {792--796}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/CIE.2002.1186076}, doi = {10.1109/CIE.2002.1186076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/MatsuuraHOOY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/MitsuharaOKY02, author = {Hiroyuki Mitsuhara and Youji Ochi and Kazuhide Kanenishi and Yoneo Yano}, title = {A Web Retrieval Support System with a Comment Sharing Environment: Toward an Adaptive Web-Based {IR} System}, booktitle = {International Conference on Computers in Education, {ICCE} 2002, Auckland, New Zealand, December 3-6, 2002, Volume 2}, pages = {1218--1222}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/CIE.2002.1186196}, doi = {10.1109/CIE.2002.1186196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/MitsuharaOKY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanovskySB02, author = {Felix Yanovsky and R. B. Sinitsyn and I. M. Braun}, title = {Recognition of hail areas with polarimetric radar by the method of potential functions}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2002, Toronto, Ontario, Canada, 24-28 June 2002}, pages = {2835--2837}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/IGARSS.2002.1026792}, doi = {10.1109/IGARSS.2002.1026792}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanovskySB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/IwataYTNYM02, author = {Hiroo Iwata and Hiroaki Yano and Motohiro Tsuzuki and Fumitaka Nakaizumi and Takayuki Yoshioka and Yutaka Miyakita}, editor = {Tom Appolloni}, title = {NONA-vision}, booktitle = {Proceedings of the 29th International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2002, San Antonio, Texas, USA, July 21-26, 2002, Abstracts and Applications}, pages = {73}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/1242073.1242108}, doi = {10.1145/1242073.1242108}, timestamp = {Fri, 12 Mar 2021 11:28:01 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/IwataYTNYM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/Yano02, author = {Scott M. Yano}, title = {Investigating the ultra-wideband indoor wireless channel}, booktitle = {Proceedings of the 55th {IEEE} Vehicular Technology Conference, {VTC} Spring 2002, May 6-9, 2002, Birmingham, Alabama, {USA}}, pages = {1200--1204}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/VTC.2002.1002804}, doi = {10.1109/VTC.2002.1002804}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/Yano02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amai/YanovskiWB01, author = {Vladimir Yanovski and Israel A. Wagner and Alfred M. Bruckstein}, title = {Vertex-Ant-Walk - {A} robust method for efficient exploration of faulty graphs}, journal = {Ann. Math. Artif. Intell.}, volume = {31}, number = {1-4}, pages = {99--112}, year = {2001}, url = {https://doi.org/10.1023/A:1016688707365}, doi = {10.1023/A:1016688707365}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amai/YanovskiWB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/MurakamiYHWTIGH01, author = {Shinji Murakami and Kyoji Yanoyasuo and Kouji Higashijima and Hitoshi Wakizako and Keiichi Takaoka and Toshimitsu Irie and Jun Goto and Tsutomu Hasegawa}, title = {Application of live line work robots for distribution work: Kyushu Electric's challenges for fully-automated robotic system}, journal = {Adv. Robotics}, volume = {15}, number = {3}, pages = {339--344}, year = {2001}, url = {https://doi.org/10.1163/156855301300235887}, doi = {10.1163/156855301300235887}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/MurakamiYHWTIGH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jet/BoldrinNSY01, author = {Michele Boldrin and Kazuo Nishimura and Tadashi Shigoka and Makoto Yano}, title = {Chaotic Equilibrium Dynamics in Endogenous Growth Models}, journal = {J. Econ. Theory}, volume = {96}, number = {1-2}, pages = {97--132}, year = {2001}, url = {https://doi.org/10.1006/jeth.2000.2677}, doi = {10.1006/JETH.2000.2677}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jet/BoldrinNSY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/MitsuiYKSA01, author = {Yasuhiro Mitsui and Fumiko Yano and Hiroshi Kakibayashi and Hiroyasu Shichi and Takashi Aoyama}, title = {Developments of new concept analytical instruments for failure analyses of sub-100 nm devices}, journal = {Microelectron. Reliab.}, volume = {41}, number = {8}, pages = {1171--1183}, year = {2001}, url = {https://doi.org/10.1016/S0026-2714(01)00105-6}, doi = {10.1016/S0026-2714(01)00105-6}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/MitsuiYKSA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/YanoN01, author = {Candace A. Yano and Alexandra M. Newman}, title = {Scheduling Trains and Containers with Due Dates and Dynamic Arrivals}, journal = {Transp. Sci.}, volume = {35}, number = {2}, pages = {181--191}, year = {2001}, url = {https://doi.org/10.1287/trsc.35.2.181.10132}, doi = {10.1287/TRSC.35.2.181.10132}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/YanoN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SasajimaYSU01, author = {Munehiko Sasajima and Takehide Yano and Taishi Shimomori and Tatsuya Uehara}, editor = {Paul Dalsgaard and B{\o}rge Lindberg and Henrik Benner and Zheng{-}Hua Tan}, title = {{MINOS-II:} a prototype car navigation system with mixed initiative turn taking dialogue}, booktitle = {{EUROSPEECH} 2001 Scandinavia, 7th European Conference on Speech Communication and Technology, 2nd {INTERSPEECH} Event, Aalborg, Denmark, September 3-7, 2001}, pages = {1311--1314}, publisher = {{ISCA}}, year = {2001}, url = {https://doi.org/10.21437/Eurospeech.2001-339}, doi = {10.21437/EUROSPEECH.2001-339}, timestamp = {Thu, 22 Jun 2023 16:42:18 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SasajimaYSU01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/HiroseHOYKSN01, author = {Michitaka Hirose and Koichi Hirota and Tetsuro Ogi and Hiroaki Yano and Naoyuki Kakehi and Makoto Saito and Mutsuhiro Nakashige}, title = {HapticGEAR: The Development of a Wearable Force Display System for Immersive Projection Displays}, booktitle = {Virtual Reality 2001 Conference, VR'01, Yokohama, Japan, March 13-17, 2001, Proceedings}, pages = {123--130}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/VR.2001.913778}, doi = {10.1109/VR.2001.913778}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/HiroseHOYKSN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/MitsuharaOY01, author = {Hiroyuki Mitsuhara and Youji Ochi and Yoneo Yano}, editor = {Ning Zhong and Yiyu Yao and Jiming Liu and Setsuo Ohsuga}, title = {{ITMS:} Individualized Teaching Material System - Web-Based Exploratory Learning Support System by Adaptive Knowledge Integration}, booktitle = {Web Intelligence: Research and Development, First Asia-Pacific Conference, {WI} 2001, Maebashi City, Japan, October 23-26, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2198}, pages = {589--595}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45490-X\_77}, doi = {10.1007/3-540-45490-X\_77}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/webi/MitsuharaOY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webnet/OgataMY01, author = {Hiroaki Ogata and Kenji Matsuura and Yoneo Yano}, editor = {Wendy A. Lawrence{-}Fowler and Joachim Hasebrook}, title = {Visualizing Knowledge Awareness in a Web-Based {CSCL} Environment}, booktitle = {Proceedings of WebNet 2001 - World Conference on the {WWW} and Internet, Orlando, Florida, USA, October 23-27, 2001}, pages = {927--932}, publisher = {{AACE}}, year = {2001}, timestamp = {Thu, 21 Jun 2018 07:47:32 +0200}, biburl = {https://dblp.org/rec/conf/webnet/OgataMY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/MakinoAY00, author = {Yoshinari Makino and Masanori Akiyama and Masafumi Yano}, title = {Emergent mechanisms in multiple pattern generation of the lobster pyloric network}, journal = {Biol. Cybern.}, volume = {82}, number = {6}, pages = {443--454}, year = {2000}, url = {https://doi.org/10.1007/s004220050597}, doi = {10.1007/S004220050597}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/MakinoAY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/SatoY00, author = {Naoyuki Sato and Masafumi Yano}, title = {A model of binocular stereopsis including a global consistency constraint}, journal = {Biol. Cybern.}, volume = {82}, number = {5}, pages = {357--371}, year = {2000}, url = {https://doi.org/10.1007/s004220050590}, doi = {10.1007/S004220050590}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/SatoY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/YanoM00, author = {Koichi Yano and Steven McCanne}, title = {The breadcrumb forwarding service: a synthesis of {PGM} and {EXPRESS} to improve and simplify global {IP} multicast}, journal = {Comput. Commun. Rev.}, volume = {30}, number = {2}, pages = {41--49}, year = {2000}, url = {https://doi.org/10.1145/505680.505686}, doi = {10.1145/505680.505686}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/YanoM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/NewmanY00, author = {Alexandra M. Newman and Candace A. Yano}, title = {Scheduling Direct and Indirect Trains and Containers in an Intermodal Setting}, journal = {Transp. Sci.}, volume = {34}, number = {3}, pages = {256--270}, year = {2000}, url = {https://doi.org/10.1287/trsc.34.3.256.12297}, doi = {10.1287/TRSC.34.3.256.12297}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/NewmanY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KanekawaKYNITTY00, author = {Nobuyasu Kanekawa and Yasuyuki Kojima and Seigo Yukutake and Minehiro Nemoto and Takayuki Iwasaki and Kazuhisa Takami and Yusuke Takeuchi and Atsuko Yano and Yasuo Shima}, title = {An analog front-end {LSI} with on-chip isolator for {V.90} 56 kbps modems}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {327--330}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852678}, doi = {10.1109/CICC.2000.852678}, timestamp = {Mon, 10 Oct 2022 09:13:21 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KanekawaKYNITTY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/YanoNIM00, author = {Hiroaki Yano and Haruo Noma and Hiroo Iwata and Tsutomu Miyasato}, editor = {Wendy A. Kellogg and Steve Whittaker}, title = {Shared walk environment using locomotion interfaces}, booktitle = {{CSCW} 2000, Proceeding on the {ACM} 2000 Conference on Computer Supported Cooperative Work, Philadelphia, PA, USA, December 2-6, 2000}, pages = {163--170}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/358916.358987}, doi = {10.1145/358916.358987}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/YanoNIM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/its/MatsuuraOY00, author = {Kenji Matsuura and Hiroaki Ogata and Yoneo Yano}, editor = {Gilles Gauthier and Claude Frasson and Kurt VanLehn}, title = {Agent's Contribution for an Asynchronous Virtual Classroom}, booktitle = {Intelligent Tutoring Systems, 5th International Conference, {ITS} 2000, Montr{\'{e}}al, Canada, June 19-23, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1839}, pages = {344--353}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45108-0\_38}, doi = {10.1007/3-540-45108-0\_38}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/its/MatsuuraOY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/YanoM00, author = {Koichi Yano and Steven McCanne}, editor = {Shahram Ghandeharizadeh and Shih{-}Fu Chang and Stephen Fischer and Joseph A. Konstan and Klara Nahrstedt}, title = {A window-based congestion control for reliable multicast based on {TCP} dynamics}, booktitle = {Proceedings of the 8th {ACM} International Conference on Multimedia 2000, Los Angeles, CA, USA, October 30 - November 3, 2000}, pages = {249--258}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/354384.354494}, doi = {10.1145/354384.354494}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/YanoM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/KazuhideTMY00, author = {Kazuhide Kanenishi and Takahiko Mendori and Sawamatsu Masafumi and Yoneo Yano}, title = {The Externalization Support System of Self-explanation for Learning a Problem-solving process -Congitive Perspective in the Operation Planning-}, booktitle = {2000 International Symposium on Multimedia Software Engineering, {ISMSE} 2000, Taipei, Taiwan, December 11-13, 2000}, pages = {85}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/MMSE.2000.897196}, doi = {10.1109/MMSE.2000.897196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/KazuhideTMY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/AkimotoWY99, author = {K. Akimoto and S. Watanabe and M. Yano}, title = {An insect robot controlled by the emergence of gait patterns}, journal = {Artif. Life Robotics}, volume = {3}, number = {2}, pages = {102--105}, year = {1999}, url = {https://doi.org/10.1007/bf02481255}, doi = {10.1007/BF02481255}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/AkimotoWY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsm/BelyaevAYY99, author = {Alexander G. Belyaev and Elena V. Anoshkina and Shin Yoshizawa and M. Yano}, title = {Polygonal Curve Evolutions for Planar Shape Modeling and Analysis}, journal = {Int. J. Shape Model.}, volume = {5}, number = {2}, pages = {195--218}, year = {1999}, url = {https://doi.org/10.1142/S0218654399000174}, doi = {10.1142/S0218654399000174}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsm/BelyaevAYY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/YanoISMMHKKS99, author = {Kazuo Yano and Tomoyuki Ishii and Toshiaki Sano and Toshiyuki Mine and Fumio Murai and Takashi Hashimoto and Takashi Kobayashi and Tokuo Kure and Koichi Seki}, title = {Single-electron memory for giga-to-tera bit storage}, journal = {Proc. {IEEE}}, volume = {87}, number = {4}, pages = {633--651}, year = {1999}, url = {https://doi.org/10.1109/5.752519}, doi = {10.1109/5.752519}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/YanoISMMHKKS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YanoKOH99, author = {Hiroaki Yano and Naoyuki Kakehi and Tetsuro Ogi and Michitaka Hirose}, editor = {Hans{-}J{\"{o}}rg Bullinger and J{\"{u}}rgen Ziegler}, title = {Haptic interface for immersive projection display}, booktitle = {Human-Computer Interaction: Communication, Cooperation, and Application Design, Proceedings of {HCI} International '99 (the 8th International Conference on Human-Computer Interaction), Munich, Germany, August 22-26, 1999, Volume 2}, pages = {1030--1034}, publisher = {Lawrence Erlbaum}, year = {1999}, timestamp = {Wed, 07 Jan 2015 19:13:32 +0100}, biburl = {https://dblp.org/rec/conf/hci/YanoKOH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ShirotaIMY99, author = {Yukari Shirota and Atsushi Iizawa and Hiroko Mano and Takashi Yano}, editor = {Masaru Kitsuregawa and Michael P. Papazoglou and Calton Pu}, title = {The {ECHO} Method: Concurrency Control Method for a Large-Scale Distributed Database}, booktitle = {Proceedings of the 15th International Conference on Data Engineering, Sydney, Australia, March 23-26, 1999}, pages = {174--183}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICDE.1999.754922}, doi = {10.1109/ICDE.1999.754922}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/ShirotaIMY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SasajimaYK99, author = {Munehiko Sasajima and Takehide Yano and Yasuyuki Kono}, title = {{EUROPA:} a generic framework for developing spoken dialogue systems}, booktitle = {Sixth European Conference on Speech Communication and Technology, {EUROSPEECH} 1999, Budapest, Hungary, September 5-9, 1999}, pages = {1163--1166}, publisher = {{ISCA}}, year = {1999}, url = {https://doi.org/10.21437/Eurospeech.1999-298}, doi = {10.21437/EUROSPEECH.1999-298}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SasajimaYK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YanoSSK99, author = {Tomoaki Yano and Tatsuo Suzuki and Masuo Sonoda and Makoto Kaneko}, title = {Basic characteristics of the developed spherical stepping motor}, booktitle = {Proceedings 1999 {IEEE/RSJ} International Conference on Intelligent Robots and Systems. Human and Environment Friendly Robots with High Intelligence and Emotional Quotients, October 17-21,1999, Hyundai Hotel, Kyongju, Korea}, pages = {1393--1398}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/IROS.1999.811674}, doi = {10.1109/IROS.1999.811674}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/YanoSSK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isads/YanoOKMXF99, author = {Tomotaka Yano and Ken Ohara and Toru Kuwahara and Satoshi Matsumoto and Qiusheng Xie and Hirobumi Fukuoka}, title = {Flexible and Robust {CIM} Architecture using Distributed Objects}, booktitle = {The Fourth International Symposium on Autonomous Decentralized Systems, {ISADS} 1999, Tokyo, Japan, March 20-23, 1999}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISADS.1999.838372}, doi = {10.1109/ISADS.1999.838372}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isads/YanoOKMXF99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/MasudaYSU99, author = {Gou Masuda and Rei Yano and Norihiro Sakamoto and Kazuo Ushijima}, editor = {Jan M. Zytkow and Jan Rauch}, title = {Discovering and Visualizing Attribute Associations Using Bayesian Networks and Their Use in {KDD}}, booktitle = {Principles of Data Mining and Knowledge Discovery, Third European Conference, {PKDD} '99, Prague, Czech Republic, September 15-18, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1704}, pages = {61--70}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-3-540-48247-5\_7}, doi = {10.1007/978-3-540-48247-5\_7}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/MasudaYSU99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/HiroseOYK99, author = {Michitaka Hirose and Tetsuro Ogi and Hiroaki Yano and Naoyuki Kakehi}, title = {Development of Wearable Force Display (HapticGEAR) for Immersive Projection Displays}, booktitle = {{IEEE} Virtual Reality 1999 Conference, VR'99, Houston, Texas, USA, March 13-17, 1999, Proceedings}, pages = {79}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/VR.1999.756931}, doi = {10.1109/VR.1999.756931}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/HiroseOYK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/vlsi/YanoM99, author = {Kazuo Yano and Saburo Muroga}, editor = {Wai{-}Kai Chen}, title = {Pass Transistors}, booktitle = {The {VLSI} Handbook}, publisher = {{CRC} Press}, year = {1999}, url = {https://doi.org/10.1201/9781420049671.ch37}, doi = {10.1201/9781420049671.CH37}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/vlsi/YanoM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/SuzukiMJDY98, author = {Makoto Suzuki and Ken Masamune and Lin{-}Jong Ji and Takeyoshi Dohi and Hideo Yano}, title = {Development of a robot arm controlled by force sensors as a walking aid for elderly}, journal = {Robotica}, volume = {16}, number = {5}, pages = {537--542}, year = {1998}, url = {https://doi.org/10.1017/s0263574798000708}, doi = {10.1017/S0263574798000708}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/SuzukiMJDY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/KanenishiMY98, author = {Kazuhide Kanenishi and Takahiko Mendori and Yoneo Yano}, title = {Visualized support of explanation refinement in the intelligent self-explanation learning environment}, journal = {Syst. Comput. Jpn.}, volume = {29}, number = {13}, pages = {78--91}, year = {1998}, url = {https://doi.org/10.1002/(SICI)1520-684X(19981130)29:13\<78::AID-SCJ9\>3.0.CO;2-E}, doi = {10.1002/(SICI)1520-684X(19981130)29:13\<78::AID-SCJ9\>3.0.CO;2-E}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/KanenishiMY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/ShojiY98, author = {Kenji Shoji and Masaya Yano}, title = {An algorithm for affine transformation of three-dimensional objects registered with run format}, journal = {Syst. Comput. Jpn.}, volume = {29}, number = {3}, pages = {18--27}, year = {1998}, url = {https://doi.org/10.1002/(SICI)1520-684X(199803)29:3\<18::AID-SCJ3\>3.0.CO;2-S}, doi = {10.1002/(SICI)1520-684X(199803)29:3\<18::AID-SCJ3\>3.0.CO;2-S}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/ShojiY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/SatoY98, author = {Naoyuki Sato and Masafumi Yano}, editor = {Shiro Usui and Takashi Omori}, title = {A Dynamical Model of Stereopsis to Form 3-D Surfaces}, booktitle = {The Fifth International Conference on Neural Information Processing, ICONIP'R98, Kitakyushu, Japan, October 21-23, 1998, Proceedings}, pages = {397--400}, publisher = {{IOA} Press}, year = {1998}, timestamp = {Tue, 10 May 2005 13:22:06 +0200}, biburl = {https://dblp.org/rec/conf/iconip/SatoY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/SugiuraSKY98, author = {Takayuki Sugiura and Kazuhiro Sakamoto and Toshihiko Kaku and Masafumi Yano}, editor = {Shiro Usui and Takashi Omori}, title = {A Competition between Temporal and Spatial Factors in One-Shot Visual Apparent Motion: Directional Effect}, booktitle = {The Fifth International Conference on Neural Information Processing, ICONIP'R98, Kitakyushu, Japan, October 21-23, 1998, Proceedings}, pages = {307--310}, publisher = {{IOA} Press}, year = {1998}, timestamp = {Tue, 10 May 2005 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/SugiuraSKY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/SuzukiY98, author = {Akio Suzuki and Masafumi Yano}, editor = {Shiro Usui and Takashi Omori}, title = {A Representation of Form Included Illusory Contours}, booktitle = {The Fifth International Conference on Neural Information Processing, ICONIP'R98, Kitakyushu, Japan, October 21-23, 1998, Proceedings}, pages = {383--386}, publisher = {{IOA} Press}, year = {1998}, timestamp = {Tue, 10 May 2005 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/SuzukiY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KonoYS98, author = {Yasuyuki Kono and Takehide Yano and Munehiko Sasajima}, title = {{BTH:} an efficient parsing algorithm for word-spotting}, booktitle = {The 5th International Conference on Spoken Language Processing, Incorporating The 7th Australian International Speech Science and Technology Conference, Sydney Convention Centre, Sydney, Australia, 30th November - 4th December 1998}, publisher = {{ISCA}}, year = {1998}, url = {https://doi.org/10.21437/ICSLP.1998-497}, doi = {10.21437/ICSLP.1998-497}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KonoYS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/YanoSS98, author = {Hitoshi Yano and Masatoshi Sakawa and Toshihiro Shibano}, editor = {Lakhmi C. Jain and R. K. Jain}, title = {Fuzzy multiobjective 0-1 programming through revised genetic algorithms}, booktitle = {Knowledge-Based Intelligent Electronic Systems, 2nd International Conference, {KES} 1998, Adelaide, South Australia, 21-23 April 1998, Proceedings, Part {I}}, pages = {101--108}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/KES.1998.725833}, doi = {10.1109/KES.1998.725833}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/YanoSS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/MakinoYI97, author = {Kazuhisa Makino and Kojin Yano and Toshihide Ibaraki}, title = {Positive and Horn Decomposability of Partially Defined Boolean Functions}, journal = {Discret. Appl. Math.}, volume = {74}, number = {3}, pages = {251--274}, year = {1997}, url = {https://doi.org/10.1016/S0166-218X(96)00053-4}, doi = {10.1016/S0166-218X(96)00053-4}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/MakinoYI97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YanoSMY97, author = {Tomoaki Yano and Tomohiro Suwa and Masato Murakami and Takuji Yamamoto}, title = {Development of a semi self-contained wall climbing robot with scanning type suction cups}, booktitle = {Proceedings of the 1997 {IEEE/RSJ} International Conference on Intelligent Robot and Systems. Innovative Robotics for Real-World Applications. {IROS} '97, September 7-11, 1997, Grenoble, France}, pages = {900--905}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/IROS.1997.655116}, doi = {10.1109/IROS.1997.655116}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/YanoSMY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/YanoS96, author = {Hitoshi Yano and Masatoshi Sakawa}, title = {A three-level optimization method for fuzzy large-scale multiobjective nonlinear programming problems}, journal = {Fuzzy Sets Syst.}, volume = {81}, number = {1}, pages = {141--155}, year = {1996}, url = {https://doi.org/10.1016/0165-0114(95)00246-4}, doi = {10.1016/0165-0114(95)00246-4}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/YanoS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MurakamiYOSUMA96, author = {Hiroalti Murakami and Naoka Yano and Yukio Ootaguro and Yukio Sugeno and Maki Ueno and Yukinori Muroya and Tsuneo Aramaki}, title = {A multiplier-accumulator macro for a 45 {MIPS} embedded {RISC} processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {7}, pages = {1067--1071}, year = {1996}, url = {https://doi.org/10.1109/4.508224}, doi = {10.1109/4.508224}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MurakamiYOSUMA96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/MitsumineNENYYH96, author = {Hideki Mitsumine and Hideo Noguchi and Kazumasa Enami and Yuichi Ninomiya and Yuichi Yamanoue and Sumio Yano and Atsuo Hanazato and Makoto Okui}, title = {Virtual Museum-3-D fine art appreciation system}, journal = {{IEEE} Trans. Broadcast.}, volume = {42}, number = {3}, pages = {200--207}, year = {1996}, url = {https://doi.org/10.1109/11.536581}, doi = {10.1109/11.536581}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/MitsumineNENYYH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/KamounY96, author = {Mahdi Kamoun and Candace Arai Yano}, title = {Facility Layout to Support Just-in-Time}, journal = {Transp. Sci.}, volume = {30}, number = {4}, pages = {315--329}, year = {1996}, url = {https://doi.org/10.1287/trsc.30.4.315}, doi = {10.1287/TRSC.30.4.315}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/KamounY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codas/MakinoSYI96, author = {Kazuhisa Makino and Takashi Suda and Kojin Yano and Toshihide Ibaraki}, editor = {Yahiko Kambayashi and Kazumasa Yokota}, title = {Data Analysis by Positive Decision Trees}, booktitle = {Proceedings of the International Symposium on Cooperative Database Systems for Advanced Applications, Kyoto, Japan December 5-7, 1996}, pages = {257--264}, publisher = {World Scientific}, year = {1996}, timestamp = {Fri, 02 Aug 2019 08:43:55 +0200}, biburl = {https://dblp.org/rec/conf/codas/MakinoSYI96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SendaHY96, author = {Yuzo Senda and Hidenobu Harasaki and Mitsuharu Yano}, title = {Theoretical background and improvement of a simplified half-pel motion estimation}, booktitle = {Proceedings 1996 International Conference on Image Processing, Lausanne, Switzerland, September 16-19, 1996}, pages = {263--266}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICIP.1996.560439}, doi = {10.1109/ICIP.1996.560439}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icip/SendaHY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/TanakaMYITM96, author = {Shoji Tanaka and Yoshinaga Maruyama and Kyoji Yano and Hirofumi Inokuchi and Toshihide Tomiyama and Shinji Murai}, title = {Work automation with the hot-line work robot system "Phase II"}, booktitle = {Proceedings of the 1996 {IEEE} International Conference on Robotics and Automation, Minneapolis, Minnesota, USA, April 22-28, 1996}, pages = {1261--1267}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/ROBOT.1996.506880}, doi = {10.1109/ROBOT.1996.506880}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/TanakaMYITM96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/its/AyalaY96, author = {Gerardo Ayala and Yoneo Yano}, editor = {Claude Frasson and Gilles Gauthier and Alan M. Lesgold}, title = {Learner Models for Supporting Awareness and Collaboration in a {CSCL} Environment}, booktitle = {Intelligent Tutoring Systems, Third International Conference, {ITS} '96, Montr{\'{e}}al, Canada, June 12-14, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1086}, pages = {158--167}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61327-7\_112}, doi = {10.1007/3-540-61327-7\_112}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/its/AyalaY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/NakashimaHYMH95, author = {Moriyuki Nakashima and Shinji Harada and Kyoji Yano and Yoshinaga Maruyama and Tsutomu Hasegawa}, title = {Development of a robot language for hot-line work allowing practical use of the hot-line work robot system 'Phase II'}, journal = {Adv. Robotics}, volume = {10}, number = {4}, pages = {355--375}, year = {1995}, url = {https://doi.org/10.1163/156855396X00039}, doi = {10.1163/156855396X00039}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/NakashimaHYMH95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/YanoK95, author = {Tomoaki Yano and Makoto Kaneko}, title = {Basic Consideration of Actuators with Multi {DOF} Having an Identical Center of Rotation}, journal = {J. Robotics Mechatronics}, volume = {7}, number = {6}, pages = {458--466}, year = {1995}, url = {https://doi.org/10.20965/jrm.1995.p0458}, doi = {10.20965/JRM.1995.P0458}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/YanoK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SendaHY95, author = {Yuzo Senda and Hidenobu Harasaki and Mitsuharu Yano}, title = {A simplified motion estimation using an approximation for the {MPEG-2} real-time encoder}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {2273--2276}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.479944}, doi = {10.1109/ICASSP.1995.479944}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SendaHY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/NakashimaYMYMN95, author = {Moriyuki Nakashima and Hideo Yakabe and Yoshinaga Maruyama and Kyoji Yano and Kenji Morita and Hirofumi Nakagaki}, title = {Application of Semi-Automatic Robot Technology onHot-Line Maintenance Work}, booktitle = {Proceedings of the 1995 International Conference on Robotics and Automation, Nagoya, Aichi, Japan, May 21-27, 1995}, pages = {843--850}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ROBOT.1995.525388}, doi = {10.1109/ROBOT.1995.525388}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/NakashimaYMYMN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/NakashimaYMY95, author = {Moriyuki Nakashima and Kyoji Yano and Yoshinaga Maruyama and Hideo Yakabe}, title = {The hot line work robot system "Phase II" and its human-robot interface "MOS"}, booktitle = {Proceedings of {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 1995, August 5 - 9, 1995, Pittsburgh, PA, {USA}}, pages = {116--123}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/IROS.1995.526148}, doi = {10.1109/IROS.1995.526148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/NakashimaYMY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/KimuraYS94, author = {Shinichi Kimura and Masafumi Yano and Hiroshi Shimizu}, title = {A self-organizing model of walking patterns of insects}, journal = {Biol. Cybern.}, volume = {70}, number = {6}, pages = {505--512}, year = {1994}, url = {https://doi.org/10.1007/BF00198803}, doi = {10.1007/BF00198803}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/KimuraYS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuzukiYNINIHGIKAOOYY94, author = {Kazumasa Suzuki and Masakazu Yamashina and Takashi Nakayama and Masanori Izumikawa and Masahiro Nomura and Hiroyuki Igura and Hideki Heiuchi and Junichi Goto and Toshiaki Inoue and Youichi Koseki and Hitoshi Abiko and Kazuhiro Okabe and Atsuki Ono and Youich Yano and Hachiro Yamada}, title = {A 500 MHz, 32 bit, 0.4 {\(\mu\)}m {CMOS} {RISC} processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {29}, number = {12}, pages = {1464--1473}, year = {1994}, url = {https://doi.org/10.1109/4.340419}, doi = {10.1109/4.340419}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuzukiYNINIHGIKAOOYY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KitaYM94, author = {Kenji Kita and Yoneo Yano and Tsuyoshi Morimoto}, title = {One-pass continuous speech recognition directed by generalized {LR} parsing}, booktitle = {The 3rd International Conference on Spoken Language Processing, {ICSLP} 1994, Yokohama, Japan, September 18-22, 1994}, pages = {13--16}, publisher = {{ISCA}}, year = {1994}, url = {https://doi.org/10.21437/ICSLP.1994-7}, doi = {10.21437/ICSLP.1994-7}, timestamp = {Wed, 21 Jun 2023 17:18:06 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KitaYM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/KimuraYS93, author = {Shinichi Kimura and Masafumi Yano and Hiroshi Shimizu}, title = {A self-organizing model of walking patterns of insects}, journal = {Biol. Cybern.}, volume = {69}, number = {3}, pages = {183--193}, year = {1993}, url = {https://doi.org/10.1007/BF00198958}, doi = {10.1007/BF00198958}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bc/KimuraYS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/MiyamotoOYN92, author = {Yoshihiro Miyamoto and Mutsumi Ohta and Mitsuharu Yano and Takao Nishitani}, title = {A multimedia system based on {MPEG} video coding algorithm}, journal = {Comput. Commun. Rev.}, volume = {22}, number = {3}, pages = {18--19}, year = {1992}, url = {https://doi.org/10.1145/142267.142274}, doi = {10.1145/142267.142274}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/MiyamotoOYN92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/YanoKM92, author = {Yoneo Yano and Akihiro Kashihara and William McMichael}, title = {Stabilizing Student Knowledge in Open Structured {CAI}}, journal = {Int. J. Man Mach. Stud.}, volume = {37}, number = {5}, pages = {595--612}, year = {1992}, url = {https://doi.org/10.1016/0020-7373(92)90025-G}, doi = {10.1016/0020-7373(92)90025-G}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmms/YanoKM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SakawaY92, author = {Masatoshi Sakawa and Hitoshi Yano}, title = {Fuzzy linear regression analysis for fuzzy input-output data}, journal = {Inf. Sci.}, volume = {63}, number = {3}, pages = {191--206}, year = {1992}, url = {https://doi.org/10.1016/0020-0255(92)90069-K}, doi = {10.1016/0020-0255(92)90069-K}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/SakawaY92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SakawaYT92, author = {Masatoshi Sakawa and Hitoshi Yano and Junya Takahashi}, title = {Pareto optimality for multiobjective linear fractional programming problems with fuzzy parameters}, journal = {Inf. Sci.}, volume = {63}, number = {1-2}, pages = {33--53}, year = {1992}, url = {https://doi.org/10.1016/0020-0255(92)90061-C}, doi = {10.1016/0020-0255(92)90061-C}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/SakawaYT92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KobatakeMY92, author = {Hidefumi Kobatake and Wataru Morita and Yoshiharu Yano}, title = {Super directive sensor array with neural network structure}, booktitle = {1992 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '92, San Francisco, California, USA, March 23-26, 1992}, pages = {321--324}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICASSP.1992.226055}, doi = {10.1109/ICASSP.1992.226055}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KobatakeMY92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YanovskiDLM92, author = {George Yanovski and Edward J. Davison and David M. Lokhorst and James K. Mills}, title = {Experimental Analysis Of {A} Centralized/decentralized Controller For Robotic Manipulators}, booktitle = {Proceedings of the {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 1992, Raleigh, NC, USA, 7-10 Jul 1992}, pages = {122--129}, publisher = {{IEEE}}, year = {1992}, url = {https://doi.org/10.1109/IROS.1992.587308}, doi = {10.1109/IROS.1992.587308}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/YanovskiDLM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/McLeodY91, author = {Dennis McLeod and Paul L. Yanover}, title = {Larry Kerschberg, ed., Expert Database Systems: Proceedings from the Second International Conference}, journal = {Artif. Intell.}, volume = {48}, number = {2}, pages = {245--252}, year = {1991}, url = {https://doi.org/10.1016/0004-3702(91)90065-R}, doi = {10.1016/0004-3702(91)90065-R}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ai/McLeodY91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YanoOMN91, author = {Mitsuharu Yano and Jun{-}ichi Ohki and Takashi Mochizuki and Takao Nishitani}, title = {A single-board video codec using video image signal processors}, journal = {J. Vis. Commun. Image Represent.}, volume = {2}, number = {4}, pages = {373--380}, year = {1991}, url = {https://doi.org/10.1016/1047-3203(91)90018-B}, doi = {10.1016/1047-3203(91)90018-B}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/YanoOMN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/LeeSY91, author = {Heungsoon Felix Lee and Mandyam M. Srinivasan and Candace A. Yano}, title = {Characteristics of Optimal Workload Allocation for Closed Queueing Networks}, journal = {Perform. Evaluation}, volume = {12}, number = {4}, pages = {255--268}, year = {1991}, url = {https://doi.org/10.1016/0166-5316(91)90004-M}, doi = {10.1016/0166-5316(91)90004-M}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/LeeSY91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HanawaNNSYHSN91, author = {M. Hanawa and Tadahiko Nishimukai and O. Nishii and Masato Suzuki and K. Yano and M. Hiraki and S. Shukuri and T. Nishida}, title = {On-Chip Multiple Superscalar Processors with Secondary Cache Memories}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {128--131}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139862}, doi = {10.1109/ICCD.1991.139862}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HanawaNNSYHSN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-10/TadaMYM91, author = {Yukio Tada and Ryuichi Matsumoto and Masashi Yano and Nobuaki Miwa}, editor = {Tosiyasu L. Kunii}, title = {{CAD} System for Steel Frame Structures as Packing Cage (Design Expert System and Graphic Support)}, booktitle = {Modeling in Computer Graphics, Proceedings of the {IFIP} {WG} 5.10 Working Conference Tokyo, Japan, April 8-12, 1991}, series = {{IFIP} Series on Computer Graphics}, pages = {353--366}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/978-4-431-68147-2\_23}, doi = {10.1007/978-4-431-68147-2\_23}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-10/TadaMYM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HatanoYMYHK90, author = {Yuji Hatano and Shinichiro Yano and Hiroyuki Mori and Hiroji Yamada and Mikio Hirano and Ushio Kawabe}, title = {A 4-bit, 250-MIPS processor using Josephson technology}, journal = {{IEEE} Micro}, volume = {10}, number = {2}, pages = {40--55}, year = {1990}, url = {https://doi.org/10.1109/40.52946}, doi = {10.1109/40.52946}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/HatanoYMYHK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/NishitaniTHY90, author = {Takao Nishitani and Ichiro Tamitani and Hidenobu Harasaki and Mitsuharu Yano}, title = {A real-time software programmable processor for {HDTV} and stereo scope signals}, booktitle = {Application Specific Array Processors, {ASAP} 1990. Proceedings of the International Conference on, Princeton, NJ, USA, 5-7 Sept., 1990}, pages = {226--234}, publisher = {{IEEE}}, year = {1990}, url = {https://doi.org/10.1109/ASAP.1990.145459}, doi = {10.1109/ASAP.1990.145459}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/asap/NishitaniTHY90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HarasakiYN90, author = {Hidenobu Harasaki and Mitsuharu Yano and Takao Nishitani}, title = {Background separation/filtering for videophone applications}, booktitle = {1990 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '90, Albuquerque, New Mexico, USA, April 3-6, 1990}, pages = {1981--1984}, publisher = {{IEEE}}, year = {1990}, url = {https://doi.org/10.1109/ICASSP.1990.115901}, doi = {10.1109/ICASSP.1990.115901}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HarasakiYN90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvgip/YanowitzB89, author = {S. D. Yanowitz and Alfred M. Bruckstein}, title = {A new method for image segmentation}, journal = {Comput. Vis. Graph. Image Process.}, volume = {46}, number = {1}, pages = {82--95}, year = {1989}, url = {https://doi.org/10.1016/S0734-189X(89)80017-9}, doi = {10.1016/S0734-189X(89)80017-9}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cvgip/YanowitzB89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/YamanakaHSY88, author = {Naoaki Yamanaka and Michihiro Hirata and Masao Suzuki and Takao Yano}, title = {Novel structure for high-speed multihighway serial-to-parallel converter}, journal = {{IEEE} Trans. Commun.}, volume = {36}, number = {11}, pages = {1221--1225}, year = {1988}, url = {https://doi.org/10.1109/26.8928}, doi = {10.1109/26.8928}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/YamanakaHSY88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/SakawaYY87, author = {Masatoshi Sakawa and Hitoshi Yano and Toru Yumine}, title = {An Interactive Fuzzy Satisficing Method for Multiobjective Linear-Programming Problems and Its Application}, journal = {{IEEE} Trans. Syst. Man Cybern.}, volume = {17}, number = {4}, pages = {654--661}, year = {1987}, url = {https://doi.org/10.1109/TSMC.1987.289356}, doi = {10.1109/TSMC.1987.289356}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/SakawaYY87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/SakawaY86, author = {Masatoshi Sakawa and Hitoshi Yano}, title = {An Interactive Fuzzy Decisionmaking Method Using Constraint Problems}, journal = {{IEEE} Trans. Syst. Man Cybern.}, volume = {16}, number = {1}, pages = {179--182}, year = {1986}, url = {https://doi.org/10.1109/TSMC.1986.289298}, doi = {10.1109/TSMC.1986.289298}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/SakawaY86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/SakawaY85, author = {Masatoshi Sakawa and Hitoshi Yano}, title = {Interactive Fuzzy Decision-Making for Multi-Objective Non-Linear Programming Using Reference Membership Intervals}, journal = {Int. J. Man Mach. Stud.}, volume = {23}, number = {4}, pages = {407--421}, year = {1985}, url = {https://doi.org/10.1016/S0020-7373(85)80043-2}, doi = {10.1016/S0020-7373(85)80043-2}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmms/SakawaY85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/SakawaY85, author = {Masatoshi Sakawa and Hitoshi Yano}, title = {An interactive fuzzy satisficing method using augmented minimax problems and its application to environmental systems}, journal = {{IEEE} Trans. Syst. Man Cybern.}, volume = {15}, number = {6}, pages = {720--729}, year = {1985}, url = {https://doi.org/10.1109/TSMC.1985.6313455}, doi = {10.1109/TSMC.1985.6313455}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/SakawaY85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GershoY85, author = {Allen Gersho and Mitsuharu Yano}, title = {Adaptive vector quantization by progressive codevector replacement}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '85, Tampa, Florida, USA, March 26-29, 1985}, pages = {133--136}, publisher = {{IEEE}}, year = {1985}, url = {https://doi.org/10.1109/ICASSP.1985.1168450}, doi = {10.1109/ICASSP.1985.1168450}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GershoY85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/afips/MinamiYTMT83, author = {Takatoshi Minami and Kenjiro Yano and Takashi Touge and Hisashi Morikawa and Osamu Takahashi}, title = {Optical wireless modem for office communication}, booktitle = {American Federation of Information Processing Societies: 1983 National Computer Conference, 16-19 May 1983, Anaheim, California, {USA}}, series = {{AFIPS} Conference Proceedings}, pages = {721--728}, publisher = {{AFIPS} Press}, year = {1983}, url = {https://doi.org/10.1145/1500676.1500764}, doi = {10.1145/1500676.1500764}, timestamp = {Wed, 14 Apr 2021 16:50:07 +0200}, biburl = {https://dblp.org/rec/conf/afips/MinamiYTMT83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YanoIS82, author = {M. Yano and K. Inoue and T. Senba}, title = {An {LSI} digital signal processor}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '82, Paris, France, May 3-5, 1982}, pages = {1073--1076}, publisher = {{IEEE}}, year = {1982}, url = {https://doi.org/10.1109/ICASSP.1982.1171590}, doi = {10.1109/ICASSP.1982.1171590}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YanoIS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/YanoANS79, author = {Kaoru Yano and Haruo Amano and Masahiko Nakajima and Hiroaki Shimizu}, title = {A Per-Channel {LSI} Codec for {PCM} Communications}, journal = {{IEEE} Trans. Commun.}, volume = {27}, number = {2}, pages = {265--271}, year = {1979}, url = {https://doi.org/10.1109/TCOM.1979.1094401}, doi = {10.1109/TCOM.1979.1094401}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/YanoANS79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/HattoriYF72, author = {Mitsuhiro Hattori and Michiko Yano and Kiichi Fujino}, editor = {John J. Donovan and Rosemary Shields}, title = {{MPGS:} a high-level language for microprogram generating system}, booktitle = {Proceedings of the {ACM} annual conference, {ACM} 1972, Boston, MA, USA, August 1972, Volume 1}, pages = {572--581}, publisher = {{ACM}}, year = {1972}, url = {https://doi.org/10.1145/800193.569973}, doi = {10.1145/800193.569973}, timestamp = {Tue, 13 Apr 2021 15:14:35 +0200}, biburl = {https://dblp.org/rec/conf/acm/HattoriYF72.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.