![](https://dblp.org/img/logo.ua.320x120.png)
![](https://dblp.org/img/dropdown.dark.16x16.png)
![](https://dblp.org/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.org/img/search.dark.16x16.png)
![search dblp](https://dblp.org/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Ming-Hao Lin"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/LiRLBJ24, author = {Hao Li and Gopi Krishnan Rajbahadur and Dayi Lin and Cor{-}Paul Bezemer and Zhen Ming Jiang}, title = {Keeping Deep Learning Models in Check: {A} History-Based Approach to Mitigate Overfitting}, journal = {{IEEE} Access}, volume = {12}, pages = {70676--70689}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3402543}, doi = {10.1109/ACCESS.2024.3402543}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiRLBJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ChangLWC24, author = {Joseph Chang and Bo{-}Ru Lin and Ti{-}Hao Wang and Chung{-}Ming Chen}, title = {Deep learning model for pleural effusion detection via active learning and pseudo-labeling: a multisite study}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {92}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01260-1}, doi = {10.1186/S12880-024-01260-1}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/ChangLWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ShiLWWYLL24, author = {Yuhe Shi and Yun Lin and Songyi Wang and Haolin Wen and Lili Yang and Ming K. Lim and Yan Li}, title = {A simulation-optimization system for recycling logistics network of recyclable express packaging}, journal = {Comput. Ind. Eng.}, volume = {189}, pages = {109949}, year = {2024}, url = {https://doi.org/10.1016/j.cie.2024.109949}, doi = {10.1016/J.CIE.2024.109949}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/ShiLWWYLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/TangYLXJXHMCYCDLQHL24, author = {Qisheng Tang and Yifan Yuan and Lingjuan Li and Yue Xu and Wei Ji and Siyu Xiao and Yi Han and Wenrong Miao and Jing Cai and Pu You and Ming Chen and Saineng Ding and Zhen Li and Zengxin Qi and Weiliang Hou and Hao Luo}, title = {Comprehensive analysis reveals that {LTBR} is a immune-related biomarker for glioma}, journal = {Comput. Biol. Medicine}, volume = {174}, pages = {108457}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108457}, doi = {10.1016/J.COMPBIOMED.2024.108457}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/TangYLXJXHMCYCDLQHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YangSHPCYTSDL24, author = {Jian Yang and Liqi Shu and Mingyu Han and Jiarong Pan and Lihua Chen and Tianming Yuan and Linhua Tan and Qiang Shu and Huilong Duan and Haomin Li}, title = {RDmaster: {A} novel phenotype-oriented dialogue system supporting differential diagnosis of rare disease}, journal = {Comput. Biol. Medicine}, volume = {169}, pages = {107924}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.107924}, doi = {10.1016/J.COMPBIOMED.2024.107924}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YangSHPCYTSDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/GaoZYLPSWLH24, author = {Xiaohan Gao and Haoyi Zhang and Siyuan Ye and Mingjie Liu and David Z. Pan and Linxiao Shen and Runsheng Wang and Yibo Lin and Ru Huang}, title = {Post-layout simulation driven analog circuit sizing}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {4}, year = {2024}, url = {https://doi.org/10.1007/s11432-022-3878-5}, doi = {10.1007/S11432-022-3878-5}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/GaoZYLPSWLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24, author = {Hao Qiu and Zhihao Yu and Tiange Zhao and Qi Zhang and Mingsheng Xu and Peifeng Li and Taotao Li and Wenzhong Bao and Yang Chai and Shula Chen and Yiqi Chen and Hui{-}Ming Cheng and Daoxin Dai and Zengfeng Di and Zhuo Dong and Xidong Duan and Yuhan Feng and Yu Fu and Jingshu Guo and Pengwen Guo and Yue Hao and Jun He and Xiao He and Jingyi Hu and Weida Hu and Zehua Hu and Xinyue Huang and Ziyang Huang and Ali Imran and Ziqiang Kong and Jia Li and Qian Li and Weisheng Li and Lei Liao and Bilu Liu and Can Liu and Chunsen Liu and Guanyu Liu and Kaihui Liu and Liwei Liu and Sheng Liu and Yuan Liu and Donglin Lu and Likuan Ma and Feng Miao and Zhenhua Ni and Jing Ning and Anlian Pan and Tian{-}Ling Ren and Haowen Shu and Litao Sun and Yue Sun and Quanyang Tao and Ziao Tian and Dong Wang and Hao Wang and Haomin Wang and Jialong Wang and Junyong Wang and Wenhui Wang and Xingjun Wang and Yeliang Wang and Yuwei Wang and Zhenyu Wang and Yao Wen and Haidi Wu and Hongzhao Wu and Jiangbin Wu and Yanqing Wu and Longfei Xia and Baixu Xiang and Luwen Xing and Qihua Xiong and Xiong Xiong and Jeffrey Xu and Tao Xu and Yang Xu and Liu Yang and Yi Yang and Yuekun Yang and Lei Ye and Yu Ye and Bin Yu and Ting Yu and Hui Zeng and Guangyu Zhang and Hongyun Zhang and Jincheng Zhang and Kai Zhang and Tao Zhang and Xinbo Zhang and Yanfeng Zhang and Chunsong Zhao and Yuda Zhao and Ting Zheng and Peng Zhou and Shaohua Kevin Zhou and Yuxuan Zhu and Deren Yang and Yi Shi and Han Wang and Xinran Wang}, title = {Two-dimensional materials for future information technology: status and prospects}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {6}, year = {2024}, url = {https://doi.org/10.1007/s11432-024-4033-8}, doi = {10.1007/S11432-024-4033-8}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChenHWTTT24, author = {Ling Chen and Sung{-}Hao Huang and Tzu{-}Hsiang Wang and Vincent S. Tseng and Hsuan{-}Ming Tsao and Gau{-}Jun Tang}, title = {Automatic 3D left atrial strain extraction framework on cardiac computed tomography}, journal = {Comput. Methods Programs Biomed.}, volume = {252}, pages = {108236}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108236}, doi = {10.1016/J.CMPB.2024.108236}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ChenHWTTT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cybersec/LinCLWW24, author = {Xi Lin and Heyang Cao and Feng{-}Hao Liu and Zhedong Wang and Mingsheng Wang}, title = {Shorter ZK-SNARKs from square span programs over ideal lattices}, journal = {Cybersecur.}, volume = {7}, number = {1}, pages = {33}, year = {2024}, url = {https://doi.org/10.1186/s42400-024-00215-x}, doi = {10.1186/S42400-024-00215-X}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cybersec/LinCLWW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/WangYCXLZL24, author = {Chao Wang and Yali Yang and Hao Chen and Sha Xu and Yongfang Li and Ruoping Zhang and Ming Ling}, title = {Fatigue life prediction driven by mesoscopic defect data}, journal = {Eng. Appl. Artif. Intell.}, volume = {131}, pages = {107773}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107773}, doi = {10.1016/J.ENGAPPAI.2023.107773}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/WangYCXLZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/FuLMWL24, author = {Zi{-}Hao Fu and Ming{-}Xuan Li and Tzyh{-}Ghuang Ma and Chan{-}Shin Wu and Kun{-}You Lin}, title = {Millimeter-Wave GaAs Ultra-Wideband Medium Power Amplifier and Broadband High-Power Power Amplifier for 5G/6G Applications}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {14}, number = {1}, pages = {111--121}, year = {2024}, url = {https://doi.org/10.1109/JETCAS.2024.3356010}, doi = {10.1109/JETCAS.2024.3356010}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/FuLMWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZhouWCWLL24, author = {Mingyang Zhou and Fei Wang and Ze Chen and Ji Wu and Gang Liu and Hao Liao}, title = {Weak link prediction based on hyper latent distance in complex network}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {C}}, pages = {121843}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.121843}, doi = {10.1016/J.ESWA.2023.121843}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ZhouWCWLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24, author = {Wei{-}Wen Chen and Ling Kuo and Yi{-}Xun Lin and Wen{-}Chung Yu and Chien{-}Chao Tseng and Yenn{-}Jiang Lin and Ching{-}Chun Huang and Shih{-}Lin Chang and Jacky Chung{-}Hao Wu and Chun{-}Ku Chen and Ching{-}Yao Weng and Siwa Chan and Wei{-}Wen Lin and Yu{-}Cheng Hsieh and Ming{-}Chih Lin and Yun{-}Ching Fu and Tsung Chen and Shih{-}Ann Chen and Henry Horng{-}Shing Lu}, title = {A Deep Learning Approach to Classify Fabry Cardiomyopathy from Hypertrophic Cardiomyopathy Using Cine Imaging on Cardiac Magnetic Resonance}, journal = {Int. J. Biomed. Imaging}, volume = {2024}, pages = {6114826:1--6114826:9}, year = {2024}, url = {https://doi.org/10.1155/2024/6114826}, doi = {10.1155/2024/6114826}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/GanWYG24, author = {Mingxin Gan and Chunhua Wang and Lingling Yi and Hao Gu}, title = {Exploiting dynamic social feedback for session-based recommendation}, journal = {Inf. Process. Manag.}, volume = {61}, number = {2}, pages = {103632}, year = {2024}, url = {https://doi.org/10.1016/j.ipm.2023.103632}, doi = {10.1016/J.IPM.2023.103632}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/GanWYG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/BaiJWLP24, author = {Lin Bai and Tong Ju and Hao Wang and Mingzhu Lei and Xiaoying Pan}, title = {Two-step ensemble under-sampling algorithm for massive imbalanced data classification}, journal = {Inf. Sci.}, volume = {665}, pages = {120351}, year = {2024}, url = {https://doi.org/10.1016/j.ins.2024.120351}, doi = {10.1016/J.INS.2024.120351}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/BaiJWLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/WangZWJZLLFY24, author = {Rui Wang and Zhecheng Zhou and Xiaonan Wu and Xin Jiang and Linlin Zhuo and Mingzhe Liu and Hao Li and Xiangzheng Fu and Xiaojun Yao}, title = {An Effective Plant Small Secretory Peptide Recognition Model Based on Feature Correction Strategy}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {7}, pages = {2798--2806}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c00868}, doi = {10.1021/ACS.JCIM.3C00868}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/WangZWJZLLFY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ZhangHXHYXLC24, author = {Hao Zhang and Jinchao Huang and Junjie Xie and Weifeng Huang and Yuedong Yang and Mingyuan Xu and Jinping Lei and Hongming Chen}, title = {GRELinker: {A} Graph-Based Generative Model for Molecular Linker Design with Reinforcement and Curriculum Learning}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {3}, pages = {666--676}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01700}, doi = {10.1021/ACS.JCIM.3C01700}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/ZhangHXHYXLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/AnTSLWCWWYC24, author = {Wenbin An and Feng Tian and Wenkai Shi and Haonan Lin and Yaqiang Wu and Mingxiang Cai and Luyan Wang and Hua Wen and Lei Yao and Ping Chen}, title = {{DOWN:} Dynamic Order Weighted Network for Fine-grained Category Discovery}, journal = {Knowl. Based Syst.}, volume = {293}, pages = {111666}, year = {2024}, url = {https://doi.org/10.1016/j.knosys.2024.111666}, doi = {10.1016/J.KNOSYS.2024.111666}, timestamp = {Sat, 18 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/AnTSLWCWWYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/PanLSWJB24, author = {Xiaoying Pan and Mingzhu Lei and Jia Sun and Hao Wang and Tong Ju and Lin Bai}, title = {An evolutionary feature selection method based on probability-based initialized particle swarm optimization}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {15}, number = {8}, pages = {3533--3552}, year = {2024}, url = {https://doi.org/10.1007/s13042-024-02107-5}, doi = {10.1007/S13042-024-02107-5}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlc/PanLSWJB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WenLCL24, author = {Hao Wen and Zhe{-}Ming Lu and Jia{-}Lin Cui and Hao{-}Lai Li}, title = {A novel feature for action recognition}, journal = {Multim. Tools Appl.}, volume = {83}, number = {14}, pages = {41441--41456}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-17251-3}, doi = {10.1007/S11042-023-17251-3}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WenLCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ZhanMLTTHMZ24, author = {Choujun Zhan and Lingfeng Miao and Junyan Lin and Minghao Tan and Kim Fung Tsang and Tianyong Hao and Hu Min and Xuejiao Zhao}, title = {{BO-SHAP-BLS:} a novel machine learning framework for accurate forecasting of {COVID-19} testing capabilities}, journal = {Neural Comput. Appl.}, volume = {36}, number = {13}, pages = {7119--7131}, year = {2024}, url = {https://doi.org/10.1007/s00521-024-09449-9}, doi = {10.1007/S00521-024-09449-9}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/ZhanMLTTHMZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/YuZZWZO24, author = {Xinyi Yu and Haodong Zhao and Mingyang Zhang and Yan Wei and Libo Zhou and Linlin Ou}, title = {DynamicAug: Enhancing Transfer Learning Through Dynamic Data Augmentation Strategies Based on Model State}, journal = {Neural Process. Lett.}, volume = {56}, number = {3}, pages = {176}, year = {2024}, url = {https://doi.org/10.1007/s11063-024-11626-9}, doi = {10.1007/S11063-024-11626-9}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/YuZZWZO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/GuoHLHC24, author = {Haoran Guo and Mingyun He and Fan Li and Kexin He and Lina Chen}, title = {{MCFP:} {A} multi-target 3D perception method with weak dependence on 2D detectors}, journal = {Pattern Recognit. Lett.}, volume = {178}, pages = {188--194}, year = {2024}, url = {https://doi.org/10.1016/j.patrec.2024.01.011}, doi = {10.1016/J.PATREC.2024.01.011}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/GuoHLHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NanYWWD24, author = {Linjiang Nan and Mingxiang Yang and Hao Wang and Hejia Wang and Ningpeng Dong}, title = {An Innovative Correction-Fusion Approach for Multi-Satellite Precipitation Products Conditioned by Gauge Background Fields over the Lancang River Basin}, journal = {Remote. Sens.}, volume = {16}, number = {11}, pages = {1824}, year = {2024}, url = {https://doi.org/10.3390/rs16111824}, doi = {10.3390/RS16111824}, timestamp = {Sun, 14 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/NanYWWD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/SunYZLLHW24, author = {Hao Sun and Ming Yang and Enrico Zio and Xinhong Li and Xiaofei Lin and Xinjie Huang and Qun Wu}, title = {A simulation-based approach for resilience assessment of process system: {A} case of {LNG} terminal system}, journal = {Reliab. Eng. Syst. Saf.}, volume = {249}, pages = {110207}, year = {2024}, url = {https://doi.org/10.1016/j.ress.2024.110207}, doi = {10.1016/J.RESS.2024.110207}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/SunYZLLHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/QiuSCYYZZ24, author = {Yang Qiu and Lingshan Shi and Longyu Chen and Yuxuan Yu and Guoliang Yu and Mingmin Zhu and Haomiao Zhou}, title = {A Wide-Band Magnetoelectric Sensor Based on a Negative-Feedback Compensated Readout Circuit}, journal = {Sensors}, volume = {24}, number = {2}, pages = {423}, year = {2024}, url = {https://doi.org/10.3390/s24020423}, doi = {10.3390/S24020423}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/QiuSCYYZZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhouWGLZHTZZLMCQLQFCWZ24, author = {Yang Zhou and Wenzhang Wang and Guiguo Ge and Jinting Li and Danfang Zhang and Meng He and Biao Tang and Jiaqi Zhong and Lin Zhou and Runbing Li and Ning Mao and Hao Che and Leiyuan Qian and Yang Li and Fangjun Qin and Jie Fang and Xi Chen and Jin Wang and Mingsheng Zhan}, title = {High-Precision Atom Interferometer-Based Dynamic Gravimeter Measurement by Eliminating the Cross-Coupling Effect}, journal = {Sensors}, volume = {24}, number = {3}, pages = {1016}, year = {2024}, url = {https://doi.org/10.3390/s24031016}, doi = {10.3390/S24031016}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhouWGLZHTZZLMCQLQFCWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/RenTZZLW24, author = {Haohao Ren and Mo Tang and Lin Zou and Yun Zhou and Ming Li and Xuegang Wang}, title = {Variable structure bidimensional reference pattern-based anti-bias track to track association}, journal = {Signal Process.}, volume = {220}, pages = {109479}, year = {2024}, url = {https://doi.org/10.1016/j.sigpro.2024.109479}, doi = {10.1016/J.SIGPRO.2024.109479}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/RenTZZLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhangLYGGLX24, author = {Tinghao Zhang and Yachao Li and Mingze Yuan and Liang Guo and Jiao Guo and Hao Lin and Mengdao Xing}, title = {Focusing Highly Squinted {FMCW-SAR} Data Using the Modified Wavenumber-Domain Algorithm}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {17}, pages = {1999--2011}, year = {2024}, url = {https://doi.org/10.1109/JSTARS.2023.3266886}, doi = {10.1109/JSTARS.2023.3266886}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/ZhangLYGGLX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/NanMDQH24, author = {Hao Nan and Xiaofeng Ma and Minghui Dai and Shuang Qiu and Yubing Han}, title = {Ambiguity-Free 2-D {DOA} and Polarization Estimation for Mirrored Linear Crossed-Dipole Arrays}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {60}, number = {1}, pages = {893--906}, year = {2024}, url = {https://doi.org/10.1109/TAES.2023.3330448}, doi = {10.1109/TAES.2023.3330448}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/NanMDQH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HeSZWWLGC24, author = {Lin He and Hengzhuang Shi and Junhui Zhang and Mingxiang Wei and Ping Wang and Shunming Li and Hao Gao and Zhikuang Cai}, title = {A {CMOS} Current Sensing Interface With Sub-pA {DC} Uncertainty}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {2}, pages = {508--512}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2022.3227733}, doi = {10.1109/TCSII.2022.3227733}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/HeSZWWLGC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/LinWZW24, author = {Hao Lin and Mingqiang Wang and Jincheng Zhuang and Yang Wang}, title = {Hardness of Entropic Module-LWE}, journal = {Theor. Comput. Sci.}, volume = {999}, pages = {114553}, year = {2024}, url = {https://doi.org/10.1016/j.tcs.2024.114553}, doi = {10.1016/J.TCS.2024.114553}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/LinWZW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiuZPXJZBZ24, author = {Haomin Liu and Linsheng Zhao and Zhen Peng and Weijian Xie and Mingxuan Jiang and Hongbin Zha and Hujun Bao and Guofeng Zhang}, title = {A Low-Cost and Scalable Framework to Build Large-Scale Localization Benchmark for Augmented Reality}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {4}, pages = {2274--2288}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2023.3306160}, doi = {10.1109/TCSVT.2023.3306160}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LiuZPXJZBZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/SunWCLKYMCZ24, author = {Haotian Sun and Shandong Wu and Xinjian Chen and Ming Li and Lingji Kong and Xiaodong Yang and You Meng and Shuangqing Chen and Jian Zheng}, title = {{SAH-NET:} Structure-Aware Hierarchical Network for Clustered Microcalcification Classification in Digital Breast Tomosynthesis}, journal = {{IEEE} Trans. Cybern.}, volume = {54}, number = {4}, pages = {2345--2357}, year = {2024}, url = {https://doi.org/10.1109/TCYB.2022.3211499}, doi = {10.1109/TCYB.2022.3211499}, timestamp = {Mon, 29 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/SunWCLKYMCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgis/LiuLQLSZHWZ24, author = {Juqing Liu and Jun Li and Linwei Qiao and Mingke Li and Emmanuel Stefanakis and Xuesheng Zhao and Qian Huang and Hao Wang and Chengye Zhang}, title = {QuadGridSIM: {A} quadrilateral grid-based method for high-performance and robust trajectory similarity analysis}, journal = {Trans. {GIS}}, volume = {28}, number = {1}, pages = {83--107}, year = {2024}, url = {https://doi.org/10.1111/tgis.13126}, doi = {10.1111/TGIS.13126}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgis/LiuLQLSZHWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JiaMWLLC24, author = {Tong Jia and Bowen Ma and Hao Wang and Mingyuan Li and Shuyang Lin and Dongyue Chen}, title = {ForkNet: Overlapping Image Disentanglement for Accurate Prohibited Item Detection}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3394483}, doi = {10.1109/TIM.2024.3394483}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JiaMWLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/HaoCFY24, author = {Mai Hao and Ming Cai and Minghui Fang and Linlin You}, title = {SiG: {A} Siamese-Based Graph Convolutional Network to Align Knowledge in Autonomous Transportation Systems}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {15}, number = {2}, pages = {37:1--37:20}, year = {2024}, url = {https://doi.org/10.1145/3643861}, doi = {10.1145/3643861}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/HaoCFY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LinLLQLXL24, author = {Hao Lin and Cai Liu and Zhenhua Li and Feng Qian and Mingliang Li and Ping Xiong and Yunhao Liu}, title = {Aging or Glitching? What Leads to Poor Android Responsiveness and What Can We Do About It?}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {23}, number = {2}, pages = {1521--1533}, year = {2024}, url = {https://doi.org/10.1109/TMC.2023.3237716}, doi = {10.1109/TMC.2023.3237716}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmc/LinLLQLXL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/PangLHCLLHW24, author = {Yan Pang and Jiaming Liang and Teng Huang and Hao Chen and Yunhao Li and Dan Li and Lin Huang and Qiong Wang}, title = {Slim {UNETR:} Scale Hybrid Transformers to Efficient 3D Medical Image Segmentation Under Limited Computational Resources}, journal = {{IEEE} Trans. Medical Imaging}, volume = {43}, number = {3}, pages = {994--1005}, year = {2024}, url = {https://doi.org/10.1109/TMI.2023.3326188}, doi = {10.1109/TMI.2023.3326188}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/PangLHCLLHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urbaninformatics/HaoCL0Z24, author = {Ming Hao and Shilin Chen and Huijing Lin and Hua Zhang and Nanshan Zheng}, title = {A prior knowledge guided deep learning method for building extraction from high-resolution remote sensing images}, journal = {Urban Inform.}, volume = {3}, number = {1}, year = {2024}, url = {https://doi.org/10.1007/s44212-024-00038-8}, doi = {10.1007/S44212-024-00038-8}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urbaninformatics/HaoCL0Z24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/YangJHL24, author = {Zhian Yang and Hao Jiang and Lingyue Huang and Yiming Liu}, title = {Multi Feature Extraction and Trend Prediction for Weibo Topic Dissemination Network}, journal = {J. Signal Process. Syst.}, volume = {96}, number = {2}, pages = {113--129}, year = {2024}, url = {https://doi.org/10.1007/s11265-023-01905-4}, doi = {10.1007/S11265-023-01905-4}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/YangJHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangLY0GZQ24, author = {Fengjie Wang and Yanna Lin and Leni Yang and Haotian Li and Mingyang Gu and Min Zhu and Huamin Qu}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {OutlineSpark: Igniting AI-powered Presentation Slides Creation from Computational Notebooks through Outlines}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {159:1--159:16}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642865}, doi = {10.1145/3613904.3642865}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WangLY0GZQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiHHDLNY24, author = {Kai Li and Hantao Huang and Mingqiang Huang and Chenchen Ding and Longyang Lin and Liebing Ni and Hao Yu}, title = {A 29.12 {TOPS/W} and 1.13 TOPS/mm2 NAS-Optimized Mixed-Precision {DNN} Accelerator with Vector Split- and-Combination Systolic in 28nm {CMOS}}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10529039}, doi = {10.1109/CICC60959.2024.10529039}, timestamp = {Mon, 03 Jun 2024 20:37:04 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LiHHDLNY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/0001MLJLLTLTDCZ24, author = {Mingyu Wu and Liang Mao and Yude Lin and Yifeng Jin and Zhe Li and Hongtao Lyu and Jiawei Tang and Xiaowei Lu and Hao Tang and Denghui Dong and Haibo Chen and Binyu Zang}, title = {Jade: {A} High-throughput Concurrent Copying Garbage Collector}, booktitle = {Proceedings of the Nineteenth European Conference on Computer Systems, EuroSys 2024, Athens, Greece, April 22-25, 2024}, pages = {1160--1174}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627703.3650087}, doi = {10.1145/3627703.3650087}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/0001MLJLLTLTDCZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/ChenXMKGSCGFWZG24, author = {Yinfang Chen and Huaibing Xie and Minghua Ma and Yu Kang and Xin Gao and Liu Shi and Yunjie Cao and Xuedong Gao and Hao Fan and Ming Wen and Jun Zeng and Supriyo Ghosh and Xuchao Zhang and Chaoyun Zhang and Qingwei Lin and Saravan Rajmohan and Dongmei Zhang and Tianyin Xu}, title = {Automatic Root Cause Analysis via Large Language Models for Cloud Incidents}, booktitle = {Proceedings of the Nineteenth European Conference on Computer Systems, EuroSys 2024, Athens, Greece, April 22-25, 2024}, pages = {674--688}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627703.3629553}, doi = {10.1145/3627703.3629553}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/ChenXMKGSCGFWZG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/JiangZHYMQ0DRL024, author = {Yuxuan Jiang and Chaoyun Zhang and Shilin He and Zhihao Yang and Minghua Ma and Si Qin and Yu Kang and Yingnong Dang and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {Xpert: Empowering Incident Management with Query Recommendations via Large Language Models}, booktitle = {Proceedings of the 46th {IEEE/ACM} International Conference on Software Engineering, {ICSE} 2024, Lisbon, Portugal, April 14-20, 2024}, pages = {92:1--92:13}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3597503.3639081}, doi = {10.1145/3597503.3639081}, timestamp = {Mon, 24 Jun 2024 15:20:25 +0200}, biburl = {https://dblp.org/rec/conf/icse/JiangZHYMQ0DRL024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/TsengFLLLLLHWL24, author = {Po{-}Hao Tseng and Shao{-}Yu Fang and Yu{-}Hsuan Lin and Feng{-}Ming Lee and Jhe{-}Yi Liao and Yu{-}Yu Lin and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {3D-NAND based Filtering Cube with High Resolution 2D Query and Tunable Feature Length for Computational {SSD}}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536967}, doi = {10.1109/IMW59701.2024.10536967}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/TsengFLLLLLHWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/TsengLLBLHWL24, author = {Po{-}Hao Tseng and Yu{-}Hsuan Lin and Feng{-}Min Lee and Tian{-}Cig Bo and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {Reliability Assessment for an In-3D-NAND Approximate Searching Solution}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529345}, doi = {10.1109/IRPS48228.2024.10529345}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/TsengLLBLHWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsys/0002TTYCWXDG024, author = {Ji Lin and Jiaming Tang and Haotian Tang and Shang Yang and Wei{-}Ming Chen and Wei{-}Chen Wang and Guangxuan Xiao and Xingyu Dang and Chuang Gan and Song Han}, editor = {Phillip B. Gibbons and Gennady Pekhimenko and Christopher De Sa}, title = {{AWQ:} Activation-aware Weight Quantization for On-Device {LLM} Compression and Acceleration}, booktitle = {Proceedings of the Seventh Annual Conference on Machine Learning and Systems, MLSys 2024, Santa Clara, CA, USA, May 13-16, 2024}, publisher = {mlsys.org}, year = {2024}, url = {https://proceedings.mlsys.org/paper\_files/paper/2024/hash/42a452cbafa9dd64e9ba4aa95cc1ef21-Abstract-Conference.html}, timestamp = {Mon, 01 Jul 2024 17:06:12 +0200}, biburl = {https://dblp.org/rec/conf/mlsys/0002TTYCWXDG024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/YanXL00XW24, author = {Jinzhu Yan and Haotian Xu and Zhuotao Liu and Qi Li and Ke Xu and Mingwei Xu and Jianping Wu}, editor = {Laurent Vanbever and Irene Zhang}, title = {Brain-on-Switch: Towards Advanced Intelligent Network Data Plane via NN-Driven Traffic Analysis at Line-Speed}, booktitle = {21st {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2024, Santa Clara, CA, April 15-17, 2024}, pages = {419--440}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/nsdi24/presentation/yan}, timestamp = {Fri, 19 Apr 2024 11:29:16 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/YanXL00XW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WangLHZWGGYLHZSZYLZHWXZ24, author = {Yanze Wang and Xiaochuan Liu and Qiushi Huang and Dechao Zhang and Xutao Wang and Qiang Guo and Tianyu Gao and Zhiqun Yang and Yaping Liu and Haofeng Hu and Rui Zhou and Wei Sun and Mingqing Zuo and Min Yan and Zhenhua Liu and Xianyu Zhang and Zhanhua Huang and Dong Wang and Xinhua Xiao and Lin Zhang}, title = {10-mode {PM-QPSK} Transmission over 2320 km Enabled by Optimized Mode Permutation Strategies}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526722}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WangLHZWGGYLHZSZYLZHWXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/HassanLRGC00TOL24, author = {Ahmed E. Hassan and Dayi Lin and Gopi Krishnan Rajbahadur and Keheliya Gallaba and Filipe Roseiro C{\^{o}}go and Boyuan Chen and Haoxiang Zhang and Kishanthan Thangarajah and Gustavo Ansaldi Oliva and Jiahuei (Justina) Lin and Wali Mohammad Abdullah and Zhen Ming (Jack) Jiang}, editor = {Marcelo d'Amorim}, title = {Rethinking Software Engineering in the Era of Foundation Models: {A} Curated Catalogue of Challenges in the Development of Trustworthy FMware}, booktitle = {Companion Proceedings of the 32nd {ACM} International Conference on the Foundations of Software Engineering, {FSE} 2024, Porto de Galinhas, Brazil, July 15-19, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3663529.3663849}, doi = {10.1145/3663529.3663849}, timestamp = {Thu, 11 Jul 2024 11:41:50 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/HassanLRGC00TOL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-02954, author = {Xiao Bi and Deli Chen and Guanting Chen and Shanhuang Chen and Damai Dai and Chengqi Deng and Honghui Ding and Kai Dong and Qiushi Du and Zhe Fu and Huazuo Gao and Kaige Gao and Wenjun Gao and Ruiqi Ge and Kang Guan and Daya Guo and Jianzhong Guo and Guangbo Hao and Zhewen Hao and Ying He and Wenjie Hu and Panpan Huang and Erhang Li and Guowei Li and Jiashi Li and Yao Li and Y. K. Li and Wenfeng Liang and Fangyun Lin and Alex X. Liu and Bo Liu and Wen Liu and Xiaodong Liu and Xin Liu and Yiyuan Liu and Haoyu Lu and Shanghao Lu and Fuli Luo and Shirong Ma and Xiaotao Nie and Tian Pei and Yishi Piao and Junjie Qiu and Hui Qu and Tongzheng Ren and Zehui Ren and Chong Ruan and Zhangli Sha and Zhihong Shao and Junxiao Song and Xuecheng Su and Jingxiang Sun and Yaofeng Sun and Minghui Tang and Bingxuan Wang and Peiyi Wang and Shiyu Wang and Yaohui Wang and Yongji Wang and Tong Wu and Y. Wu and Xin Xie and Zhenda Xie and Ziwei Xie and Yiliang Xiong and Hanwei Xu and R. X. Xu and Yanhong Xu and Dejian Yang and Yuxiang You and Shuiping Yu and Xingkai Yu and B. Zhang and Haowei Zhang and Lecong Zhang and Liyue Zhang and Mingchuan Zhang and Minghua Zhang and Wentao Zhang and Yichao Zhang and Chenggang Zhao and Yao Zhao and Shangyan Zhou and Shunfeng Zhou and Qihao Zhu and Yuheng Zou}, title = {DeepSeek {LLM:} Scaling Open-Source Language Models with Longtermism}, journal = {CoRR}, volume = {abs/2401.02954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.02954}, doi = {10.48550/ARXIV.2401.02954}, eprinttype = {arXiv}, eprint = {2401.02954}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-02954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-03203, author = {Tongyan Hua and Haotian Bai and Zidong Cao and Ming Liu and Dacheng Tao and Lin Wang}, title = {Hi-Map: Hierarchical Factorized Radiance Field for High-Fidelity Monocular Dense Mapping}, journal = {CoRR}, volume = {abs/2401.03203}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.03203}, doi = {10.48550/ARXIV.2401.03203}, eprinttype = {arXiv}, eprint = {2401.03203}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-03203.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-04152, author = {Jiawen Kang and Lingwei Meng and Mingyu Cui and Haohan Guo and Xixin Wu and Xunying Liu and Helen Meng}, title = {Cross-Speaker Encoding Network for Multi-Talker Speech Recognition}, journal = {CoRR}, volume = {abs/2401.04152}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.04152}, doi = {10.48550/ARXIV.2401.04152}, eprinttype = {arXiv}, eprint = {2401.04152}, timestamp = {Tue, 02 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-04152.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05960, author = {Xijun Li and Fangzhou Zhu and Hui{-}Ling Zhen and Weilin Luo and Meng Lu and Yimin Huang and Zhenan Fan and Zirui Zhou and Yufei Kuang and Zhihai Wang and Zijie Geng and Yang Li and Haoyang Liu and Zhiwu An and Muming Yang and Jianshu Li and Jie Wang and Junchi Yan and Defeng Sun and Tao Zhong and Yong Zhang and Jia Zeng and Mingxuan Yuan and Jianye Hao and Jun Yao and Kun Mao}, title = {Machine Learning Insides OptVerse {AI} Solver: Design Principles and Applications}, journal = {CoRR}, volume = {abs/2401.05960}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05960}, doi = {10.48550/ARXIV.2401.05960}, eprinttype = {arXiv}, eprint = {2401.05960}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05960.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10359, author = {Hao Li and Gopi Krishnan Rajbahadur and Dayi Lin and Cor{-}Paul Bezemer and Zhen Ming Jiang}, title = {Keeping Deep Learning Models in Check: {A} History-Based Approach to Mitigate Overfitting}, journal = {CoRR}, volume = {abs/2401.10359}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10359}, doi = {10.48550/ARXIV.2401.10359}, eprinttype = {arXiv}, eprint = {2401.10359}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12224, author = {Ruizhe Zhong and Xingbo Du and Shixiong Kai and Zhentao Tang and Siyuan Xu and Hui{-}Ling Zhen and Jianye Hao and Qiang Xu and Mingxuan Yuan and Junchi Yan}, title = {{LLM4EDA:} Emerging Progress in Large Language Models for Electronic Design Automation}, journal = {CoRR}, volume = {abs/2401.12224}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12224}, doi = {10.48550/ARXIV.2401.12224}, eprinttype = {arXiv}, eprint = {2401.12224}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12224.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-00034, author = {Haozhe Li and Minghua Ma and Yudong Liu and Pu Zhao and Lingling Zheng and Ze Li and Yingnong Dang and Murali Chintalapati and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {Why does Prediction Accuracy Decrease over Time? Uncertain Positive Learning for Cloud Failure Prediction}, journal = {CoRR}, volume = {abs/2402.00034}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.00034}, doi = {10.48550/ARXIV.2402.00034}, eprinttype = {arXiv}, eprint = {2402.00034}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-00034.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01741, author = {Jasmine Chiat Ling Ong and Liyuan Jin and Kabilan Elangovan and Gilbert Yong San Lim and Daniel Yan Zheng Lim and Gerald Gui Ren Sng and Yuhe Ke and Joshua Yi Min Tung and Ryan Jian Zhong and Christopher Ming Yao Koh and Keane Zhi Hao Lee and Xiang Chen and Jack Kian Chng and Aung Than and Ken Junyang Goh and Daniel Shu Wei Ting}, title = {Development and Testing of a Novel Large Language Model-Based Clinical Decision Support Systems for Medication Safety in 12 Clinical Specialties}, journal = {CoRR}, volume = {abs/2402.01741}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01741}, doi = {10.48550/ARXIV.2402.01741}, eprinttype = {arXiv}, eprint = {2402.01741}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10381, author = {Yan Kang and Hao Lin and Mingjian Yang and Shin{-}Jye Lee}, title = {{UMAIR-FPS:} User-aware Multi-modal Animation Illustration Recommendation Fusion with Painting Style}, journal = {CoRR}, volume = {abs/2402.10381}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10381}, doi = {10.48550/ARXIV.2402.10381}, eprinttype = {arXiv}, eprint = {2402.10381}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13740, author = {Luming Lu and Jiyuan An and Yujie Wang and Liner Yang and Cunliang Kong and Zhenghao Liu and Shuo Wang and Haozhe Lin and Mingwei Fang and Yaping Huang and Erhong Yang}, title = {From Text to {CQL:} Bridging Natural Language and Corpus Search Engine}, journal = {CoRR}, volume = {abs/2402.13740}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13740}, doi = {10.48550/ARXIV.2402.13740}, eprinttype = {arXiv}, eprint = {2402.13740}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15943, author = {Ahmed E. Hassan and Dayi Lin and Gopi Krishnan Rajbahadur and Keheliya Gallaba and Filipe Roseiro C{\^{o}}go and Boyuan Chen and Haoxiang Zhang and Kishanthan Thangarajah and Gustavo Ansaldi Oliva and Jiahuei Lin and Wali Mohammad Abdullah and Zhen Ming Jiang}, title = {Rethinking Software Engineering in the Foundation Model Era: {A} Curated Catalogue of Challenges in the Development of Trustworthy FMware}, journal = {CoRR}, volume = {abs/2402.15943}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15943}, doi = {10.48550/ARXIV.2402.15943}, eprinttype = {arXiv}, eprint = {2402.15943}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-19330, author = {Hanxi Li and Zhengxun Zhang and Hao Chen and Lin Wu and Bo Li and Deyin Liu and Mingwen Wang}, title = {A Novel Approach to Industrial Defect Generation through Blended Latent Diffusion Model with Online Adaptation}, journal = {CoRR}, volume = {abs/2402.19330}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.19330}, doi = {10.48550/ARXIV.2402.19330}, eprinttype = {arXiv}, eprint = {2402.19330}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-19330.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01777, author = {Lizhou Fan and Wenyue Hua and Xiang Li and Kaijie Zhu and Mingyu Jin and Lingyao Li and Haoyang Ling and Jinkui Chi and Jindong Wang and Xin Ma and Yongfeng Zhang}, title = {NPHardEval4V: {A} Dynamic Reasoning Benchmark of Multimodal Large Language Models}, journal = {CoRR}, volume = {abs/2403.01777}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01777}, doi = {10.48550/ARXIV.2403.01777}, eprinttype = {arXiv}, eprint = {2403.01777}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01777.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04309, author = {Mingyuan Li and Tong Jia and Hao Wang and Bowen Ma and Shuyang Lin and Da Cai and Dongyue Chen}, title = {{AO-DETR:} Anti-Overlapping {DETR} for X-Ray Prohibited Items Detection}, journal = {CoRR}, volume = {abs/2403.04309}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04309}, doi = {10.48550/ARXIV.2403.04309}, eprinttype = {arXiv}, eprint = {2403.04309}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04309.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07257, author = {Lei Chen and Yiqi Chen and Zhufei Chu and Wenji Fang and Tsung{-}Yi Ho and Yu Huang and Sadaf Khan and Min Li and Xingquan Li and Yun Liang and Yibo Lin and Jinwei Liu and Yi Liu and Guojie Luo and Zhengyuan Shi and Guangyu Sun and Dimitrios Tsaras and Runsheng Wang and Ziyi Wang and Xinming Wei and Zhiyao Xie and Qiang Xu and Chenhao Xue and Evangeline F. Y. Young and Bei Yu and Mingxuan Yuan and Haoyi Zhang and Zuodong Zhang and Yuxiang Zhao and Hui{-}Ling Zhen and Ziyang Zheng and Binwu Zhu and Keren Zhu and Sunan Zou}, title = {The Dawn of AI-Native {EDA:} Promises and Challenges of Large Circuit Models}, journal = {CoRR}, volume = {abs/2403.07257}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07257}, doi = {10.48550/ARXIV.2403.07257}, eprinttype = {arXiv}, eprint = {2403.07257}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07257.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09121, author = {Fengjie Wang and Yanna Lin and Leni Yang and Haotian Li and Mingyang Gu and Min Zhu and Huamin Qu}, title = {OutlineSpark: Igniting AI-powered Presentation Slides Creation from Computational Notebooks through Outlines}, journal = {CoRR}, volume = {abs/2403.09121}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09121}, doi = {10.48550/ARXIV.2403.09121}, eprinttype = {arXiv}, eprint = {2403.09121}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-11090, author = {Jinzhu Yan and Haotian Xu and Zhuotao Liu and Qi Li and Ke Xu and Mingwei Xu and Jianping Wu}, title = {Brain-on-Switch: Towards Advanced Intelligent Network Data Plane via NN-Driven Traffic Analysis at Line-Speed}, journal = {CoRR}, volume = {abs/2403.11090}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.11090}, doi = {10.48550/ARXIV.2403.11090}, eprinttype = {arXiv}, eprint = {2403.11090}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-11090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14023, author = {Carsten Baum and Jens Berlips and Walther Chen and Hongrui Cui and Ivan Damg{\aa}rd and Jiangbin Dong and Kevin M. Esvelt and Mingyu Gao and Dana Gretton and Leonard Foner and Martin Kysel and Kaiyi Zhang and Juanru Li and Xiang Li and Omer Paneth and Ronald L. Rivest and Francesca Sage{-}Ling and Adi Shamir and Yue Shen and Meicen Sun and Vinod Vaikuntanathan and Lynn Van Hauwe and Theia Vogel and Benjamin Weinstein{-}Raun and Yun Wang and Daniel Wichs and Stephen Wooster and Andrew C. Yao and Yu Yu and Haoling Zhang}, title = {A system capable of verifiably and privately screening global {DNA} synthesis}, journal = {CoRR}, volume = {abs/2403.14023}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14023}, doi = {10.48550/ARXIV.2403.14023}, eprinttype = {arXiv}, eprint = {2403.14023}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-18383, author = {Xu{-}Sheng Cao and Haori Lu and Linlan Huang and Xialei Liu and Ming{-}Ming Cheng}, title = {Generative Multi-modal Models are Good Class-Incremental Learners}, journal = {CoRR}, volume = {abs/2403.18383}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.18383}, doi = {10.48550/ARXIV.2403.18383}, eprinttype = {arXiv}, eprint = {2403.18383}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-18383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00237, author = {Haotian Lin and Yixiao Wang and Mingxiao Huo and Chensheng Peng and Zhiyuan Liu and Masayoshi Tomizuka}, title = {Joint Pedestrian Trajectory Prediction through Posterior Sampling}, journal = {CoRR}, volume = {abs/2404.00237}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00237}, doi = {10.48550/ARXIV.2404.00237}, eprinttype = {arXiv}, eprint = {2404.00237}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00629, author = {Lizhi Lin and Honglin Mu and Zenan Zhai and Minghan Wang and Yuxia Wang and Renxi Wang and Junjie Gao and Yixuan Zhang and Wanxiang Che and Timothy Baldwin and Xudong Han and Haonan Li}, title = {Against The Achilles' Heel: {A} Survey on Red Teaming for Generative Models}, journal = {CoRR}, volume = {abs/2404.00629}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00629}, doi = {10.48550/ARXIV.2404.00629}, eprinttype = {arXiv}, eprint = {2404.00629}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01780, author = {Xu Li and Ruiqi Sun and Jiameng Lv and Peng Jia and Nan Li and Chengliang Wei and Zou Hu and Xinzhong Er and Yun Chen and Zhang Ban and Yuedong Fang and Qi Guo and Dezi Liu and Guoliang Li and Lin Lin and Ming Li and Ran Li and Xiaobo Li and Yu Luo and Xianmin Meng and Jundan Nie and Zhaoxiang Qi and Yisheng Qiu and Li Shao and Hao Tian and Lei Wang and Wei Wang and Jingtian Xian and Youhua Xu and Tianmeng Zhang and Xin Zhang and Zhimin Zhou}, title = {{CSST} Strong Lensing Preparation: a Framework for Detecting Strong Lenses in the Multi-color Imaging Survey by the China Survey Space Telescope {(CSST)}}, journal = {CoRR}, volume = {abs/2404.01780}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01780}, doi = {10.48550/ARXIV.2404.01780}, eprinttype = {arXiv}, eprint = {2404.01780}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01780.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-02284, author = {Apan Dastider and Hao Fang and Mingjie Lin}, title = {{APEX:} Ambidextrous Dual-Arm Robotic Manipulation Using Collision-Free Generative Diffusion Models}, journal = {CoRR}, volume = {abs/2404.02284}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.02284}, doi = {10.48550/ARXIV.2404.02284}, eprinttype = {arXiv}, eprint = {2404.02284}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-02284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10253, author = {Xiaohui Duan and Yuxuan Li and Zhao Liu and Bin Yang and Juepeng Zheng and Haohuan Fu and Shaoqing Zhang and Shiming Xu and Yang Gao and Wei Xue and Di Wei and Xiaojing Lv and Lifeng Yan and Haopeng Huang and Haitian Lu and Lingfeng Wan and Haoran Lin and Qixin Chang and Chenlin Li and Quanjie He and Zeyu Song and Xuantong Wang and Yangyang Yu and Xilong Fan and Zhaopeng Qu and Yankun Xu and Xiuwen Guo and Yunlong Fei and Zhaoying Wang and Mingkui Li and Yingjing Jiang and Lv Lu and Liang Su and Jiayu Fu and Peinan Yu and Weiguo Liu and Lixin Wu and Lanning Wang and Xin Liu and Dexun Chen and Guangwen Yang}, title = {Kilometer-Level Coupled Modeling Using 40 Million Cores: An Eight-Year Journey of Model Development}, journal = {CoRR}, volume = {abs/2404.10253}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10253}, doi = {10.48550/ARXIV.2404.10253}, eprinttype = {arXiv}, eprint = {2404.10253}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10253.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10343, author = {Bin Ren and Yawei Li and Nancy Mehta and Radu Timofte and Hongyuan Yu and Cheng Wan and Yuxin Hong and Bingnan Han and Zhuoyuan Wu and Yajun Zou and Yuqing Liu and Jizhe Li and Keji He and Chao Fan and Heng Zhang and Xiaolin Zhang and Xuanwu Yin and Kunlong Zuo and Bohao Liao and Peizhe Xia and Long Peng and Zhibo Du and Xin Di and Wangkai Li and Yang Wang and Wei Zhai and Renjing Pei and Jiaming Guo and Songcen Xu and Yang Cao and Zhengjun Zha and Yan Wang and Yi Liu and Qing Wang and Gang Zhang and Liou Zhang and Shijie Zhao and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Xin Liu and Min Yan and Qian Wang and Menghan Zhou and Yiqiang Yan and Yixuan Liu and Wensong Chan and Dehua Tang and Dong Zhou and Li Wang and Lu Tian and Barsoum Emad and Bohan Jia and Junbo Qiao and Yunshuai Zhou and Yun Zhang and Wei Li and Shaohui Lin and Shenglong Zhou and Binbin Chen and Jincheng Liao and Suiyi Zhao and Zhao Zhang and Bo Wang and Yan Luo and Yanyan Wei and Feng Li and Mingshen Wang and Yawei Li and Jinhan Guan and Dehua Hu and Jiawei Yu and Qisheng Xu and Tao Sun and Long Lan and Kele Xu and Xin Lin and Jingtong Yue and Lehan Yang and Shiyi Du and Lu Qi and Chao Ren and Zeyu Han and Yuhan Wang and Chaolin Chen and Haobo Li and Mingjun Zheng and Zhongbao Yang and Lianhong Song and Xingzhuo Yan and Minghan Fu and Jingyi Zhang and Baiang Li and Qi Zhu and Xiaogang Xu and Dan Guo and Chunle Guo and Jiadi Chen and Huanhuan Long}, title = {The Ninth {NTIRE} 2024 Efficient Super-Resolution Challenge Report}, journal = {CoRR}, volume = {abs/2404.10343}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10343}, doi = {10.48550/ARXIV.2404.10343}, eprinttype = {arXiv}, eprint = {2404.10343}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10378, author = {Ivan DeAndres{-}Tame and Ruben Tolosana and Pietro Melzi and Rub{\'{e}}n Vera{-}Rodr{\'{\i}}guez and Minchul Kim and Christian Rathgeb and Xiaoming Liu and Aythami Morales and Julian Fi{\'{e}}rrez and Javier Ortega{-}Garcia and Zhizhou Zhong and Yuge Huang and Yuxi Mi and Shouhong Ding and Shuigeng Zhou and Shuai He and Lingzhi Fu and Heng Cong and Rongyu Zhang and Zhihong Xiao and Evgeny Smirnov and Anton Pimenov and Aleksei Grigorev and Denis Timoshenko and Kaleb Mesfin Asfaw and Cheng{-}Yaw Low and Hao Liu and Chuyi Wang and Qing Zuo and Zhixiang He and Hatef Otroshi{-}Shahreza and Anjith George and Alexander Unnervik and Parsa Rahimi and S{\'{e}}bastien Marcel and Pedro C. Neto and Marco Huber and Jan Niklas Kolf and Naser Damer and Fadi Boutros and Jaime S. Cardoso and Ana Filipa Sequeira and Andrea Atzori and Gianni Fenu and Mirko Marras and Vitomir Struc and Jiang Yu and Zhangjie Li and Jichun Li and Weisong Zhao and Zhen Lei and Xiangyu Zhu and Xiaoyu Zhang and Bernardo Biesseck and Pedro Vidal and Luiz Coelho and Roger Granada and David Menotti}, title = {Second Edition FRCSyn Challenge at {CVPR} 2024: Face Recognition Challenge in the Era of Synthetic Data}, journal = {CoRR}, volume = {abs/2404.10378}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10378}, doi = {10.48550/ARXIV.2404.10378}, eprinttype = {arXiv}, eprint = {2404.10378}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10378.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11313, author = {Xin Li and Kun Yuan and Yajing Pei and Yiting Lu and Ming Sun and Chao Zhou and Zhibo Chen and Radu Timofte and Wei Sun and Haoning Wu and Zicheng Zhang and Jun Jia and Zhichao Zhang and Linhan Cao and Qiubo Chen and Xiongkuo Min and Weisi Lin and Guangtao Zhai and Jian Sun and Tianyi Wang and Lei Li and Han Kong and Wenxuan Wang and Bing Li and Cheng Luo and Haiqiang Wang and Xiangguang Chen and Wenhui Meng and Xiang Pan and Huiying Shi and Han Zhu and Xiaozhong Xu and Lei Sun and Zhenzhong Chen and Shan Liu and Fangyuan Kong and Haotian Fan and Yifang Xu and Haoran Xu and Mengduo Yang and Jie Zhou and Jiaze Li and Shijie Wen and Mai Xu and Da Li and Shunyu Yao and Jiazhi Du and Wangmeng Zuo and Zhibo Li and Shuai He and Anlong Ming and Huiyuan Fu and Huadong Ma and Yong Wu and Fie Xue and Guozhi Zhao and Lina Du and Jie Guo and Yu Zhang and Huimin Zheng and Junhao Chen and Yue Liu and Dulan Zhou and Kele Xu and Qisheng Xu and Tao Sun and Zhixiang Ding and Yuhang Hu}, title = {{NTIRE} 2024 Challenge on Short-form {UGC} Video Quality Assessment: Methods and Results}, journal = {CoRR}, volume = {abs/2404.11313}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11313}, doi = {10.48550/ARXIV.2404.11313}, eprinttype = {arXiv}, eprint = {2404.11313}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14248, author = {Xiaoning Liu and Zongwei Wu and Ao Li and Florin{-}Alexandru Vasluianu and Yulun Zhang and Shuhang Gu and Le Zhang and Ce Zhu and Radu Timofte and Zhi Jin and Hongjun Wu and Chenxi Wang and Haitao Ling and Yuanhao Cai and Hao Bian and Yuxin Zheng and Jing Lin and Alan L. Yuille and Ben Shao and Jin Guo and Tianli Liu and Mohao Wu and Yixu Feng and Shuo Hou and Haotian Lin and Yu Zhu and Peng Wu and Wei Dong and Jinqiu Sun and Yanning Zhang and Qingsen Yan and Wenbin Zou and Weipeng Yang and Yunxiang Li and Qiaomu Wei and Tian Ye and Sixiang Chen and Zhao Zhang and Suiyi Zhao and Bo Wang and Yan Luo and Zhichao Zuo and Mingshen Wang and Junhu Wang and Yanyan Wei and Xiaopeng Sun and Yu Gao and Jiancheng Huang and Hongming Chen and Xiang Chen and Hui Tang and Yuanbin Chen and Yuanbo Zhou and Xinwei Dai and Xintao Qiu and Wei Deng and Qinquan Gao and Tong Tong and Mingjia Li and Jin Hu and Xinyu He and Xiaojie Guo and Sabarinathan and K. Uma and A. Sasithradevi and B. Sathya Bama and S. Mohamed Mansoor Roomi and V. Srivatsav and Jinjuan Wang and Long Sun and Qiuying Chen and Jiahong Shao and Yizhi Zhang and Marcos V. Conde and Daniel Feijoo and Juan C. Benito and Alvaro Garc{\'{\i}}a and Jaeho Lee and Seongwan Kim and Sharif S. M. A and Nodirkhuja Khujaev and Roman Tsoy and Ali Murtaza and Uswah Khairuddin and Ahmad 'Athif Mohd Faudzi and Sampada Malagi and Amogh Joshi and Nikhil Akalwadi and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudenagudi and Wenyi Lian and Wenjing Lian and Jagadeesh Kalyanshetti and Vijayalaxmi Ashok Aralikatti and Palani Yashaswini and Nitish Upasi and Dikshit Hegde and Ujwala Patil and Sujata C}, title = {{NTIRE} 2024 Challenge on Low Light Image Enhancement: Methods and Results}, journal = {CoRR}, volume = {abs/2404.14248}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14248}, doi = {10.48550/ARXIV.2404.14248}, eprinttype = {arXiv}, eprint = {2404.14248}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14248.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18135, author = {Guo{-}Hao Xu and Yi{-}Lin Wei and Dian Zheng and Xiao{-}Ming Wu and Wei{-}Shi Zheng}, title = {Dexterous Grasp Transformer}, journal = {CoRR}, volume = {abs/2404.18135}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18135}, doi = {10.48550/ARXIV.2404.18135}, eprinttype = {arXiv}, eprint = {2404.18135}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18886, author = {Yiyuan Yang and Ming Jin and Haomin Wen and Chaoli Zhang and Yuxuan Liang and Lintao Ma and Yi Wang and Chenghao Liu and Bin Yang and Zenglin Xu and Jiang Bian and Shirui Pan and Qingsong Wen}, title = {A Survey on Diffusion Models for Time Series and Spatio-Temporal Data}, journal = {CoRR}, volume = {abs/2404.18886}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18886}, doi = {10.48550/ARXIV.2404.18886}, eprinttype = {arXiv}, eprint = {2404.18886}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-19652, author = {Yuliang Liu and Mingxin Huang and Hao Yan and Linger Deng and Weijia Wu and Hao Lu and Chunhua Shen and Lianwen Jin and Xiang Bai}, title = {VimTS: {A} Unified Video and Image Text Spotter for Enhancing the Cross-domain Generalization}, journal = {CoRR}, volume = {abs/2404.19652}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.19652}, doi = {10.48550/ARXIV.2404.19652}, eprinttype = {arXiv}, eprint = {2404.19652}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-19652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01567, author = {Yuhao Zhang and Shiqi Wang and Haifeng Qian and Zijian Wang and Mingyue Shang and Linbo Liu and Sanjay Krishna Gouda and Baishakhi Ray and Murali Krishna Ramanathan and Xiaofei Ma and Anoop Deoras}, title = {CodeFort: Robust Training for Code Generation Models}, journal = {CoRR}, volume = {abs/2405.01567}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01567}, doi = {10.48550/ARXIV.2405.01567}, eprinttype = {arXiv}, eprint = {2405.01567}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01567.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03060, author = {Zhaiming Shen and Menglun Wang and Guang Cheng and Ming{-}Jun Lai and Lin Mu and Ruihao Huang and Qi Liu and Hao Zhu}, title = {Tree-based Ensemble Learning for Out-of-distribution Detection}, journal = {CoRR}, volume = {abs/2405.03060}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03060}, doi = {10.48550/ARXIV.2405.03060}, eprinttype = {arXiv}, eprint = {2405.03060}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-04434, author = {DeepSeek{-}AI and Aixin Liu and Bei Feng and Bin Wang and Bingxuan Wang and Bo Liu and Chenggang Zhao and Chengqi Deng and Chong Ruan and Damai Dai and Daya Guo and Dejian Yang and Deli Chen and Dongjie Ji and Erhang Li and Fangyun Lin and Fuli Luo and Guangbo Hao and Guanting Chen and Guowei Li and Hao Zhang and Hanwei Xu and Hao Yang and Haowei Zhang and Honghui Ding and Huajian Xin and Huazuo Gao and Hui Li and Hui Qu and J. L. Cai and Jian Liang and Jianzhong Guo and Jiaqi Ni and Jiashi Li and Jin Chen and Jingyang Yuan and Junjie Qiu and Junxiao Song and Kai Dong and Kaige Gao and Kang Guan and Lean Wang and Lecong Zhang and Lei Xu and Leyi Xia and Liang Zhao and Liyue Zhang and Meng Li and Miaojun Wang and Mingchuan Zhang and Minghua Zhang and Minghui Tang and Mingming Li and Ning Tian and Panpan Huang and Peiyi Wang and Peng Zhang and Qihao Zhu and Qinyu Chen and Qiushi Du and R. J. Chen and R. L. Jin and Ruiqi Ge and Ruizhe Pan and Runxin Xu and Ruyi Chen and S. S. Li and Shanghao Lu and Shangyan Zhou and Shanhuang Chen and Shaoqing Wu and Shengfeng Ye and Shirong Ma and Shiyu Wang and Shuang Zhou and Shuiping Yu and Shunfeng Zhou and Size Zheng and Tao Wang and Tian Pei and Tian Yuan and Tianyu Sun and W. L. Xiao and Wangding Zeng and Wei An and Wen Liu and Wenfeng Liang and Wenjun Gao and Wentao Zhang and X. Q. Li and Xiangyue Jin and Xianzu Wang and Xiao Bi and Xiaodong Liu and Xiaohan Wang and Xiaojin Shen and Xiaokang Chen and Xiaosha Chen and Xiaotao Nie and Xiaowen Sun}, title = {DeepSeek-V2: {A} Strong, Economical, and Efficient Mixture-of-Experts Language Model}, journal = {CoRR}, volume = {abs/2405.04434}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.04434}, doi = {10.48550/ARXIV.2405.04434}, eprinttype = {arXiv}, eprint = {2405.04434}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-04434.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-08816, author = {Lingdong Kong and Shaoyuan Xie and Hanjiang Hu and Yaru Niu and Wei Tsang Ooi and Benoit R. Cottereau and Lai Xing Ng and Yuexin Ma and Wenwei Zhang and Liang Pan and Kai Chen and Ziwei Liu and Weichao Qiu and Wei Zhang and Xu Cao and Hao Lu and Ying{-}Cong Chen and Caixin Kang and Xinning Zhou and Chengyang Ying and Wentao Shang and Xingwei Wang and Yinpeng Dong and Bo Yang and Shengyin Jiang and Zeliang Ma and Dengyi Ji and Haiwen Li and Xingliang Huang and Yu Tian and Genghua Kou and Fan Jia and Yingfei Liu and Tiancai Wang and Ying Li and Xiaoshuai Hao and Yifan Yang and Hui Zhang and Mengchuan Wei and Yi Zhou and Haimei Zhao and Jing Zhang and Jinke Li and Xiao He and Xiaoqiang Cheng and Bingyang Zhang and Lirong Zhao and Dianlei Ding and Fangsheng Liu and Yixiang Yan and Hongming Wang and Nanfei Ye and Lun Luo and Yubo Tian and Yiwei Zuo and Zhe Cao and Yi Ren and Yunfan Li and Wenjie Liu and Xun Wu and Yifan Mao and Ming Li and Jian Liu and Jiayang Liu and Zihan Qin and Cunxi Chu and Jialei Xu and Wenbo Zhao and Junjun Jiang and Xianming Liu and Ziyan Wang and Chiwei Li and Shilong Li and Chendong Yuan and Songyue Yang and Wentao Liu and Peng Chen and Bin Zhou and Yubo Wang and Chi Zhang and Jianhang Sun and Hai Chen and Xiao Yang and Lizhong Wang and Dongyi Fu and Yongchun Lin and Huitong Yang and Haoang Li and Yadan Luo and Xianjing Cheng and Yong Xu}, title = {The RoboDrive Challenge: Drive Anytime Anywhere in Any Condition}, journal = {CoRR}, volume = {abs/2405.08816}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.08816}, doi = {10.48550/ARXIV.2405.08816}, eprinttype = {arXiv}, eprint = {2405.08816}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-08816.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-09059, author = {Haomiao Sun and Mingjie He and Shiguang Shan and Hu Han and Xilin Chen}, title = {Task-adaptive Q-Face}, journal = {CoRR}, volume = {abs/2405.09059}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.09059}, doi = {10.48550/ARXIV.2405.09059}, eprinttype = {arXiv}, eprint = {2405.09059}, timestamp = {Sat, 15 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-09059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-11024, author = {Zhanguang Zhang and Didier Ch{\'{e}}telat and Joseph Cotnareanu and Amur Ghose and Wenyi Xiao and Hui{-}Ling Zhen and Yingxue Zhang and Jianye Hao and Mark Coates and Mingxuan Yuan}, title = {GraSS: Combining Graph Neural Networks with Expert Knowledge for {SAT} Solver Selection}, journal = {CoRR}, volume = {abs/2405.11024}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.11024}, doi = {10.48550/ARXIV.2405.11024}, eprinttype = {arXiv}, eprint = {2405.11024}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-11024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-15414, author = {Yuxuan Guo and Shaohui Peng and Jiaming Guo and Di Huang and Xishan Zhang and Rui Zhang and Yifan Hao and Ling Li and Zikang Tian and Mingju Gao and Yutai Li and Yiming Gan and Shuai Liang and Zihao Zhang and Zidong Du and Qi Guo and Xing Hu and Yunji Chen}, title = {Luban: Building Open-Ended Creative Agents via Autonomous Embodied Verification}, journal = {CoRR}, volume = {abs/2405.15414}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.15414}, doi = {10.48550/ARXIV.2405.15414}, eprinttype = {arXiv}, eprint = {2405.15414}, timestamp = {Wed, 19 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-15414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19291, author = {Yi{-}Lin Wei and Jian{-}Jian Jiang and Chengyi Xing and Xiantuo Tan and Xiao{-}Ming Wu and Hao Li and Mark R. Cutkosky and Wei{-}Shi Zheng}, title = {Grasp as You Say: Language-guided Dexterous Grasp Generation}, journal = {CoRR}, volume = {abs/2405.19291}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19291}, doi = {10.48550/ARXIV.2405.19291}, eprinttype = {arXiv}, eprint = {2405.19291}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19291.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-02787, author = {Wenyue Hua and Kaijie Zhu and Lingyao Li and Lizhou Fan and Shuhang Lin and Mingyu Jin and Haochen Xue and Zelong Li and Jindong Wang and Yongfeng Zhang}, title = {Disentangling Logic: The Role of Context in Large Language Model Reasoning Capabilities}, journal = {CoRR}, volume = {abs/2406.02787}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.02787}, doi = {10.48550/ARXIV.2406.02787}, eprinttype = {arXiv}, eprint = {2406.02787}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-02787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07579, author = {Tianyang Xue and Lin Lu and Yang Liu and Mingdong Wu and Hao Dong and Yanbin Zhang and Renmin Han and Baoquan Chen}, title = {GFPack++: Improving 2D Irregular Packing by Learning Gradient Field with Attention}, journal = {CoRR}, volume = {abs/2406.07579}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07579}, doi = {10.48550/ARXIV.2406.07579}, eprinttype = {arXiv}, eprint = {2406.07579}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07579.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12793, author = {Aohan Zeng and Bin Xu and Bowen Wang and Chenhui Zhang and Da Yin and Diego Rojas and Guanyu Feng and Hanlin Zhao and Hanyu Lai and Hao Yu and Hongning Wang and Jiadai Sun and Jiajie Zhang and Jiale Cheng and Jiayi Gui and Jie Tang and Jing Zhang and Juanzi Li and Lei Zhao and Lindong Wu and Lucen Zhong and Mingdao Liu and Minlie Huang and Peng Zhang and Qinkai Zheng and Rui Lu and Shuaiqi Duan and Shudan Zhang and Shulin Cao and Shuxun Yang and Weng Lam Tam and Wenyi Zhao and Xiao Liu and Xiao Xia and Xiaohan Zhang and Xiaotao Gu and Xin Lv and Xinghan Liu and Xinyi Liu and Xinyue Yang and Xixuan Song and Xunkai Zhang and Yifan An and Yifan Xu and Yilin Niu and Yuantao Yang and Yueyan Li and Yushi Bai and Yuxiao Dong and Zehan Qi and Zhaoyu Wang and Zhen Yang and Zhengxiao Du and Zhenyu Hou and Zihan Wang}, title = {ChatGLM: {A} Family of Large Language Models from {GLM-130B} to {GLM-4} All Tools}, journal = {CoRR}, volume = {abs/2406.12793}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12793}, doi = {10.48550/ARXIV.2406.12793}, eprinttype = {arXiv}, eprint = {2406.12793}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12793.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-14017, author = {Ye Wang and Jiahao Xun and Mingjie Hong and Jieming Zhu and Tao Jin and Wang Lin and Haoyuan Li and Linjun Li and Yan Xia and Zhou Zhao and Zhenhua Dong}, title = {{EAGER:} Two-Stream Generative Recommender with Behavior-Semantic Collaboration}, journal = {CoRR}, volume = {abs/2406.14017}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.14017}, doi = {10.48550/ARXIV.2406.14017}, eprinttype = {arXiv}, eprint = {2406.14017}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-14017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinWLTHCL23, author = {Yu{-}Ming Lin and Hung{-}Wei Wu and Jia{-}Hao Lin and Wei{-}Chen Tien and Cheng{-}Yuan Hung and Shoou{-}Jinn Chang and Ran Liu}, title = {High-Quality Nanocrystalline Silicon (nc-Si:H) Thin Film With Mixed-Phase and Its Application of Circulating Tumor Cell {DEP} Biochip}, journal = {{IEEE} Access}, volume = {11}, pages = {16057--16065}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3245884}, doi = {10.1109/ACCESS.2023.3245884}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinWLTHCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/ZhouMHBLTLGC23, author = {Tongtong Zhou and Xinguo Ming and Ting Han and Yuguang Bao and Xiaoqiang Liao and Qingfei Tong and Shangwen Liu and Hao Guan and Zhihua Chen}, title = {Smart experience-oriented customer requirement analysis for smart product service system: {A} novel hesitant fuzzy linguistic cloud {DEMATEL} method}, journal = {Adv. Eng. Informatics}, volume = {56}, pages = {101917}, year = {2023}, url = {https://doi.org/10.1016/j.aei.2023.101917}, doi = {10.1016/J.AEI.2023.101917}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/ZhouMHBLTLGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WangZWLWKMWL23, author = {Zechen Wang and Liangzhen Zheng and Sheng Wang and Mingzhi Lin and Zhihao Wang and Adams Wai{-}Kin Kong and Yuguang Mu and Yanjie Wei and Weifeng Li}, title = {A fully differentiable ligand pose optimization framework guided by deep learning and a traditional scoring function}, journal = {Briefings Bioinform.}, volume = {24}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bib/bbac520}, doi = {10.1093/BIB/BBAC520}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WangZWLWKMWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LiuWCHZHLCZHWLZZ23, author = {Xueyu Liu and Yongfei Wu and Yilin Chen and Dongna Hui and Jianan Zhang and Fang Hao and Yuanyue Lu and Hangbei Cheng and Yue Zeng and Weixia Han and Chen Wang and Ming Li and Xiaoshuang Zhou and Wen Zheng}, title = {Diagnosis of diabetic kidney disease in whole slide images via AI-driven quantification of pathological indicators}, journal = {Comput. Biol. Medicine}, volume = {166}, pages = {107470}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107470}, doi = {10.1016/J.COMPBIOMED.2023.107470}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/LiuWCHZHLCZHWLZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/LinSWLW23, author = {Hao Lin and Shi{-}Feng Sun and Mingqiang Wang and Joseph K. Liu and Weijia Wang}, title = {Shorter Linkable Ring Signature Based on Middle-Product Learning with Errors Problem}, journal = {Comput. J.}, volume = {66}, number = {12}, pages = {2974--2989}, year = {2023}, url = {https://doi.org/10.1093/comjnl/bxac141}, doi = {10.1093/COMJNL/BXAC141}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cj/LinSWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvgip/HuLLYXL23, author = {Ling Hu and Qinsong Li and Shengjun Liu and Dong{-}Ming Yan and Haojun Xu and Xinru Liu}, title = {RFMNet: Robust Deep Functional Maps for unsupervised non-rigid shape correspondence}, journal = {Graph. Model.}, volume = {129}, pages = {101189}, year = {2023}, url = {https://doi.org/10.1016/j.gmod.2023.101189}, doi = {10.1016/J.GMOD.2023.101189}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cvgip/HuLLYXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cviu/LiXSZHLS23, author = {Haoling Li and Mengqi Xue and Jie Song and Haofei Zhang and Wenqi Huang and Lingyu Liang and Mingli Song}, title = {Constituent Attention for Vision Transformers}, journal = {Comput. Vis. Image Underst.}, volume = {237}, pages = {103838}, year = {2023}, url = {https://doi.org/10.1016/j.cviu.2023.103838}, doi = {10.1016/J.CVIU.2023.103838}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cviu/LiXSZHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LiuQYZYYWJZ23, author = {Mingkai Liu and Haohua Que and Xinghua Yang and Kaitong Zhang and Qingyang Yu and Lingxiao Yan and Tong Wang and Yu Jin and Ni Zhou}, title = {A Selective Bit Dropping and Encoding Co-Strategy in Image Processing for Low-Power Design in {DRAM} and {SRAM}}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {13}, number = {1}, pages = {48--57}, year = {2023}, url = {https://doi.org/10.1109/JETCAS.2023.3234402}, doi = {10.1109/JETCAS.2023.3234402}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LiuQYZYYWJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiuJXLWNAAP23, author = {Jun Liu and Haoyu Jin and Guangxia Xu and Mingwei Lin and Tao Wu and Majid Kamal A. Nour and Fayadh Alenezi and Adi Alhudhaif and Kemal Polat}, title = {Aliasing black box adversarial attack with joint self-attention distribution and confidence probability}, journal = {Expert Syst. Appl.}, volume = {214}, pages = {119110}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2022.119110}, doi = {10.1016/J.ESWA.2022.119110}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LiuJXLWNAAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/HuHLZ23, author = {Wei Hu and Chu He and Mingyuan Lin and Haoyu Zhou}, title = {Unsupervised deep homography with multi-scale global attention}, journal = {{IET} Image Process.}, volume = {17}, number = {10}, pages = {2937--2948}, year = {2023}, url = {https://doi.org/10.1049/ipr2.12842}, doi = {10.1049/IPR2.12842}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/HuHLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/PanLQW23, author = {Wujiu Pan and Liangyu Ling and Haoyong Qu and Minghai Wang}, title = {Nonlinear Vibration of Bolted Rotor Bearing System Accounting for the Bending Stiffness Characteristics of the Connection Interface}, journal = {Int. J. Bifurc. Chaos}, volume = {33}, number = {4}, pages = {2350050:1--2350050:24}, year = {2023}, url = {https://doi.org/10.1142/S0218127423500505}, doi = {10.1142/S0218127423500505}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/PanLQW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/QianDLLXZHQLLLGLCZ23, author = {Xu Qian and Xiaowen Dai and Lin Luo and Mingde Lin and Yuan Xu and Yang Zhao and Dingfang Huang and Haodi Qiu and Li Liang and Haichun Liu and Yingbo Liu and Lingxi Gu and Tao Lu and Yadong Chen and Yanmin Zhang}, title = {An Interpretable Multitask Framework BiLAT Enables Accurate Prediction of Cyclin-Dependent Protein Kinase Inhibitors}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {11}, pages = {3350--3368}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c00473}, doi = {10.1021/ACS.JCIM.3C00473}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/QianDLLXZHQLLLGLCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/GuLCL23, author = {Fangqing Gu and Haosen Liu and Yiu{-}ming Cheung and Hai{-}Lin Liu}, title = {A constrained multiobjective evolutionary algorithm based on adaptive constraint regulation}, journal = {Knowl. Based Syst.}, volume = {260}, pages = {110112}, year = {2023}, url = {https://doi.org/10.1016/j.knosys.2022.110112}, doi = {10.1016/J.KNOSYS.2022.110112}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/GuLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/AiHWCY23, author = {Jiaqiu Ai and Shaofan Hou and Mingyang Wu and Bin Chen and Hao Yan}, title = {MPGSE-D-LinkNet: Multiple-Parameters-Guided Squeeze-and-Excitation Integrated D-LinkNet for Road Extraction in Remote Sensing Imagery}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3306725}, doi = {10.1109/LGRS.2023.3306725}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/AiHWCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LinHLYZ23, author = {Huijing Lin and Ming Hao and Weiqiang Luo and Hongye Yu and Nanshan Zheng}, title = {BEARNet: {A} Novel Buildings Edge-Aware Refined Network for Building Extraction From High-Resolution Remote Sensing Images}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3272353}, doi = {10.1109/LGRS.2023.3272353}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/LinHLYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/0020WWLMZZLZAJZ23, author = {Lei Li and Fuping Wu and Sihan Wang and Xinzhe Luo and Carlos Mart{\'{\i}}n{-}Isla and Shuwei Zhai and Jianpeng Zhang and Yanfei Liu and Zhen Zhang and Markus J. Ankenbrand and Haochuan Jiang and Xiaoran Zhang and Linhong Wang and Tewodros Weldebirhan Arega and Elif Altunok and Zhou Zhao and Feiyan Li and Jun Ma and Xiaoping Yang and {\'{E}}lodie Puybareau and Ilkay {\"{O}}ks{\"{u}}z and St{\'{e}}phanie Bricq and Weisheng Li and Kumaradevan Punithakumar and Sotirios A. Tsaftaris and Laura Maria Schreiber and Mingjing Yang and Guocai Liu and Yong Xia and Guotai Wang and Sergio Escalera and Xiahai Zhuang}, title = {MyoPS: {A} benchmark of myocardial pathology segmentation combining three-sequence cardiac magnetic resonance images}, journal = {Medical Image Anal.}, volume = {87}, pages = {102808}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102808}, doi = {10.1016/J.MEDIA.2023.102808}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/0020WWLMZZLZAJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/QuLH23, author = {Ming Qu and Yanbin Li and Yue Hao}, title = {0.18-{\(\mu\)}m {CMOS} process 40 MHz-4.41 GHz frequency synthesizer with high linearity low phase noise varactor-reconstruction {LC-VCO}}, journal = {Microelectron. J.}, volume = {138}, pages = {105843}, year = {2023}, url = {https://doi.org/10.1016/j.mejo.2023.105843}, doi = {10.1016/J.MEJO.2023.105843}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/QuLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LinHLYLZ23, author = {Songyue Lin and Xuejiang Hao and Yan Liu and Dong Yan and Jianwei Liu and Mingjun Zhong}, title = {Lightweight deep learning methods for panoramic dental X-ray image segmentation}, journal = {Neural Comput. Appl.}, volume = {35}, number = {11}, pages = {8295--8306}, year = {2023}, url = {https://doi.org/10.1007/s00521-022-08102-7}, doi = {10.1007/S00521-022-08102-7}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LinHLYLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LiLLLLZWLLWLZYISXXHFWDFYWZLLDL23, author = {Longhui Li and Duoru Lin and Zhenzhe Lin and Mingyuan Li and Zhangkai Lian and Lanqin Zhao and Xiaohang Wu and Lixue Liu and Jiali Liu and Xiaoyue Wei and Mingjie Luo and Danqi Zeng and Anqi Yan and Wai Cheng Iao and Yuanjun Shang and Fabao Xu and Wei Xiang and Muchen He and Zhe Fu and Xueyu Wang and Yaru Deng and Xinyan Fan and Zhijun Ye and Meirong Wei and Jianping Zhang and Baohai Liu and Jianqiao Li and Xiaoyan Ding and Haotian Lin}, title = {DeepQuality improves infant retinopathy screening}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00943-3}, doi = {10.1038/S41746-023-00943-3}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LiLLLLZWLLWLZYISXXHFWDFYWZLLDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/WangNDWWMLL23, author = {Ning Wang and Muyao Niu and Zhi Dou and Zhihui Wang and Zhiyong Wang and Zhaoyan Ming and Bin Liu and Haojie Li}, title = {Coloring anime line art videos with transformation region enhancement network}, journal = {Pattern Recognit.}, volume = {141}, pages = {109562}, year = {2023}, url = {https://doi.org/10.1016/j.patcog.2023.109562}, doi = {10.1016/J.PATCOG.2023.109562}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/WangNDWWMLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/Cui00HYDL23, author = {Zhenzhen Cui and Chao Ma and Hao Zhang and Yonghong Hu and Lin Yan and Changyong Dou and Xiao{-}Ming Li}, title = {Vicarious Radiometric Calibration of the Multispectral Imager Onboard {SDGSAT-1} over the Dunhuang Calibration Site, China}, journal = {Remote. Sens.}, volume = {15}, number = {10}, pages = {2578}, year = {2023}, url = {https://doi.org/10.3390/rs15102578}, doi = {10.3390/RS15102578}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/Cui00HYDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/FuZZZWCYX23, author = {Xiangyu Fu and Qiangyu Zeng and Ming Zhu and Tao Zhang and Hao Wang and Qingqing Chen and Qiu Yu and Linlin Xie}, title = {Multi-Scale Feature Residual Feedback Network for Super-Resolution Reconstruction of the Vertical Structure of the Radar Echo}, journal = {Remote. Sens.}, volume = {15}, number = {14}, pages = {3676}, year = {2023}, url = {https://doi.org/10.3390/rs15143676}, doi = {10.3390/RS15143676}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/FuZZZWCYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HeJHZGL23, author = {Jianmeng He and Xin Jiang and Zhicheng Hao and Ming Zhu and Wen Gao and Shi Liu}, title = {{LPHOG:} {A} Line Feature and Point Feature Combined Rotation Invariant Method for Heterologous Image Registration}, journal = {Remote. Sens.}, volume = {15}, number = {18}, pages = {4548}, year = {2023}, url = {https://doi.org/10.3390/rs15184548}, doi = {10.3390/RS15184548}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/HeJHZGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NiuHSMWLZCDS23, author = {Hanlin Niu and Xiao{-}Ming Hu and Lunyu Shang and Xianhong Meng and Shaoying Wang and Zhaoguo Li and Lin Zhao and Hao Chen and Mingshan Deng and Danrui Sheng}, title = {Evaluation of Original and Water Stress-Incorporated Modified Weather Research and Forecasting Vegetation Photosynthesis and Respiration Model in Simulating {CO2} Flux and Concentration Variability over the Tibetan Plateau}, journal = {Remote. Sens.}, volume = {15}, number = {23}, pages = {5474}, year = {2023}, url = {https://doi.org/10.3390/rs15235474}, doi = {10.3390/RS15235474}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/NiuHSMWLZCDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangYZTWYMS23, author = {Hanyu Wang and Mingyu Yang and Tao Zhang and Dapeng Tian and Hao Wang and Dong Yao and Lingtong Meng and Honghai Shen}, title = {Hyperspectral Anomaly Detection with Differential Attribute Profiles and Genetic Algorithms}, journal = {Remote. Sens.}, volume = {15}, number = {4}, pages = {1050}, year = {2023}, url = {https://doi.org/10.3390/rs15041050}, doi = {10.3390/RS15041050}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangYZTWYMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiZWLC23, author = {Hao{-}Lai Li and Xu{-}Qing Zhang and Zong{-}Hui Wang and Zhe{-}Ming Lu and Jia{-}Lin Cui}, title = {Resampling-Detection-Network-Based Robust Image Watermarking against Scaling and Cutting}, journal = {Sensors}, volume = {23}, number = {19}, pages = {8195}, year = {2023}, url = {https://doi.org/10.3390/s23198195}, doi = {10.3390/S23198195}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiZWLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCLLTWLHC23, author = {Jia{-}Ru Lin and I{-}Hao Cheng and Yu{-}Syuan Liang and Jyun{-}Jie Li and Jen{-}Ming Tsai and Min{-}Tsung Wang and Te{-}Pao Lin and Su{-}Lan Huang and Ming{-}Chung Chou}, title = {Investigation of the Relationship between Body Parameters and mAs Using Non-Contact Two-Dimensional Thickness Measurement in Chest Digital Radiography}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7169}, year = {2023}, url = {https://doi.org/10.3390/s23167169}, doi = {10.3390/S23167169}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinCLLTWLHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YuYLLWLL23, author = {Cheng{-}Hao Yu and Chih{-}Ching Yeh and Yi{-}Fu Lu and Yi{-}Ling Lu and Ting{-}Ming Wang and Frank Yeong{-}Sung Lin and Tung{-}Wu Lu}, title = {Recurrent Neural Network Methods for Extracting Dynamic Balance Variables during Gait from a Single Inertial Measurement Unit}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9040}, year = {2023}, url = {https://doi.org/10.3390/s23229040}, doi = {10.3390/S23229040}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YuYLLWLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/HaoDZLH23, author = {Ming Hao and Guimiao Dou and Xiaotong Zhang and Huijing Lin and Wenqi Huo}, title = {A Subpixel Mapping Method for Urban Land Use by Reducing Shadow Effects}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {16}, pages = {2163--2177}, year = {2023}, url = {https://doi.org/10.1109/JSTARS.2023.3243895}, doi = {10.1109/JSTARS.2023.3243895}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/HaoDZLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/ChenDLLL23, author = {Wei{-}Chun Chen and Ming{-}Jay Deng and Ping{-}Yu Liu and Chun{-}Chi Lai and Yu{-}Hao Lin}, title = {A framework for real-time vehicle counting and velocity estimation using deep learning}, journal = {Sustain. Comput. Informatics Syst.}, volume = {40}, pages = {100927}, year = {2023}, url = {https://doi.org/10.1016/j.suscom.2023.100927}, doi = {10.1016/J.SUSCOM.2023.100927}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/suscom/ChenDLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LinCT23, author = {Wen{-}Yen Lin and Tin{-}Hao Chang and Shu{-}Ming Tseng}, title = {Deep Learning-Based Cross-Layer Power Allocation for Downlink Cell-Free Massive Multiple-Input-Multiple-Output Video Communication Systems}, journal = {Symmetry}, volume = {15}, number = {11}, pages = {1968}, year = {2023}, url = {https://doi.org/10.3390/sym15111968}, doi = {10.3390/SYM15111968}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/LinCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/HaoWGX0WCZ23, author = {Xiaoke Hao and Ruxue Wang and Yingchun Guo and Yunjia Xiao and Ming Yu and Meiling Wang and Weibin Chen and Daoqiang Zhang}, title = {Multimodal Self-Paced Locality-Preserving Learning for Diagnosis of Alzheimer's Disease}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {15}, number = {2}, pages = {832--843}, year = {2023}, url = {https://doi.org/10.1109/TCDS.2022.3189701}, doi = {10.1109/TCDS.2022.3189701}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tamd/HaoWGX0WCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/HaoTGXYWQZI23, author = {Xiaoke Hao and Qihao Tan and Yingchun Guo and Yunjia Xiao and Ming Yu and Meiling Wang and Jing Qin and Daoqiang Zhang and Alzheimer's Disease Neuroimaging Initiative}, title = {Identifying Modality-Consistent and Modality-Specific Features via Label-Guided Multi-Task Sparse Canonical Correlation Analysis for Neuroimaging Genetics}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {70}, number = {3}, pages = {831--840}, year = {2023}, url = {https://doi.org/10.1109/TBME.2022.3203152}, doi = {10.1109/TBME.2022.3203152}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/HaoTGXYWQZI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GaoZLSPLWH23, author = {Xiaohan Gao and Haoyi Zhang and Mingjie Liu and Linxiao Shen and David Z. Pan and Yibo Lin and Runsheng Wang and Ru Huang}, title = {Interactive Analog Layout Editing With Instant Placement and Routing Legalization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {3}, pages = {698--711}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3190234}, doi = {10.1109/TCAD.2022.3190234}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GaoZLSPLWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ThasreefaPCLC23, author = {A. K. Thasreefa and Abhishek Patyal and Hao{-}Yu Chi and Mark Po{-}Hung Lin and Hung{-}Ming Chen}, title = {On Reducing {LDE} Variations in Modern Analog Placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {4}, pages = {1268--1279}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3199307}, doi = {10.1109/TCAD.2022.3199307}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ThasreefaPCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/XiaoYHWHSLMWFQH23, author = {Zehua Xiao and Fei You and Peng Hao and Yu Wang and Qian He and Ce Shen and Chuan Li and Mingming Ma and Jiayan Wu and Yaojia Fan and Rongxing Qin and Songbai He}, title = {A Ka-Band {CMOS} Power Amplifier With OP1dB Improvement Employing a Diode-Connected Analog Linearizer}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {6}, pages = {2271--2275}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3235772}, doi = {10.1109/TCSII.2023.3235772}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/XiaoYHWHSLMWFQH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/WuCZXH23, author = {Hao{-}Tian Wu and Yiu{-}Ming Cheung and Zhenwei Zhuang and Lingling Xu and Jiankun Hu}, title = {Lossless Data Hiding in Encrypted Images Compatible With Homomorphic Processing}, journal = {{IEEE} Trans. Cybern.}, volume = {53}, number = {6}, pages = {3688--3701}, year = {2023}, url = {https://doi.org/10.1109/TCYB.2022.3163245}, doi = {10.1109/TCYB.2022.3163245}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/WuCZXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/SyuLWH23, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Chi{-}Jen Wu and Jan{-}Ming Ho}, title = {Stock Selection System Through Suitability Index and Fuzzy-Based Quantitative Characteristics}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {31}, number = {1}, pages = {322--334}, year = {2023}, url = {https://doi.org/10.1109/TFUZZ.2022.3187192}, doi = {10.1109/TFUZZ.2022.3187192}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/SyuLWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ShenYWXGQW23, author = {Yiyang Shen and Rongwei Yu and Peng Wu and Haoran Xie and Lina Gong and Jing Qin and Mingqiang Wei}, title = {ImLiDAR: Cross-Sensor Dynamic Message Propagation Network for 3-D Object Detection}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--13}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3321138}, doi = {10.1109/TGRS.2023.3321138}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ShenYWXGQW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LiuLTSWTL23, author = {Yao{-}Lun Liu and Chun{-}Hsien Liu and Chun{-}Hao Tsai and Ce Fang Shih and Jau Yang Wu and Chia{-}Ming Tsai and Sheng{-}Di Lin}, title = {Theoretical Calculation and Demonstration of High Radiometric Temperature Detection Using {A} 64 {\texttimes} 128 Pixel {SPAD} Image Array}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--8}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3328082}, doi = {10.1109/TIM.2023.3328082}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/LiuLTSWTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/GuanYYXBL23, author = {Hao Guan and Ling Yue and Pew{-}Thian Yap and Shifu Xiao and Andrea Bozoki and Mingxia Liu}, title = {Attention-Guided Autoencoder for Automated Progression Prediction of Subjective Cognitive Decline With Structural {MRI}}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {6}, pages = {2980--2989}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2023.3257081}, doi = {10.1109/JBHI.2023.3257081}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/GuanYYXBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/WenLHWXZWHW23, author = {Haomin Wen and Youfang Lin and Yuxuan Hu and Fan Wu and Mingxuan Xia and Xinyi Zhang and Lixia Wu and Haoyuan Hu and Huaiyu Wan}, title = {Modeling Spatial-Temporal Constraints and Spatial-Transfer Patterns for Couriers' Package Pick-up Route Prediction}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {12}, pages = {13787--13800}, year = {2023}, url = {https://doi.org/10.1109/TITS.2023.3301661}, doi = {10.1109/TITS.2023.3301661}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/WenLHWXZWHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/FrancoBarrancoLJWSYFLCXXLCLZCPCNFJL23, author = {Daniel Franco{-}Barranco and Zudi Lin and Won{-}Dong Jang and Xueying Wang and Qijia Shen and Wenjie Yin and Yutian Fan and Mingxing Li and Chang Chen and Zhiwei Xiong and Rui Xin and Hao Liu and Huai Chen and Zhili Li and Jie Zhao and Xuejin Chen and Constantin Pape and Ryan Conrad and Luke Nightingale and Joost de Folter and Martin L. Jones and Yanling Liu and Dorsa Ziaei and Stephan Huschauer and Ignacio Arganda{-}Carreras and Hanspeter Pfister and Donglai Wei}, title = {Current Progress and Challenges in Large-Scale 3D Mitochondria Instance Segmentation}, journal = {{IEEE} Trans. Medical Imaging}, volume = {42}, number = {12}, pages = {3956--3971}, year = {2023}, url = {https://doi.org/10.1109/TMI.2023.3320497}, doi = {10.1109/TMI.2023.3320497}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/FrancoBarrancoLJWSYFLCXXLCLZCPCNFJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosem/GongZWZH23, author = {Lina Gong and Jingxuan Zhang and Mingqiang Wei and Haoxiang Zhang and Zhiqiu Huang}, title = {What Is the Intended Usage Context of This Model? An Exploratory Study of Pre-Trained Models on Various Model Repositories}, journal = {{ACM} Trans. Softw. Eng. Methodol.}, volume = {32}, number = {3}, pages = {69:1--69:57}, year = {2023}, url = {https://doi.org/10.1145/3569934}, doi = {10.1145/3569934}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosem/GongZWZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/ZhangCLXQGLLZHHMXS23, author = {Xiaoshuai Zhang and Rui Chen and Ang Li and Fanbo Xiang and Yuzhe Qin and Jiayuan Gu and Zhan Ling and Minghua Liu and Peiyu Zeng and Songfang Han and Zhiao Huang and Tongzhou Mu and Jing Xu and Hao Su}, title = {Close the Optical Sensing Domain Gap by Physics-Grounded Active Stereo Sensor Simulation}, journal = {{IEEE} Trans. Robotics}, volume = {39}, number = {3}, pages = {2429--2447}, year = {2023}, url = {https://doi.org/10.1109/TRO.2023.3235591}, doi = {10.1109/TRO.2023.3235591}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/ZhangCLXQGLLZHHMXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/LiXKZXCL23, author = {Yuchen Li and Haoyi Xiong and Linghe Kong and Rui Zhang and Fanqin Xu and Guihai Chen and Minglu Li}, title = {{MHRR:} MOOCs Recommender Service With Meta Hierarchical Reinforced Ranking}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {16}, number = {6}, pages = {4467--4480}, year = {2023}, url = {https://doi.org/10.1109/TSC.2023.3325302}, doi = {10.1109/TSC.2023.3325302}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsc/LiXKZXCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/GongZZWH23, author = {Lina Gong and Haoxiang Zhang and Jingxuan Zhang and Mingqiang Wei and Zhiqiu Huang}, title = {A Comprehensive Investigation of the Impact of Class Overlap on Software Defect Prediction}, journal = {{IEEE} Trans. Software Eng.}, volume = {49}, number = {4}, pages = {2440--2458}, year = {2023}, url = {https://doi.org/10.1109/TSE.2022.3220740}, doi = {10.1109/TSE.2022.3220740}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/GongZZWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/WangLHXF23, author = {Sen Wang and Yun Lin and Ming Hao and Huaitao Xu and Jiangzhi Fu}, title = {Assessment of speech communication interference effects under small sample conditions}, journal = {Wirel. Networks}, volume = {29}, number = {7}, pages = {2909--2923}, year = {2023}, url = {https://doi.org/10.1007/s11276-023-03396-4}, doi = {10.1007/S11276-023-03396-4}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/winet/WangLHXF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiY0YNG0QJRLW23, author = {Yanyu Li and Changdi Yang and Pu Zhao and Geng Yuan and Wei Niu and Jiexiong Guan and Hao Tang and Minghai Qin and Qing Jin and Bin Ren and Xue Lin and Yanzhi Wang}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Towards Real-Time Segmentation on the Edge}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {1468--1476}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i2.25232}, doi = {10.1609/AAAI.V37I2.25232}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiY0YNG0QJRLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangXWJL23, author = {Ning Wang and Jiahao Xie and Jihao Wu and Mingbo Jia and Linlin Li}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Controllable Image Captioning via Prompting}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {2617--2625}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i2.25360}, doi = {10.1609/AAAI.V37I2.25360}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangXWJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/XuLML000L23, author = {Sheng Xu and Yanjing Li and Teli Ma and Mingbao Lin and Hao Dong and Baochang Zhang and Peng Gao and Jinhu Lu}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Resilient Binary Neural Network}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {10620--10628}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i9.26261}, doi = {10.1609/AAAI.V37I9.26261}, timestamp = {Mon, 01 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/XuLML000L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/0004ZTHLS23, author = {Han Wu and Mingjie Zhan and Haochen Tan and Zhaohui Hou and Ding Liang and Linqi Song}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {{VCSUM:} {A} Versatile Chinese Meeting Summarization Dataset}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6065--6079}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.377}, doi = {10.18653/V1/2023.FINDINGS-ACL.377}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/0004ZTHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LuoEYGSYTWSL23, author = {Haoran Luo and Haihong E and Yuhao Yang and Yikai Guo and Mingzhi Sun and Tianyu Yao and Zichen Tang and Kaiyang Wan and Meina Song and Wei Lin}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {{HAHE:} Hierarchical Attention for Hyper-Relational Knowledge Graphs in Global and Local Level}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {8095--8107}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.450}, doi = {10.18653/V1/2023.ACL-LONG.450}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LuoEYGSYTWSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhengHJLLSW23, author = {Mingyu Zheng and Yang Hao and Wenbin Jiang and Zheng Lin and Yajuan Lyu and Qiaoqiao She and Weiping Wang}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {{IM-TQA:} {A} Chinese Table Question Answering Dataset with Implicit and Multi-type Table Structures}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {5074--5094}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.278}, doi = {10.18653/V1/2023.ACL-LONG.278}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhengHJLLSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aisns/SunHZYLHF23, author = {Mingju Sun and Linxu Han and Liang Zhang and Hao Yin and Kai Liao and Jinqiu Hu and Xiaowen Fan}, title = {A study on risk propagation and traceability of gas storage based on complex network}, booktitle = {Proceedings of the 2023 International Conference on Artificial Intelligence, Systems and Network Security, {AISNS} 2023, Mianyang, China, December 22-24, 2023}, pages = {343--348}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3661638.3661703}, doi = {10.1145/3661638.3661703}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aisns/SunHZYLHF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/HuangHTDKL23, author = {Hao{-}Hsuan Huang and Nen{-}Fu Huang and Jian{-}Wei Tzeng and Xiao{-}Ming Dong and Heng{-}Yu Kao and Tsung{-}Wei Lin}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {Knowledge Map Automatic Update System Using Graph Convolutional Network}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {332--333}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00072}, doi = {10.1109/BIGCOMP57234.2023.00072}, timestamp = {Mon, 27 Mar 2023 16:44:40 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/HuangHTDKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/XuLLZW23, author = {Xiuqin Xu and Mingwei Lin and Wenqiang Li and Jianpeng Zhang and Hao Wu}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Time-varying QoS Estimation via Non-negative Latent Factorization of Tensors with Extended Linear Biases}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {86--95}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386709}, doi = {10.1109/BIGDATA59044.2023.10386709}, timestamp = {Fri, 02 Feb 2024 12:00:39 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/XuLLZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/YangLJZ23, author = {Zenghui Yang and Haoran Liu and Mingye Ju and Lin Zhang}, editor = {XiaoMing Zhao and Qingli Li and Lipo Wang}, title = {Low-light image enhancement based on U-Net and fusion strategy}, booktitle = {16th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2023, Taizhou, China, October 28-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CISP-BMEI60920.2023.10373393}, doi = {10.1109/CISP-BMEI60920.2023.10373393}, timestamp = {Tue, 16 Jan 2024 20:11:16 +0100}, biburl = {https://dblp.org/rec/conf/bmei/YangLJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ZhangYJL23, author = {Lin Zhang and Zenghui Yang and Mingye Ju and Haoran Liu}, editor = {XiaoMing Zhao and Qingli Li and Lipo Wang}, title = {MTC-TransUNet: {A} Multi-Scale Mixed Convolution TransUNet for Medical Image Segmentation}, booktitle = {16th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2023, Taizhou, China, October 28-30, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CISP-BMEI60920.2023.10373226}, doi = {10.1109/CISP-BMEI60920.2023.10373226}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/ZhangYJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbs/ChenSZYSY23, author = {Tao Chen and Yanjun Sun and Mingjie Zhu and Wenqiang Yu and Minfeng Gan Lining Sun and Hao Yang}, title = {An Automated Scheme for Dielectrophoretic Cell Stretching Manipulation\({}^{\mbox{*}}\)}, booktitle = {{IEEE} International Conference on Cyborg and Bionic Systems, {CBS} 2023, Wuhan, China, March 24-26, 2023}, pages = {134--138}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CBS55922.2023.10115379}, doi = {10.1109/CBS55922.2023.10115379}, timestamp = {Wed, 24 May 2023 09:43:42 +0200}, biburl = {https://dblp.org/rec/conf/cbs/ChenSZYSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LinJJC23, author = {Hao{-}Lun Lin and Jyun{-}Yu Jiang and Ming{-}Hao Juan and Pu{-}Jen Cheng}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {printf: Preference Modeling Based on User Reviews with Item Images and Textual Information via Graph Learning}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {1431--1440}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615012}, doi = {10.1145/3583780.3615012}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LinJJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ZhuangWZLHT23, author = {Yuqian Zhuang and Liang Wang and Mingya Zhang and Shan Lin and Hao Hu and Xianping Tao}, editor = {Hossain Shahriar and Yuuichi Teranishi and Alfredo Cuzzocrea and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Hiroki Kashiwazaki and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {{OPTES:} {A} Tool for Behavior-based Student Programming Progress Estimation}, booktitle = {47th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2023, Torino, Italy, June 26-30, 2023}, pages = {122--131}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COMPSAC57700.2023.00025}, doi = {10.1109/COMPSAC57700.2023.00025}, timestamp = {Mon, 07 Aug 2023 15:56:21 +0200}, biburl = {https://dblp.org/rec/conf/compsac/ZhuangWZLHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0006LCLLC23, author = {Mingjie Li and Bingqian Lin and Zicong Chen and Haokun Lin and Xiaodan Liang and Xiaojun Chang}, title = {Dynamic Graph Enhanced Contrastive Learning for Chest X-Ray Report Generation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {3334--3343}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00325}, doi = {10.1109/CVPR52729.2023.00325}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/0006LCLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/DaiLZFZSSLGLWFWSZWLZKLBOWYLJJLWHLYFLYL23, author = {Yuekun Dai and Chongyi Li and Shangchen Zhou and Ruicheng Feng and Qingpeng Zhu and Qianhui Sun and Wenxiu Sun and Chen Change Loy and Jinwei Gu and Shuai Liu and Hao Wang and Chaoyu Feng and Luyang Wang and Guangqi Shao and Chenguang Zhang and Xiaotao Wang and Lei Lei and Dafeng Zhang and Xiangyu Kong and Guanqun Liu and Mengmeng Bai and Jia Ouyang and Xiaobing Wang and Jiahui Yuan and Xinpeng Li and Chengzhi Jiang and Ting Jiang and Wenjie Lin and Qi Wu and Mingyan Han and Jinting Luo and Lei Yu and Haoqiang Fan and Shuaicheng Liu and Bo Yan and Zhuang Li and Yadong Li and Hongbin Wang and Soonyong Song and Minghan Fu and Rayyan Azam Khan and Fang{-}Xiang Wu and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Bo Wang and Yan Luo and Shuaibo Gao and Wenhui Wu and Sicong Kang and Nikhil Akalwadi and Ankit Raichur and Vinod Patil and Allabakash Ghodesawar and Swaroop Adrashyappanamath and Amogh Joshi and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Sicheng Li and Ruoxi Zhu and Jiazheng Lian and Shusong Xu and Zihao Liu and Sabari Nathan and Priya Kansal}, title = {{MIPI} 2023 Challenge on Nighttime Flare Removal: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2853--2863}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00286}, doi = {10.1109/CVPRW59228.2023.00286}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/DaiLZFZSSLGLWFWSZWLZKLBOWYLJJLWHLYFLYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KangLZHXHLRXTYPZQZDTLLLGLFLWFBZSWLCZXL23, author = {Xiaoyang Kang and Xianhui Lin and Kai Zhang and Zheng Hui and Wangmeng Xiang and Jun{-}Yan He and Xiaoming Li and Peiran Ren and Xuansong Xie and Radu Timofte and Yixin Yang and Jinshan Pan and Zhong Zheng and Peng Qiyan and Jiangxin Zhang and Jinhui Dong and Jinjing Tan and Chi{-}Chen Lin and Lin Qipei Li and Qirong Liang and Ruipeng Gang and Xiaofeng Liu and Shuang Feng and Shuai Liu and Hao Wang and Chaoyu Feng and Furui Bai and Yuqian Zhang and Guangqi Shao and Xiaotao Wang and Lei Lei and Siqi Chen and Yu Zhang and Hanning Xu and Zheyuan Liu and Zhao Zhang and Yan Luo and Zhichao Zuo}, title = {{NTIRE} 2023 Video Colorization Challenge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1570--1581}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00159}, doi = {10.1109/CVPRW59228.2023.00159}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/KangLZHXHLRXTYPZQZDTLLLGLFLWFBZSWLCZXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGTDWCLWHWKWZZLBFWZSWLXZCRZWHCLJJLL23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Zhijun Tu and Kunpeng Du and Hailing Wang and Hanting Chen and Wei Li and Xiaofei Wang and Jie Hu and Yunhe Wang and Xiangyu Kong and Jinlong Wu and Dafeng Zhang and Jianxing Zhang and Shuai Liu and Furui Bai and Chaoyu Feng and Hao Wang and Yuqian Zhang and Guangqi Shao and Xiaotao Wang and Lei Lei and Rongjian Xu and Zhilu Zhang and Yunjin Chen and Dongwei Ren and Wangmeng Zuo and Qi Wu and Mingyan Han and Shen Cheng and Haipeng Li and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Jinting Luo and Wenjie Lin and Lei Yu and Haoqiang Fan and Shuaicheng Liu and Aditya Arora and Syed Waqas Zamir and Javier Vazquez{-}Corral and Konstantinos G. Derpanis and Michael S. Brown and Hao Li and Zhihao Zhao and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Bo Yang and Jingxiang Chen and Chenghua Li and Xi Zhang and Zhao Zhang and Jiahuan Ren and Zhicheng Ji and Kang Miao and Suiyi Zhao and Huan Zheng and Yanyan Wei and Kangliang Liu and Xiangcheng Du and Sijie Liu and Yingbin Zheng and Xingjiao Wu and Cheng Jin and Rajeev Irny and Sriharsha Koundinya and Vighnesh Kamath and Gaurav Khandelwal and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Shijie Chen and Chengqiang Zhao and Huabin Yang and Zhongjian Zhang and Junjia Huang and Yanru Zhang}, title = {{NTIRE} 2023 Challenge on Image Denoising: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1905--1921}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00188}, doi = {10.1109/CVPRW59228.2023.00188}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGTDWCLWHWKWZZLBFWZSWLXZCRZWHCLJJLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuZCHLP023, author = {Minghua Liu and Yinhao Zhu and Hong Cai and Shizhong Han and Zhan Ling and Fatih Porikli and Hao Su}, title = {PartSLIP: Low-Shot Part Segmentation for 3D Point Clouds via Pretrained Image-Language Models}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {21736--21746}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02082}, doi = {10.1109/CVPR52729.2023.02082}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiuZCHLP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShenWL0TSW23, author = {Xuan Shen and Yaohua Wang and Ming Lin and Yilun Huang and Hao Tang and Xiuyu Sun and Yanzhi Wang}, title = {DeepMAD: Mathematical Architecture Design for Deep Convolutional Neural Network}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {6163--6173}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00597}, doi = {10.1109/CVPR52729.2023.00597}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ShenWL0TSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShengLYWXCCGXZCLCWWDWYCWZWCLWYYD23, author = {Hao Sheng and Yebin Liu and Jingyi Yu and Gaochang Wu and Wei Xiong and Ruixuan Cong and Rongshan Chen and Longzhao Guo and Yanlin Xie and Shuo Zhang and Song Chang and Youfang Lin and Wentao Chao and Xuechun Wang and Guanghui Wang and Fuqing Duan and Tun Wang and Da Yang and Zhenglong Cui and Sizhe Wang and Mingyuan Zhao and Qiong Wang and Qianyu Chen and Zhengyu Liang and Yingqian Wang and Jungang Yang and Xueting Yang and Junli Deng}, title = {{LFNAT} 2023 Challenge on Light Field Depth Estimation: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {3473--3485}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00350}, doi = {10.1109/CVPRW59228.2023.00350}, timestamp = {Wed, 23 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ShengLYWXCCGXZCLCWWDWYCWZWCLWYYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SunYLZFDSZLGLYYDHJYZWFMWZZHLWJJLLYFL23, author = {Qianhui Sun and Qingyu Yang and Chongyi Li and Shangchen Zhou and Ruicheng Feng and Yuekun Dai and Wenxiu Sun and Qingpeng Zhu and Chen Change Loy and Jinwei Gu and Yuqing Liu and Hongyuan Yu and Weichen Yu and Zhen Dong and Binnan Han and Qi Jia and Xuanwu Yin and Kunlong Zuo and Yaqi Wu and Zhihao Fan and Fanqing Meng and Xun Wu and Jiawei Zhang and Feng Zhang and Mingyan Han and Jinting Luo and Qi Wu and Ting Jiang and Chengzhi Jiang and Wenjie Lin and Xinpeng Li and Lei Yu and Haoqiang Fan and Shuaicheng Liu}, title = {{MIPI} 2023 Challenge on {RGBW} Remosaic: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2878--2885}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00289}, doi = {10.1109/CVPRW59228.2023.00289}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SunYLZFDSZLGLYYDHJYZWFMWZZHLWJJLLYFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SunYLZFDSZLGYLYGZJZYZWLJJHLLYFLWCGXXYX23, author = {Qianhui Sun and Qingyu Yang and Chongyi Li and Shangchen Zhou and Ruicheng Feng and Yuekun Dai and Wenxiu Sun and Qingpeng Zhu and Chen Change Loy and Jinwei Gu and Hongyuan Yu and Yuqing Liu and Weichen Yu and Lin Ge and Xiaolin Zhang and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Qi Wu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Mingyan Han and Xinpeng Li and Jinting Luo and Lei Yu and Haoqiang Fan and Shuaicheng Liu and Kunyu Wang and Chengzhi Cao and Yuanshen Guan and Jiyuan Xia and Ruikang Xu and Mingde Yao and Zhiwei Xiong}, title = {{MIPI} 2023 Challenge on {RGBW} Fusion: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2871--2877}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00288}, doi = {10.1109/CVPRW59228.2023.00288}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SunYLZFDSZLGYLYGZJZYZWLJJHLLYFLWCGXXYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Ming Cheng and Haoyu Ma and Qiufang Ma and Xiaopeng Sun and Shijie Zhao and Xuhan Sheng and Yukang Ding and Ming Sun and Xing Wen and Dafeng Zhang and Jia Li and Fan Wang and Zheng Xie and Zongyao He and Zidian Qiu and Zilin Pan and Zhihao Zhan and Xingyuan Xian and Zhi Jin and Yuanbo Zhou and Wei Deng and Ruofeng Nie and Jiajun Zhang and Qinquan Gao and Tong Tong and Kexin Zhang and Junpei Zhang and Rui Peng and Yanbiao Ma and Licheng Jiao and Haoran Bai and Lingshun Kong and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Pu Cao and Tianrui Huang and Lu Yang and Qing Song and Bingxin Chen and Chunhua He and Meiyun Chen and Zijie Guo and Shaojuan Luo and Chengzhi Cao and Kunyu Wang and Fanrui Zhang and Qiang Zhang and Nancy Mehta and Subrahmanyam Murala and Akshay Dudhane and Yujin Wang and Lingen Li and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Junyang Chen and Hao Li and Yukai Shi and Zhijing Yang and Wenbin Zou and Yunchen Zhang and Mingchao Jiang and Zhongxin Yu and Ming Tan and Hongxia Gao and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Jingxiang Chen and Bo Yang and XiSheryl Zhang and Chenghua Li and Weijun Yuan and Zhan Li and Ruting Deng and Jintao Zeng and Pulkit Mahajan and Sahaj Mistry and Shreyas Chatterjee and Vinit Jakhetiya and Badri N. Subudhi and Sunil Prasad Jaiswal and Zhao Zhang and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Bo Wang and Gen Li and Aijin Li and Lei Sun and Ke Chen and Congling Tang and Yunzhe Li and Jun Chen and Yuan{-}Chun Chiang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Sy{-}Yen Kuo and Yiheng Wang and Gang Zhu and Xingyi Yang and Songhua Liu and Yongcheng Jing and Xingyu Hu and Jianwen Song and Changming Sun and Arcot Sowmya and Seung Ho Park and Xiaoyan Lei and Jingchao Wang and Chenbo Zhai and Yufei Zhang and Weifeng Cao and Wenlong Zhang}, title = {{NTIRE} 2023 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1346--1372}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00141}, doi = {10.1109/CVPRW59228.2023.00141}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangWLYTGJWYGGZDHYJLCXXXLJYYGZCLCWWDXW23, author = {Yingqian Wang and Longguang Wang and Zhengyu Liang and Jungang Yang and Radu Timofte and Yulan Guo and Kai Jin and Zeqiang Wei and Angulia Yang and Sha Guo and Mingzhi Gao and Xiuzhuang Zhou and Vinh Van Duong and Thuc Nguyen Huu and Jonghoon Yim and Byeungwoo Jeon and Yutong Liu and Zhen Cheng and Zeyu Xiao and Ruikang Xu and Zhiwei Xiong and Gaosheng Liu and Manchang Jin and Huanjing Yue and Jingyu Yang and Chen Gao and Shuo Zhang and Song Chang and Youfang Lin and Wentao Chao and Xuechun Wang and Guanghui Wang and Fuqing Duan and Wang Xia and Yan Wang and Peiqi Xia and Shunzhou Wang and Yao Lu and Ruixuan Cong and Hao Sheng and Da Yang and Rongshan Chen and Sizhe Wang and Zhenglong Cui and Yilei Chen and Yongjie Lu and Dongjun Cai and Ping An and Ahmed Salem and Hatem Ibrahem and Bilel Yagoub and Hyun Soo Kang and Zekai Zeng and Heng Wu}, title = {{NTIRE} 2023 Challenge on Light Field Image Super-Resolution: Dataset, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1320--1335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00139}, doi = {10.1109/CVPRW59228.2023.00139}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WangWLYTGJWYGGZDHYJLCXXXLJYYGZCLCWWDXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WuCLZLQSGX0XZRL23, author = {Haoqian Wu and Keyu Chen and Haozhe Liu and Mingchen Zhuge and Bing Li and Ruizhi Qiao and Xiujun Shu and Bei Gan and Liangsheng Xu and Bo Ren and Mengmeng Xu and Wentian Zhang and Raghavendra Ramachandra and Chia{-}Wen Lin and Bernard Ghanem}, title = {NewsNet: {A} Novel Dataset for Hierarchical Temporal Segmentation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {10669--10680}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01028}, doi = {10.1109/CVPR52729.2023.01028}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WuCLZLQSGX0XZRL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Yang0L0G0QRLW23, author = {Changdi Yang and Pu Zhao and Yanyu Li and Wei Niu and Jiexiong Guan and Hao Tang and Minghai Qin and Bin Ren and Xue Lin and Yanzhi Wang}, title = {Pruning Parameterization with Bi-level Optimization for Efficient Semantic Segmentation on the Edge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {15402--15412}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01478}, doi = {10.1109/CVPR52729.2023.01478}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/Yang0L0G0QRLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JinXFYSLS23, author = {Leilei Jin and Jiajie Xu and Wenjie Fu and Hao Yan and Xiao Shi and Ming Ling and Longxing Shi}, title = {A Novel Delay Calibration Method Considering Interaction between Cells and Wires}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10136932}, doi = {10.23919/DATE56975.2023.10136932}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JinXFYSLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtpi/GuoWWZGWHWL23, author = {Yanjun Guo and Mingkun Wang and Lin Wang and Junbo Zhou and Lin Gao and Jiayin Wang and Defeng Hao and Wenyu Wang and Yangxiang Lei}, title = {Application of Intelligent Fuel Management System Based on the Eurosilo in Thermal Power Plants}, booktitle = {{IEEE} 3rd International Conference on Digital Twins and Parallel Intelligence, {DTPI} 2023, Orlando, FL, USA, November 7-9, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DTPI59677.2023.10365483}, doi = {10.1109/DTPI59677.2023.10365483}, timestamp = {Thu, 22 Feb 2024 11:15:44 +0100}, biburl = {https://dblp.org/rec/conf/dtpi/GuoWWZGWHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/ZhangLXSZL23, author = {Haojie Zhang and Mingfei Liang and Ruobing Xie and Zhenlong Sun and Bo Zhang and Leyu Lin}, editor = {Andreas Vlachos and Isabelle Augenstein}, title = {Better Pre-Training by Reducing Representation Confusion}, booktitle = {Findings of the Association for Computational Linguistics: {EACL} 2023, Dubrovnik, Croatia, May 2-6, 2023}, pages = {2280--2291}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-eacl.176}, doi = {10.18653/V1/2023.FINDINGS-EACL.176}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/ZhangLXSZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/YangCS23, author = {Hao{-}Wei Yang and Ming{-}Yi Chang and Chih{-}Ya Shen}, editor = {Kobi Gal and Ann Now{\'{e}} and Grzegorz J. Nalepa and Roy Fairstein and Roxana Radulescu}, title = {Enhancing Link Prediction with Self-Discriminating Augmentation for Structure-Aware Contrastive Learning}, booktitle = {{ECAI} 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Krak{\'{o}}w, Poland - Including 12th Conference on Prestigious Applications of Intelligent Systems {(PAIS} 2023)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {372}, pages = {2842--2849}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/FAIA230596}, doi = {10.3233/FAIA230596}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecai/YangCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/HuangCWYLTW23, author = {Yu{-}Ting Huang and Hsien{-}Hao Chen and Tung{-}Lin Wu and Chia{-}Yu Yeh and Jing{-}Kai Lou and Ming{-}Feng Tsai and Chuan{-}Ju Wang}, editor = {Jaap Kamps and Lorraine Goeuriot and Fabio Crestani and Maria Maistro and Hideo Joho and Brian Davis and Cathal Gurrin and Udo Kruschwitz and Annalina Caputo}, title = {{CPR:} Cross-Domain Preference Ranking with User Transformation}, booktitle = {Advances in Information Retrieval - 45th European Conference on Information Retrieval, {ECIR} 2023, Dublin, Ireland, April 2-6, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13981}, pages = {448--456}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-28238-6\_35}, doi = {10.1007/978-3-031-28238-6\_35}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecir/HuangCWYLTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LiELSYSWL23, author = {Ningyuan Li and Haihong E and Shi Li and Mingzhi Sun and Tianyu Yao and Meina Song and Yong Wang and Haoran Luo}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {TR-Rules: Rule-based Model for Link Forecasting on Temporal Knowledge Graph Considering Temporal Redundancy}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {7885--7894}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.529}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.529}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LiELSYSWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/TanWSZZHLS23, author = {Haochen Tan and Han Wu and Wei Shao and Xinyun Zhang and Mingjie Zhan and Zhaohui Hou and Ding Liang and Linqi Song}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Reconstruct Before Summarize: An Efficient Two-Step Framework for Condensing and Summarizing Meeting Transcripts}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {13128--13141}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.812}, doi = {10.18653/V1/2023.EMNLP-MAIN.812}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/TanWSZZHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ZhengYJLLSW23, author = {Mingyu Zheng and Hao Yang and Wenbin Jiang and Zheng Lin and Yajuan Lyu and Qiaoqiao She and Weiping Wang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Chain-of-Thought Reasoning in Tabular Language Models}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11006--11019}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.734}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.734}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ZhengYJLLSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/GandhamY0L23, author = {Sanjay Gandham and Lingxiang Yin and Hao Zheng and Mingjie Lin}, title = {OCMGen: Extended Design Space Exploration with Efficient {FPGA} Memory Inference}, booktitle = {31st {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2023, Marina Del Rey, CA, USA, May 8-11, 2023}, pages = {225}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FCCM57271.2023.00053}, doi = {10.1109/FCCM57271.2023.00053}, timestamp = {Tue, 18 Jul 2023 14:07:31 +0200}, biburl = {https://dblp.org/rec/conf/fccm/GandhamY0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/HaoZSTRZHPC023, author = {Xiaochen Hao and Mingzhe Zhang and Ce Sun and Zhuofu Tao and Hongbo Rong and Yu Zhang and Lei He and Eric Petit and Wenguang Chen and Yun Liang}, title = {Lasa: Abstraction and Specialization for Productive and Performant Linear Algebra on FPGAs}, booktitle = {31st {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2023, Marina Del Rey, CA, USA, May 8-11, 2023}, pages = {34--40}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/FCCM57271.2023.00013}, doi = {10.1109/FCCM57271.2023.00013}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/HaoZSTRZHPC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HongCHHWL23, author = {Ming{-}Yi Hong and Shih{-}Yen Chang and Hao{-}Wei Hsu and Yi{-}Hsiang Huang and Chih{-}Yu Wang and Che Lin}, title = {TreeXGNN: can gradient-boosted decision trees help boost heterogeneous graph neural networks?}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096251}, doi = {10.1109/ICASSP49357.2023.10096251}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HongCHHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TianGSCX23, author = {Mingjie Tian and Fausto Giunchiglia and Rui Song and Xing Chen and Hao Xu}, title = {Enhancing Ontology Translation Through Cross-Lingual Agreement}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094574}, doi = {10.1109/ICASSP49357.2023.10094574}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/TianGSCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WengLZYGXW23, author = {Zhangying Weng and Peng Li and Xin Zhuang and Xuefeng Yan and Lina Gong and Haoran Xie and Mingqiang Wei}, title = {ifUNet++: Iterative Feedback UNet++ for Infrared Small Target Detection}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095364}, doi = {10.1109/ICASSP49357.2023.10095364}, timestamp = {Sun, 05 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/WengLZYGXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/PengSWWDSZCFWZZZLLDBPSZLFZWD23, author = {Bo Peng and Xianyun Sun and Caiyong Wang and Wei Wang and Jing Dong and Zhenan Sun and Rongyu Zhang and Heng Cong and Lingzhi Fu and Hao Wang and Yusheng Zhang and Hanyuan Zhang and Xin Zhang and Boyuan Liu and Hefei Ling and Luka Dragar and Borut Batagelj and Peter Peer and Vitomir Struc and Xinghui Zhou and Kunlin Liu and Weitao Feng and Weiming Zhang and Haitao Wang and Wenxiu Diao}, title = {{DFGC-VRA:} DeepFake Game Competition on Visual Realism Assessment}, booktitle = {{IEEE} International Joint Conference on Biometrics, {IJCB} 2023, Ljubljana, Slovenia, September 25-28, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IJCB57857.2023.10448757}, doi = {10.1109/IJCB57857.2023.10448757}, timestamp = {Wed, 13 Mar 2024 19:10:51 +0100}, biburl = {https://dblp.org/rec/conf/icb/PengSWWDSZCFWZZZLLDBPSZLFZWD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GandhamYZL23, author = {Sanjay Gandham and Lingxiang Yin and Hao Zheng and Mingjie Lin}, title = {{SAGA:} Sparsity-Agnostic Graph Convolutional Network Acceleration with Near-Optimal Workload Balance}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323898}, doi = {10.1109/ICCAD57390.2023.10323898}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GandhamYZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccda/XiePZCLWL23, author = {Mingshan Xie and Yijun Peng and Song Zhang and Jun Chen and Linfeng Liu and Hao Wang and Renjie Liao}, title = {Research On Tea Leaf Recognition Based On YOLOv5 Algorithm}, booktitle = {Proceedings of the 7th International Conference on Computing and Data Analysis, {ICCDA} 2023, Guiyang, China, September 15-17, 2023}, pages = {100--105}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3629264.3629277}, doi = {10.1145/3629264.3629277}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccda/XiePZCLWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenWCTLH23, author = {Yuan{-}Sheng Chen and Ming{-}Tien Wu and Ming{-}Lin Chuang and Shu{-}Min Tsai and Cheng{-}Hao Lu and Bo{-}Shin Huang}, title = {An Approach to Increase Communication Distance of Small Unmanned Boats}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {519--520}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226842}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226842}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenWCTLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/0001C0LGLZT23, author = {Haotian Wang and Haoang Chi and Wenjing Yang and Zhipeng Lin and Mingyang Geng and Long Lan and Jing Zhang and Dacheng Tao}, title = {Domain Specified Optimization for Deployment Authorization}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {5072--5082}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00470}, doi = {10.1109/ICCV51070.2023.00470}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/0001C0LGLZT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LiFLLT023, author = {Xuanlin Li and Yunhao Fang and Minghua Liu and Zhan Ling and Zhuowen Tu and Hao Su}, title = {Distilling Large Vision-Language Model with Out-of-Distribution Generalizability}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {2492--2503}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00236}, doi = {10.1109/ICCV51070.2023.00236}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LiFLLT023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhangYZO23, author = {Mingyang Zhang and Xinyi Yu and Haodong Zhao and Linlin Ou}, title = {ShiftNAS: Improving One-shot {NAS} via Probability Shift}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {5896--5905}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00544}, doi = {10.1109/ICCV51070.2023.00544}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhangYZO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/XuLCAF23, author = {Hai{-}Ming Xu and Lingqiao Liu and Hao Chen and Ehsan Abbasnejad and Rafael Felix}, title = {Progressive Feature Adjustment for Semi-supervised Learning from Pretrained Models}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {3284--3294}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00353}, doi = {10.1109/ICCVW60793.2023.00353}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/XuLCAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/YuZCHLCLCKCDFHLYYLCDLLYZKSWB23, author = {Wenwen Yu and Chengquan Zhang and Haoyu Cao and Wei Hua and Bohan Li and Huang Chen and Mingyu Liu and Mingrui Chen and Jianfeng Kuang and Mengjun Cheng and Yuning Du and Shikun Feng and Xiaoguang Hu and Pengyuan Lyu and Kun Yao and Yuechen Yu and Yuliang Liu and Wanxiang Che and Errui Ding and Cheng{-}Lin Liu and Jiebo Luo and Shuicheng Yan and Min Zhang and Dimosthenis Karatzas and Xing Sun and Jingdong Wang and Xiang Bai}, editor = {Gernot A. Fink and Rajiv Jain and Koichi Kise and Richard Zanibbi}, title = {{ICDAR} 2023 Competition on Structured Text Extraction from Visually-Rich Document Images}, booktitle = {Document Analysis and Recognition - {ICDAR} 2023 - 17th International Conference, San Jos{\'{e}}, CA, USA, August 21-26, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14188}, pages = {536--552}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-41679-8\_32}, doi = {10.1007/978-3-031-41679-8\_32}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/YuZCHLCLCKCDFHLYYLCDLLYZKSWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/JanLHKHW23, author = {Gene Eu Jan and Ching{-}Chi Lin and Chih{-}Wei Hsu and Chih{-}Ming Kung and Hui{-}Ching Hsieh and Yung{-}Hao Wong}, title = {Innovative Search and Rescue Methods Using Drones: An Algorithm Combining 3D Modeling and Laser Ranging Scanning}, booktitle = {{IEEE} International Conference on e-Business Engineering, {ICEBE} 2023, Sydney, Australia, November 4-6, 2023}, pages = {297--303}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEBE59045.2023.00054}, doi = {10.1109/ICEBE59045.2023.00054}, timestamp = {Mon, 22 Jan 2024 20:34:14 +0100}, biburl = {https://dblp.org/rec/conf/icebe/JanLHKHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChengSCCLC23, author = {Tsung{-}Hui Cheng and Chu{-}Chun Song and Chien{-}Hao Chen and Zhi{-}Hua Chen and Ming{-}Hung Lin and Wen{-}Ping Chen}, title = {Large-scale Air Purifier System with Intelligent Sensor Frequency Conversion Control}, booktitle = {6th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2023, Sapporo, Japan, August 11-13, 2023}, pages = {151--156}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICKII58656.2023.10332763}, doi = {10.1109/ICKII58656.2023.10332763}, timestamp = {Thu, 04 Jan 2024 08:13:42 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChengSCCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0004TZZLLS23, author = {Han Wu and Haochen Tan and Mingjie Zhan and Gangming Zhao and Shaoqing Lu and Ding Liang and Linqi Song}, title = {Learning Locality and Isotropy in Dialogue Modeling}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/pdf?id=dPs6BGO2QT0}, timestamp = {Fri, 30 Jun 2023 14:38:38 +0200}, biburl = {https://dblp.org/rec/conf/iclr/0004TZZLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/YuZWXXCH23, author = {Wanwan Yu and Junping Zhang and Linlin Wang and Yunqiao Xi and Hongfeng Xu and Linxiu Chen and Mingrui Hao}, editor = {Liang Zhao and Guanglu Sun and Kenli Li and Zheng Xiao and Lipo Wang}, title = {Multi-Stage Marine Ship Recognition Based on Stackable Residual Network}, booktitle = {19th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery {ICNC-FSKD} 2023, Harbin, China, July 29-31, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICNC-FSKD59587.2023.10280979}, doi = {10.1109/ICNC-FSKD59587.2023.10280979}, timestamp = {Mon, 30 Oct 2023 17:01:26 +0100}, biburl = {https://dblp.org/rec/conf/icnc/YuZWXXCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ZhengTSSSC23, author = {Yuemin Zheng and Jin Tao and Qinglin Sun and Hao Sun and Mingwei Sun and Zengqiang Chen}, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Adaptive Load Frequency Control and Optimization Based on {TD3} Algorithm and Linear Active Disturbance Rejection Control}, booktitle = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14447}, pages = {436--447}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8079-6\_34}, doi = {10.1007/978-981-99-8079-6\_34}, timestamp = {Fri, 17 Nov 2023 16:25:45 +0100}, biburl = {https://dblp.org/rec/conf/iconip/ZhengTSSSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/GuoS23, author = {Haoran Guo and Mingyue Shi}, title = {Neighborhood Attention-based Transformer Line Segment Detector with Edge Computing}, booktitle = {29th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2023, Ocean Flower Island, China, December 17-21, 2023}, pages = {2539--2545}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPADS60453.2023.00338}, doi = {10.1109/ICPADS60453.2023.00338}, timestamp = {Sun, 14 Apr 2024 20:48:59 +0200}, biburl = {https://dblp.org/rec/conf/icpads/GuoS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphys/WangLJH23, author = {Hao Wang and Hao Luo and Yuchen Jiang and Mingyi Huo}, title = {Distributed Fault Diagnosis Approach for Large-Scale Interconnected Systems with Communication Link Failures}, booktitle = {6th {IEEE} International Conference on Industrial Cyber-Physical Systems, {ICPS} 2023, Wuhan, China, May 8-11, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPS58381.2023.10128094}, doi = {10.1109/ICPS58381.2023.10128094}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphys/WangLJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/YangLCLL23, author = {Mingwei Yang and YanHua Liu and Hong Chen and Jiefei Lin and Haoqiang Lin}, editor = {Shaowen Yao and Zhenli He and Zheng Xiao and Wanqing Tu and Kenli Li and Lipo Wang}, title = {An Anomaly Detection Method for Nonlinear Industrial Process Using Sparse Stacked Denoising Autoencoder}, booktitle = {9th International Conference on Systems and Informatics, {ICSAI} 2023, Changsha, China, December 16-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSAI61474.2023.10423370}, doi = {10.1109/ICSAI61474.2023.10423370}, timestamp = {Thu, 29 Feb 2024 20:53:03 +0100}, biburl = {https://dblp.org/rec/conf/icsai/YangLCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ZengZXMQZCZZZGFRLZ23, author = {Zhengran Zeng and Yuqun Zhang and Yong Xu and Minghua Ma and Bo Qiao and Wentao Zou and Qingjun Chen and Meng Zhang and Xu Zhang and Hongyu Zhang and Xuedong Gao and Hao Fan and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {TraceArk: Towards Actionable Performance Anomaly Alerting for Online Service Systems}, booktitle = {45th {IEEE/ACM} International Conference on Software Engineering: Software Engineering in Practice, SEIP@ICSE 2023, Melbourne, Australia, May 14-20, 2023}, pages = {258--269}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSE-SEIP58684.2023.00029}, doi = {10.1109/ICSE-SEIP58684.2023.00029}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/ZengZXMQZCZZZGFRLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecc/LinLZWCG23, author = {Chih{-}Chung Lin and Yuan{-}Cheng Lai and Ming{-}Huang Zheng and Chen{-}Hao Wang and Yan{-}Rong Chen and Li{-}An Gao}, title = {The Candlestick-Tracking Trend Decision for Day Trading on Taiwan Index Futures Market}, booktitle = {Proceedings of the 2023 5th International Electronics Communication Conference, {IECC} 2023, Osaka City, Japan, July 21-23, 2023}, pages = {69--77}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3616480.3616490}, doi = {10.1145/3616480.3616490}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecc/LinLZWCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/WangLCKL23, author = {Chih Hao Wang and Shih Jen Lu and Yang Ming Chou and Chien Yi Kao and Hsin Yi Lin}, title = {A Wearable Solution for Obstructive Sleep Apnea Risk Evaluation Based on Optical Sensor}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10325053}, doi = {10.1109/SENSORS56945.2023.10325053}, timestamp = {Thu, 14 Dec 2023 11:20:49 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/WangLCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/0004LZLHCY23, author = {Haoming Li and Xinzhuo Lin and Yang Zhou and Xiang Li and Yuchi Huo and Jiming Chen and Qi Ye}, title = {Contact2Grasp: 3D Grasp Synthesis via Hand-Object Contact Constraint}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {1053--1061}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/117}, doi = {10.24963/IJCAI.2023/117}, timestamp = {Mon, 28 Aug 2023 17:23:07 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/0004LZLHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/TsengBLLLLLLHWL23, author = {Po{-}Hao Tseng and Tian{-}Cig Bo and Yu{-}Hsuan Lin and Yu{-}Chao Lin and Jhe{-}Yi Liao and Feng{-}Ming Lee and Yu{-}Yu Lin and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {{SLC} and {MLC} In-Memory-Approximate-Search Solutions in Commercial 48-layer and 96-layer 3D-NAND Flash Memories}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2023, Monterey, CA, USA, May 21-24, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMW56887.2023.10145964}, doi = {10.1109/IMW56887.2023.10145964}, timestamp = {Fri, 16 Jun 2023 08:54:55 +0200}, biburl = {https://dblp.org/rec/conf/imw2/TsengBLLLLLLHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LiuCYS0L0023, author = {Qingtao Liu and Yu Cui and Qi Ye and Zhengnan Sun and Haoming Li and Gaofeng Li and Lin Shao and Jiming Chen}, title = {DexRepNet: Learning Dexterous Robotic Grasping Network with Geometric and Spatial Hand-Object Representations}, booktitle = {{IROS}}, pages = {3153--3160}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342334}, doi = {10.1109/IROS55552.2023.10342334}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LiuCYS0L0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LoLLHHSCJ23, author = {Kuang{-}Cheng Lo and Cheng{-}Wei Lin and Hsin{-}Ying Lee and Hao Hsu and Winston H. Hsu and Tung{-}Hung Su and Shih{-}Yu Chen and Yung{-}Ming Jeng}, title = {Self-Training with High-Dimensional Markers for Cell Instance Segmentation}, booktitle = {20th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2023, Cartagena, Colombia, April 18-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISBI53787.2023.10230837}, doi = {10.1109/ISBI53787.2023.10230837}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isbi/LoLLHHSCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LinCKL23, author = {Jai{-}Ming Lin and Yu{-}Tien Chen and Yang{-}Tai Kung and Hao{-}Jia Lin}, editor = {David G. Chinnery and Iris Hui{-}Ru Jiang}, title = {Voltage-Drop Optimization Through Insertion of Extra Stripes to a Power Delivery Network}, booktitle = {Proceedings of the 2023 International Symposium on Physical Design, {ISPD} 2023, Virtual Event, USA, March 26-29, 2023}, pages = {35--43}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3569052.3571870}, doi = {10.1145/3569052.3571870}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/LinCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/LiMLQQYCTCRLZ23, author = {Haozhe Li and Minghua Ma and Yudong Liu and Si Qin and Bo Qiao and Randolph Yao and Harshwardhan Chaturvedi and Tri Tran and Murali Chintalapati and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {{CODEC:} Cost-Effective Duration Prediction System for Deadline Scheduling in the Cloud}, booktitle = {34th {IEEE} International Symposium on Software Reliability Engineering, {ISSRE} 2023, Florence, Italy, October 9-12, 2023}, pages = {298--308}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSRE59848.2023.00069}, doi = {10.1109/ISSRE59848.2023.00069}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/LiMLQQYCTCRLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwoca/WangLKCL23, author = {Chi{-}Hao Wang and Chi{-}Jen Lu and Ming{-}Tat Ko and Po{-}An Chen and Chuang{-}Chieh Lin}, editor = {Sun{-}Yuan Hsieh and Ling{-}Ju Hung and Chia{-}Wei Lee}, title = {Budget-Constrained Cost-Covering Job Assignment for a Total Contribution-Maximizing Platform}, booktitle = {Combinatorial Algorithms - 34th International Workshop, {IWOCA} 2023, Tainan, Taiwan, June 7-10, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13889}, pages = {392--403}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-34347-6\_33}, doi = {10.1007/978-3-031-34347-6\_33}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwoca/WangLKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcrai/ZhengMYHN23, author = {Lin Jin Zheng and Ming De Meng and Bo Hai Yu and Ran Ze Hao and Tian Da Niu}, title = {Bi-Unet: Encoder-decoder Based Network for Lesion Segmentation of Diabetic Retinopathy Images}, booktitle = {Proceedings of the 2023 International Joint Conference on Robotics and Artificial Intelligence, {JCRAI} 2023, Shanghai, China, July 7-9, 2023}, pages = {59--64}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3632971.3632997}, doi = {10.1145/3632971.3632997}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcrai/ZhengMYHN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/JiaoLWHLBDLHHFY23, author = {Xuewu Jiao and Weibin Li and Xinxuan Wu and Wei Hu and Miao Li and Jiang Bian and Siming Dai and Xinsheng Luo and Mingqing Hu and Zhengjie Huang and Danlei Feng and Junchao Yang and Shikun Feng and Haoyi Xiong and Dianhai Yu and Shuanglong Li and Jingzhou He and Yanjun Ma and Lin Liu}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {PGLBox: Multi-GPU Graph Learning Framework for Web-Scale Recommendation}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {4262--4272}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599885}, doi = {10.1145/3580305.3599885}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/JiaoLWHLBDLHHFY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metroxraine/LiBGYLSDDMCFSS23, author = {Shuai Li and Xiue Bao and Giovanni Gugliandolo and Haoyun Yuan and Jinkai Li and Linxiang Shao and Minghe Du and Nicola Donato and Zlatica Marinkovic and Giovanni Crupi and Lili Fang and Liming Si and Houjun Sun}, title = {Defect Modeling During the {SLM} Process for Manufacturing Microwave Devices}, booktitle = {{IEEE} International Conference on Metrology for eXtended Reality, Artificial Intelligence and Neural Engineering, MetroXRAINE 2023, Milano, Italy, October 25-27, 2023}, pages = {412--416}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MetroXRAINE58569.2023.10405715}, doi = {10.1109/METROXRAINE58569.2023.10405715}, timestamp = {Fri, 16 Feb 2024 13:54:55 +0100}, biburl = {https://dblp.org/rec/conf/metroxraine/LiBGYLSDDMCFSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LinEXZLHSLS23, author = {Xueyuan Lin and Haihong E and Chengjin Xu and Gengxian Zhou and Haoran Luo and Tianyi Hu and Fenglong Su and Ningyuan Li and Mingzhi Sun}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {{TFLEX:} Temporal Feature-Logic Embedding Framework for Complex Reasoning over Temporal Knowledge Graph}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/e71a42c64851834013e2658b69d7fe93-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LinEXZLHSLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LingFLHLMS23, author = {Zhan Ling and Yunhao Fang and Xuanlin Li and Zhiao Huang and Mingu Lee and Roland Memisevic and Hao Su}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Deductive Verification of Chain-of-Thought Reasoning}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/72393bd47a35f5b3bee4c609e7bba733-Abstract-Conference.html}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LingFLHLMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiuCFWJLWXRXS23, author = {Isabella Liu and Linghao Chen and Ziyang Fu and Liwen Wu and Haian Jin and Zhong Li and Chin Ming Ryan Wong and Yi Xu and Ravi Ramamoorthi and Zexiang Xu and Hao Su}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {OpenIllumination: {A} Multi-Illumination Dataset for Inverse Rendering Evaluation on Real Objects}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/74a67268c5cc5910f64938cac4526a90-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LiuCFWJLWXRXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiuXJCTXS23, author = {Minghua Liu and Chao Xu and Haian Jin and Linghao Chen and Mukund Varma T. and Zexiang Xu and Hao Su}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {One-2-3-45: Any Single Image to 3D Mesh in 45 Seconds without Per-Shape Optimization}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/4683beb6bab325650db13afd05d1a14a-Abstract-Conference.html}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/LiuXJCTXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/Weng0H00CZ23, author = {Yuetian Weng and Mingfei Han and Haoyu He and Mingjie Li and Lina Yao and Xiaojun Chang and Bohan Zhuang}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Mask Propagation for Efficient Video Semantic Segmentation}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/167bcf2af2cd08fcf75b932022db0311-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/Weng0H00CZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YangFYGP0X23, author = {Chao Yang and Runzhe Fan and Huang Yu and Hao Guo and Chuyu Peng and Ming Luo and Xi Xiao}, title = {4.8 Tb/s {PS-PAM-8} Bidirectional Transmission over 10-km 24-core Fiber Using Linear Equalization at O-Band}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116342}, doi = {10.23919/OFC49934.2023.10116342}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YangFYGP0X23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/LiuWHWJCJX23, author = {Hao Liu and Pengfei Wang and Xin He and Ke Wang and Shaohu Jin and Pengyun Chen and Xiaoheng Jiang and Mingliang Xu}, editor = {Qingshan Liu and Hanzi Wang and Zhanyu Ma and Weishi Zheng and Hongbin Zha and Xilin Chen and Liang Wang and Rongrong Ji}, title = {DFAR-Net: Dual-Input Three-Branch Attention Fusion Reconstruction Network for Polarized Non-Line-of-Sight Imaging}, booktitle = {Pattern Recognition and Computer Vision - 6th Chinese Conference, {PRCV} 2023, Xiamen, China, October 13-15, 2023, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {14430}, pages = {41--52}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8537-1\_4}, doi = {10.1007/978-981-99-8537-1\_4}, timestamp = {Thu, 11 Jan 2024 22:28:06 +0100}, biburl = {https://dblp.org/rec/conf/prcv/LiuWHWJCJX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/MaoSLZXHS23, author = {Juzheng Mao and Guangming Song and Cheng{-}Lin Liu and Mingquan Zhang and Shengyu Xie and Shuang Hao and Aiguo Song}, title = {A Gaze-based Bilateral Teleoperation Framework for a Team of Mobile Robots \({}^{\mbox{*}}\)}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2023, Koh Samui, Thailand, December 4-9, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ROBIO58561.2023.10354979}, doi = {10.1109/ROBIO58561.2023.10354979}, timestamp = {Thu, 25 Jan 2024 09:55:46 +0100}, biburl = {https://dblp.org/rec/conf/robio/MaoSLZXHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ZhangZLLDZ23, author = {Shuaiqi Zhang and Guodong Zhao and Peng Lin and Mingshuo Liu and Jianhua Dong and Haoyu Zhang}, title = {Deep Reinforcement Learning for a Humanoid Robot Basketball Player}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2023, Koh Samui, Thailand, December 4-9, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ROBIO58561.2023.10354565}, doi = {10.1109/ROBIO58561.2023.10354565}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robio/ZhangZLLDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/DuanWGMGLFXCYL23, author = {Xiaohui Duan and Jin Wang and Ping Gao and Ming Ma and Lin Gan and Xin Liu and Haohuan Fu and Wei Xue and Dexun Chen and Guangwen Yang and Weiguo Liu}, editor = {Dorian Arnold and Rosa M. Badia and Kathryn M. Mohror}, title = {Enabling Real World Scale Structural Superlubricity All-Atom Simulation on the Next-Generation Sunway Supercomputer}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2023, Denver, CO, USA, November 12-17, 2023}, pages = {99:1--99:14}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581784.3613210}, doi = {10.1145/3581784.3613210}, timestamp = {Mon, 24 Jun 2024 15:20:25 +0200}, biburl = {https://dblp.org/rec/conf/sc/DuanWGMGLFXCYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/XueWLW0C23, author = {Tianyang Xue and Mingdong Wu and Lin Lu and Haoxuan Wang and Hao Dong and Baoquan Chen}, editor = {June Kim and Ming C. Lin and Bernd Bickel}, title = {Learning Gradient Fields for Scalable and Generalizable Irregular Packing}, booktitle = {{SIGGRAPH} Asia 2023 Conference Papers, {SA} 2023, Sydney, NSW, Australia, December 12-15, 2023}, pages = {105:1--105:11}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610548.3618235}, doi = {10.1145/3610548.3618235}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/XueWLW0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/DingZWXMWZCGGFR23, author = {Ruomeng Ding and Chaoyun Zhang and Lu Wang and Yong Xu and Minghua Ma and Xiaomin Wu and Meng Zhang and Qingjun Chen and Xin Gao and Xuedong Gao and Hao Fan and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, editor = {Satish Chandra and Kelly Blincoe and Paolo Tonella}, title = {TraceDiag: Adaptive, Interpretable, and Efficient Root Cause Analysis on Large-Scale Microservice Systems}, booktitle = {Proceedings of the 31st {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, {ESEC/FSE} 2023, San Francisco, CA, USA, December 3-9, 2023}, pages = {1762--1773}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3611643.3613864}, doi = {10.1145/3611643.3613864}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/DingZWXMWZCGGFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/JinZML0LL0Z0HSD23, author = {Pengxiang Jin and Shenglin Zhang and Minghua Ma and Haozhe Li and Yu Kang and Liqun Li and Yudong Liu and Bo Qiao and Chaoyun Zhang and Pu Zhao and Shilin He and Federica Sarro and Yingnong Dang and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, editor = {Satish Chandra and Kelly Blincoe and Paolo Tonella}, title = {Assess and Summarize: Improve Outage Understanding with Large Language Models}, booktitle = {Proceedings of the 31st {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, {ESEC/FSE} 2023, San Francisco, CA, USA, December 3-9, 2023}, pages = {1657--1668}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3611643.3613891}, doi = {10.1145/3611643.3613891}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/JinZML0LL0Z0HSD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssdbm/LinGLWZL23, author = {Fu Lin and Haonan Gong and Mingkang Li and Zitong Wang and Yue Zhang and Xuexiong Luo}, editor = {Robert Schuler and Carl Kesselman and Kyle Chard and Alejandro Bugacov}, title = {Multi-representations Space Separation based Graph-level Anomaly-aware Detection}, booktitle = {Proceedings of the 35th International Conference on Scientific and Statistical Database Management, {SSDBM} 2023, Los Angeles, CA, USA, July 10-12, 2023}, pages = {10:1--10:11}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3603719.3603739}, doi = {10.1145/3603719.3603739}, timestamp = {Mon, 05 Feb 2024 20:33:22 +0100}, biburl = {https://dblp.org/rec/conf/ssdbm/LinGLWZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/FanZLMWW0M23, author = {Haoling Fan and Fangyu Zheng and Jingqiang Lin and Lingjia Meng and Mingyu Wang and Qiang Wang and Shijie Jia and Yuan Ma}, editor = {Jia Hu and Geyong Min and Guojun Wang and Nektarios Georgalas}, title = {Hydamc: {A} Hybrid Detection Approach for Misuse of Cryptographic Algorithms in Closed-Source Software}, booktitle = {22nd {IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2024, Exeter, UK, November 1-3, 2023}, pages = {796--803}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TrustCom60117.2023.00116}, doi = {10.1109/TRUSTCOM60117.2023.00116}, timestamp = {Tue, 04 Jun 2024 13:49:51 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/FanZLMWW0M23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YuanDLCCLCZCLYW23, author = {Jun Yuan and Jie Deng and Vicki Lin and Ying Chen and Joseph Chiu and Minghuei Lin and Jun Chen and Deedee Zhang and Yukai Chen and David Liu and Bo Yu and Hao Wang and Giri Nallapati and Vivek Mohan and Venu Sanaka and Berkan Baran and Frank Dahan and Prasad Bhadri and Rajesh Geol and Venu Boynapalli and Seyfi Bazarjani and Paul Penzes and Parag Agashe and P. R. Chidambaram}, title = {High Performance 5G Mobile {SOC} Productization with 4nm {EUV} Fin-FET Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185435}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185435}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YuanDLCCLCZCLYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/BaoLHZZZZ23, author = {Ling{-}Yan Bao and Jia Luo and Yuyu Hao and Rongqian Zhang and Xianqi Zhang and Yunchun Zhang and Mingxiong Zhao}, title = {Cruise Duration Minimization for UAV-and-Basestation Hybrid Assisted Thermal-Aware {MEC} Networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2023, Glasgow, UK, March 26-29, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WCNC55385.2023.10118953}, doi = {10.1109/WCNC55385.2023.10118953}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/BaoLHZZZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-00956, author = {Sheng Xu and Yanjing Li and Teli Ma and Mingbao Lin and Hao Dong and Baochang Zhang and Peng Gao and Jinhu Lv}, title = {Resilient Binary Neural Network}, journal = {CoRR}, volume = {abs/2302.00956}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.00956}, doi = {10.48550/ARXIV.2302.00956}, eprinttype = {arXiv}, eprint = {2302.00956}, timestamp = {Mon, 01 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-00956.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-02165, author = {Xuan Shen and Yaohua Wang and Ming Lin and Yilun Huang and Hao Tang and Xiuyu Sun and Yanzhi Wang}, title = {DeepMAD: Mathematical Architecture Design for Deep Convolutional Neural Network}, journal = {CoRR}, volume = {abs/2303.02165}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.02165}, doi = {10.48550/ARXIV.2303.02165}, eprinttype = {arXiv}, eprint = {2303.02165}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-02165.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09806, author = {Qingtao Liu and Yu Cui and Zhengnan Sun and Haoming Li and Gaofeng Li and Lin Shao and Jiming Chen and Qi Ye}, title = {DexRepNet: Learning Dexterous Robotic Grasping Network with Geometric and Spatial Hand-Object Representations}, journal = {CoRR}, volume = {abs/2303.09806}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09806}, doi = {10.48550/ARXIV.2303.09806}, eprinttype = {arXiv}, eprint = {2303.09806}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09806.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-10323, author = {Mingjie Li and Bingqian Lin and Zicong Chen and Haokun Lin and Xiaodan Liang and Xiaojun Chang}, title = {Dynamic Graph Enhanced Contrastive Learning for Chest X-ray Report Generation}, journal = {CoRR}, volume = {abs/2303.10323}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.10323}, doi = {10.48550/ARXIV.2303.10323}, eprinttype = {arXiv}, eprint = {2303.10323}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-10323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-17870, author = {Jian Ma and Mingjun Zhao and Chen Chen and Ruichen Wang and Di Niu and Haonan Lu and Xiaodong Lin}, title = {GlyphDraw: Learning to Draw Chinese Characters in Image Synthesis Models Coherently}, journal = {CoRR}, volume = {abs/2303.17870}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.17870}, doi = {10.48550/ARXIV.2303.17870}, eprinttype = {arXiv}, eprint = {2303.17870}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-17870.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-03981, author = {Meng Wang and Tian Lin and Lianyu Wang and Aidi Lin and Ke Zou and Xinxing Xu and Yi Zhou and Yuanyuan Peng and Qingquan Meng and Yiming Qian and Guoyao Deng and Zhiqun Wu and Junhong Chen and Jianhong Lin and Mingzhi Zhang and Weifang Zhu and Changqing Zhang and Xinjian Chen and Daoqiang Zhang and Rick Siow Mong Goh and Yong Liu and Chi Pui Pang and Haoyu Chen and Huazhu Fu}, title = {Uncertainty-inspired Open Set Learning for Retinal Anomaly Identification}, journal = {CoRR}, volume = {abs/2304.03981}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.03981}, doi = {10.48550/ARXIV.2304.03981}, eprinttype = {arXiv}, eprint = {2304.03981}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-03981.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-14204, author = {Bingqian Lin and Zicong Chen and Mingjie Li and Haokun Lin and Hang Xu and Yi Zhu and Jianzhuang Liu and Wenjia Cai and Lei Yang and Shen Zhao and Chenfei Wu and Ling Chen and Xiaojun Chang and Yi Yang and Lei Xing and Xiaodan Liang}, title = {Towards Medical Artificial General Intelligence via Knowledge-Enhanced Multimodal Pretraining}, journal = {CoRR}, volume = {abs/2304.14204}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.14204}, doi = {10.48550/ARXIV.2304.14204}, eprinttype = {arXiv}, eprint = {2304.14204}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-14204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-05280, author = {Han Wu and Mingjie Zhan and Haochen Tan and Zhaohui Hou and Ding Liang and Linqi Song}, title = {{VCSUM:} {A} Versatile Chinese Meeting Summarization Dataset}, journal = {CoRR}, volume = {abs/2305.05280}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.05280}, doi = {10.48550/ARXIV.2305.05280}, eprinttype = {arXiv}, eprint = {2305.05280}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-05280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06588, author = {Haoran Luo and Haihong E and Yuhao Yang and Yikai Guo and Mingzhi Sun and Tianyu Yao and Zichen Tang and Kaiyang Wan and Meina Song and Wei Lin}, title = {{HAHE:} Hierarchical Attention for Hyper-Relational Knowledge Graphs in Global and Local Level}, journal = {CoRR}, volume = {abs/2305.06588}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06588}, doi = {10.48550/ARXIV.2305.06588}, eprinttype = {arXiv}, eprint = {2305.06588}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07988, author = {Haochen Tan and Han Wu and Wei Shao and Xinyun Zhang and Mingjie Zhan and Zhaohui Hou and Ding Liang and Linqi Song}, title = {Self-Supervised Sentence Compression for Meeting Summarization}, journal = {CoRR}, volume = {abs/2305.07988}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07988}, doi = {10.48550/ARXIV.2305.07988}, eprinttype = {arXiv}, eprint = {2305.07988}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-15778, author = {Yinfang Chen and Huaibing Xie and Minghua Ma and Yu Kang and Xin Gao and Liu Shi and Yunjie Cao and Xuedong Gao and Hao Fan and Ming Wen and Jun Zeng and Supriyo Ghosh and Xuchao Zhang and Chaoyun Zhang and Qingwei Lin and Saravan Rajmohan and Dongmei Zhang}, title = {Empowering Practical Root Cause Analysis by Large Language Models for Cloud Incidents}, journal = {CoRR}, volume = {abs/2305.15778}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.15778}, doi = {10.48550/ARXIV.2305.15778}, eprinttype = {arXiv}, eprint = {2305.15778}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-15778.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18084, author = {Pengxiang Jin and Shenglin Zhang and Minghua Ma and Haozhe Li and Yu Kang and Liqun Li and Yudong Liu and Bo Qiao and Chaoyun Zhang and Pu Zhao and Shilin He and Federica Sarro and Yingnong Dang and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {Assess and Summarize: Improve Outage Understanding with Large Language Models}, journal = {CoRR}, volume = {abs/2305.18084}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18084}, doi = {10.48550/ARXIV.2305.18084}, eprinttype = {arXiv}, eprint = {2305.18084}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18084.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18403, author = {Mingyang Zhang and Hao Chen and Chunhua Shen and Zhen Yang and Linlin Ou and Xinyi Yu and Bohan Zhuang}, title = {Pruning Meets Low-Rank Parameter-Efficient Fine-Tuning}, journal = {CoRR}, volume = {abs/2305.18403}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18403}, doi = {10.48550/ARXIV.2305.18403}, eprinttype = {arXiv}, eprint = {2305.18403}, timestamp = {Sat, 09 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18403.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-03287, author = {Wenwen Yu and Chengquan Zhang and Haoyu Cao and Wei Hua and Bohan Li and Huang Chen and Mingyu Liu and Mingrui Chen and Jianfeng Kuang and Mengjun Cheng and Yuning Du and Shikun Feng and Xiaoguang Hu and Pengyuan Lyu and Kun Yao and Yuechen Yu and Yuliang Liu and Wanxiang Che and Errui Ding and Cheng{-}Lin Liu and Jiebo Luo and Shuicheng Yan and Min Zhang and Dimosthenis Karatzas and Xing Sun and Jingdong Wang and Xiang Bai}, title = {{ICDAR} 2023 Competition on Structured Text Extraction from Visually-Rich Document Images}, journal = {CoRR}, volume = {abs/2306.03287}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.03287}, doi = {10.48550/ARXIV.2306.03287}, eprinttype = {arXiv}, eprint = {2306.03287}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-03287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-03872, author = {Zhan Ling and Yunhao Fang and Xuanlin Li and Zhiao Huang and Mingu Lee and Roland Memisevic and Hao Su}, title = {Deductive Verification of Chain-of-Thought Reasoning}, journal = {CoRR}, volume = {abs/2306.03872}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.03872}, doi = {10.48550/ARXIV.2306.03872}, eprinttype = {arXiv}, eprint = {2306.03872}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-03872.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-08936, author = {Shan Shen and Hao Xu and Yongliang Zhou and Ming Ling and Wenjian Yu}, title = {Ultra8T: {A} Sub-Threshold 8T {SRAM} with Leakage Detection}, journal = {CoRR}, volume = {abs/2306.08936}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.08936}, doi = {10.48550/ARXIV.2306.08936}, eprinttype = {arXiv}, eprint = {2306.08936}, timestamp = {Sun, 18 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-08936.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-16928, author = {Minghua Liu and Chao Xu and Haian Jin and Linghao Chen and Mukund Varma T. and Zexiang Xu and Hao Su}, title = {One-2-3-45: Any Single Image to 3D Mesh in 45 Seconds without Per-Shape Optimization}, journal = {CoRR}, volume = {abs/2306.16928}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.16928}, doi = {10.48550/ARXIV.2306.16928}, eprinttype = {arXiv}, eprint = {2306.16928}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-16928.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-00771, author = {Ning Lin and Shaocong Wang and Yi Li and Bo Wang and Shuhui Shi and Yangu He and Woyu Zhang and Yifei Yu and Yue Zhang and Xiaojuan Qi and Xiaoming Chen and Hao Jiang and Xumeng Zhang and Peng Lin and Xiaoxin Xu and Qi Liu and Zhongrui Wang and Dashan Shang and Ming Liu}, title = {Resistive memory-based zero-shot liquid state machine for multimodal event data learning}, journal = {CoRR}, volume = {abs/2307.00771}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.00771}, doi = {10.48550/ARXIV.2307.00771}, eprinttype = {arXiv}, eprint = {2307.00771}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-00771.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-03135, author = {Xuanlin Li and Yunhao Fang and Minghua Liu and Zhan Ling and Zhuowen Tu and Hao Su}, title = {Distilling Large Vision-Language Model with Out-of-Distribution Generalizability}, journal = {CoRR}, volume = {abs/2307.03135}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.03135}, doi = {10.48550/ARXIV.2307.03135}, eprinttype = {arXiv}, eprint = {2307.03135}, timestamp = {Mon, 10 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-03135.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-08300, author = {Mingyang Zhang and Xinyi Yu and Haodong Zhao and Linlin Ou}, title = {ShiftNAS: Improving One-shot {NAS} via Probability Shift}, journal = {CoRR}, volume = {abs/2307.08300}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.08300}, doi = {10.48550/ARXIV.2307.08300}, eprinttype = {arXiv}, eprint = {2307.08300}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-08300.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09729, author = {Xiaohong Liu and Xiongkuo Min and Wei Sun and Yulun Zhang and Kai Zhang and Radu Timofte and Guangtao Zhai and Yixuan Gao and Yuqin Cao and Tengchuan Kou and Yunlong Dong and Ziheng Jia and Yilin Li and Wei Wu and Shuming Hu and Sibin Deng and Pengxiang Xiao and Ying Chen and Kai Li and Kai Zhao and Kun Yuan and Ming Sun and Heng Cong and Hao Wang and Lingzhi Fu and Yusheng Zhang and Rongyu Zhang and Hang Shi and Qihang Xu and Longan Xiao and Zhiliang Ma and Mirko Agarla and Luigi Celona and Claudio Rota and Raimondo Schettini and Zhiwei Huang and Yanan Li and Xiaotao Wang and Lei Lei and Hongye Liu and Wei Hong and Ironhead Chuang and Allen Lin and Drake Guan and Iris Chen and Kae Lou and Willy Huang and Yachun Tasi and Yvonne Kao and Haotian Fan and Fangyuan Kong and Shiqi Zhou and Hao Liu and Yu Lai and Shanshan Chen and Wenqi Wang and Haoning Wu and Chaofeng Chen and Chunzheng Zhu and Zekun Guo and Shiling Zhao and Haibing Yin and Hongkui Wang and Hanene Brachemi Meftah and Sid Ahmed Fezza and Wassim Hamidouche and Olivier D{\'{e}}forges and Tengfei Shi and Azadeh Mansouri and Hossein Motamednia and Amir Hossein Bakhtiari and Ahmad Mahmoudi Aznaveh}, title = {{NTIRE} 2023 Quality Assessment of Video Enhancement Challenge}, journal = {CoRR}, volume = {abs/2307.09729}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09729}, doi = {10.48550/ARXIV.2307.09729}, eprinttype = {arXiv}, eprint = {2307.09729}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-12994, author = {Fu Lin and Haonan Gong and Mingkang Li and Zitong Wang and Yue Zhang and Xuexiong Luo}, title = {Multi-representations Space Separation based Graph-level Anomaly-aware Detection}, journal = {CoRR}, volume = {abs/2307.12994}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.12994}, doi = {10.48550/ARXIV.2307.12994}, eprinttype = {arXiv}, eprint = {2307.12994}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-12994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-16518, author = {Mingyao Cui and Hao Jiang and Yuhao Chen and Yang Du and Linglong Dai}, title = {Continuous-Time Channel Prediction Based on Tensor Neural Ordinary Differential Equation}, journal = {CoRR}, volume = {abs/2307.16518}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.16518}, doi = {10.48550/ARXIV.2307.16518}, eprinttype = {arXiv}, eprint = {2307.16518}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-16518.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01857, author = {Xingquan Li and Simin Tao and Zengrong Huang and Shijian Chen and Zhisheng Zeng and Liwei Ni and Zhipeng Huang and Chunan Zhuang and Hongxi Wu and Weiguo Li and Xueyan Zhao and He Liu and Shuaiying Long and Wei He and Bojun Liu and Sifeng Gan and Zihao Yu and Tong Liu and Yuchi Miao and Zhiyuan Yan and Hao Wang and Jie Zhao and Yifan Li and Ruizhi Liu and Xiaoze Lin and Bo Yang and Zhen Xue and Fuxing Huang and Zonglin Yang and Zhenggang Wu and Jiangkao Li and Yuezuo Liu and Ming Peng and Yihang Qiu and Wenrui Wu and Zheqing Shao and Kai Mo and Jikang Liu and Yuyao Liang and Mingzhe Zhang and Zhuang Ma and Xiang Cong and Daxiang Huang and Guojie Luo and Huawei Li and Haihua Shen and Mingyu Chen and Dongbo Bu and Wenxing Zhu and Ye Cai and Xiaoming Xiong and Ying Jiang and Yi Heng and Peng Zhang and Biwei Xie and Yungang Bao}, title = {iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library}, journal = {CoRR}, volume = {abs/2308.01857}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01857}, doi = {10.48550/ARXIV.2308.01857}, eprinttype = {arXiv}, eprint = {2308.01857}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-09943, author = {Hao{-}Lun Lin and Jyun{-}Yu Jiang and Ming{-}Hao Juan and Pu{-}Jen Cheng}, title = {printf: Preference Modeling Based on User Reviews with Item Images and Textual Information via Graph Learning}, journal = {CoRR}, volume = {abs/2308.09943}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.09943}, doi = {10.48550/ARXIV.2308.09943}, eprinttype = {arXiv}, eprint = {2308.09943}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-09943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04659, author = {Hai{-}Ming Xu and Lingqiao Liu and Hao Chen and Ehsan Abbasnejad and Rafael Felix}, title = {Progressive Feature Adjustment for Semi-supervised Learning from Pretrained Models}, journal = {CoRR}, volume = {abs/2309.04659}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04659}, doi = {10.48550/ARXIV.2309.04659}, eprinttype = {arXiv}, eprint = {2309.04659}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04659.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-05257, author = {Chunyong Hu and Hang Zheng and Kun Li and Jianyun Xu and Weibo Mao and Maochun Luo and Lingxuan Wang and Mingxia Chen and Qihao Peng and Kaixuan Liu and Yiru Zhao and Peihan Hao and Minzhe Liu and Kaicheng Yu}, title = {FusionFormer: {A} Multi-sensory Fusion in Bird's-Eye-View and Temporal Consistent Transformer for 3D Object Detection}, journal = {CoRR}, volume = {abs/2309.05257}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.05257}, doi = {10.48550/ARXIV.2309.05257}, eprinttype = {arXiv}, eprint = {2309.05257}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-05257.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-07921, author = {Isabella Liu and Linghao Chen and Ziyang Fu and Liwen Wu and Haian Jin and Zhong Li and Chin Ming Ryan Wong and Yi Xu and Ravi Ramamoorthi and Zexiang Xu and Hao Su}, title = {OpenIllumination: {A} Multi-Illumination Dataset for Inverse Rendering Evaluation on Real Objects}, journal = {CoRR}, volume = {abs/2309.07921}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.07921}, doi = {10.48550/ARXIV.2309.07921}, eprinttype = {arXiv}, eprint = {2309.07921}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-07921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10305, author = {Aiyuan Yang and Bin Xiao and Bingning Wang and Borong Zhang and Ce Bian and Chao Yin and Chenxu Lv and Da Pan and Dian Wang and Dong Yan and Fan Yang and Fei Deng and Feng Wang and Feng Liu and Guangwei Ai and Guosheng Dong and Haizhou Zhao and Hang Xu and Haoze Sun and Hongda Zhang and Hui Liu and Jiaming Ji and Jian Xie and Juntao Dai and Kun Fang and Lei Su and Liang Song and Lifeng Liu and Liyun Ru and Luyao Ma and Mang Wang and Mickel Liu and MingAn Lin and Nuolan Nie and Peidong Guo and Ruiyang Sun and Tao Zhang and Tianpeng Li and Tianyu Li and Wei Cheng and Weipeng Chen and Xiangrong Zeng and Xiaochuan Wang and Xiaoxi Chen and Xin Men and Xin Yu and Xuehai Pan and Yanjun Shen and Yiding Wang and Yiyu Li and Youxin Jiang and Yuchen Gao and Yupeng Zhang and Zenan Zhou and Zhiying Wu}, title = {Baichuan 2: Open Large-scale Language Models}, journal = {CoRR}, volume = {abs/2309.10305}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10305}, doi = {10.48550/ARXIV.2309.10305}, eprinttype = {arXiv}, eprint = {2309.10305}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-01738, author = {Apan Dastider and Hao Fang and Mingjie Lin}, title = {{RETRO:} Reactive Trajectory Optimization for Real-Time Robot Motion Planning in Dynamic Environments}, journal = {CoRR}, volume = {abs/2310.01738}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.01738}, doi = {10.48550/ARXIV.2310.01738}, eprinttype = {arXiv}, eprint = {2310.01738}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-01738.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02264, author = {Haoyu Zhou and Mingyu Ding and Weikun Peng and Masayoshi Tomizuka and Lin Shao and Chuang Gan}, title = {Generalizable Long-Horizon Manipulations with Large Language Models}, journal = {CoRR}, volume = {abs/2310.02264}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02264}, doi = {10.48550/ARXIV.2310.02264}, eprinttype = {arXiv}, eprint = {2310.02264}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02264.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04992, author = {Jianing Qiu and Jian Wu and Hao Wei and Peilun Shi and Minqing Zhang and Yunyun Sun and Lin Li and Hanruo Liu and Hongyi Liu and Simeng Hou and Yuyang Zhao and Xuehui Shi and Junfang Xian and Xiaoxia Qu and Sirui Zhu and Lijie Pan and Xiaoniao Chen and Xiaojia Zhang and Shuai Jiang and Kebing Wang and Chenlong Yang and Mingqiang Chen and Sujie Fan and Jianhua Hu and Aiguo Lv and Hui Miao and Li Guo and Shujun Zhang and Cheng Pei and Xiaojuan Fan and Jianqin Lei and Ting Wei and Junguo Duan and Chun Liu and Xiaobo Xia and Siqi Xiong and Junhong Li and Benny Lo and Yih Chung Tham and Tien Yin Wong and Ningli Wang and Wu Yuan}, title = {VisionFM: a Multi-Modal Multi-Task Vision Foundation Model for Generalist Ophthalmic Artificial Intelligence}, journal = {CoRR}, volume = {abs/2310.04992}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04992}, doi = {10.48550/ARXIV.2310.04992}, eprinttype = {arXiv}, eprint = {2310.04992}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-06625, author = {Yong Liu and Tengge Hu and Haoran Zhang and Haixu Wu and Shiyu Wang and Lintao Ma and Mingsheng Long}, title = {iTransformer: Inverted Transformers Are Effective for Time Series Forecasting}, journal = {CoRR}, volume = {abs/2310.06625}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.06625}, doi = {10.48550/ARXIV.2310.06625}, eprinttype = {arXiv}, eprint = {2310.06625}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-06625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14049, author = {Xiaohan Gao and Haoyi Zhang and Siyuan Ye and Mingjie Liu and David Z. Pan and Linxiao Shen and Runsheng Wang and Yibo Lin and Ru Huang}, title = {Post-Layout Simulation Driven Analog Circuit Sizing}, journal = {CoRR}, volume = {abs/2310.14049}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14049}, doi = {10.48550/ARXIV.2310.14049}, eprinttype = {arXiv}, eprint = {2310.14049}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15110, author = {Ruoxi Shi and Hansheng Chen and Zhuoyang Zhang and Minghua Liu and Chao Xu and Xinyue Wei and Linghao Chen and Chong Zeng and Hao Su}, title = {Zero123++: a Single Image to Consistent Multi-view Diffusion Base Model}, journal = {CoRR}, volume = {abs/2310.15110}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15110}, doi = {10.48550/ARXIV.2310.15110}, eprinttype = {arXiv}, eprint = {2310.15110}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18740, author = {Ruomeng Ding and Chaoyun Zhang and Lu Wang and Yong Xu and Minghua Ma and Xiaomin Wu and Meng Zhang and Qingjun Chen and Xin Gao and Xuedong Gao and Hao Fan and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {TraceDiag: Adaptive, Interpretable, and Efficient Root Cause Analysis on Large-Scale Microservice Systems}, journal = {CoRR}, volume = {abs/2310.18740}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18740}, doi = {10.48550/ARXIV.2310.18740}, eprinttype = {arXiv}, eprint = {2310.18740}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18954, author = {Yuetian Weng and Mingfei Han and Haoyu He and Mingjie Li and Lina Yao and Xiaojun Chang and Bohan Zhuang}, title = {Mask Propagation for Efficient Video Semantic Segmentation}, journal = {CoRR}, volume = {abs/2310.18954}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18954}, doi = {10.48550/ARXIV.2310.18954}, eprinttype = {arXiv}, eprint = {2310.18954}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-19814, author = {Tianyang Xue and Mingdong Wu and Lin Lu and Haoxuan Wang and Hao Dong and Baoquan Chen}, title = {Learning Gradient Fields for Scalable and Generalizable Irregular Packing}, journal = {CoRR}, volume = {abs/2310.19814}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.19814}, doi = {10.48550/ARXIV.2310.19814}, eprinttype = {arXiv}, eprint = {2310.19814}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-19814.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-20552, author = {Ruofan Wu and Mingyang Zhang and Lingjuan Lyu and Xiaolong Xu and Xiuquan Hao and Xinyi Fu and Tengfei Liu and Tianyi Zhang and Weiqiang Wang}, title = {Privacy-preserving design of graph neural networks with applications to vertical federated learning}, journal = {CoRR}, volume = {abs/2310.20552}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.20552}, doi = {10.48550/ARXIV.2310.20552}, eprinttype = {arXiv}, eprint = {2310.20552}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-20552.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-00694, author = {Zhan Ling and Yunhao Fang and Xuanlin Li and Tongzhou Mu and Mingu Lee and Reza Pourreza and Roland Memisevic and Hao Su}, title = {Unleashing the Creative Mind: Language Model As Hierarchical Policy For Improved Exploration on Challenging Problem Solving}, journal = {CoRR}, volume = {abs/2311.00694}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.00694}, doi = {10.48550/ARXIV.2311.00694}, eprinttype = {arXiv}, eprint = {2311.00694}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-00694.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07164, author = {Yi Li and Songqi Wang and Yaping Zhao and Shaocong Wang and Woyu Zhang and Yangu He and Ning Lin and Binbin Cui and Xi Chen and Shiming Zhang and Hao Jiang and Peng Lin and Xumeng Zhang and Xiaojuan Qi and Zhongrui Wang and Xiaoxin Xu and Dashan Shang and Qi Liu and Kwang{-}Ting Cheng and Ming Liu}, title = {Pruning random resistive memory for optimizing analogue {AI}}, journal = {CoRR}, volume = {abs/2311.07164}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07164}, doi = {10.48550/ARXIV.2311.07164}, eprinttype = {arXiv}, eprint = {2311.07164}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07164.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07885, author = {Minghua Liu and Ruoxi Shi and Linghao Chen and Zhuoyang Zhang and Chao Xu and Xinyue Wei and Hansheng Chen and Chong Zeng and Jiayuan Gu and Hao Su}, title = {One-2-3-45++: Fast Single Image to 3D Objects with Consistent Multi-View Generation and 3D Diffusion}, journal = {CoRR}, volume = {abs/2311.07885}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07885}, doi = {10.48550/ARXIV.2311.07885}, eprinttype = {arXiv}, eprint = {2311.07885}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07885.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16482, author = {Yang Liu and Xiang Huang and Minghan Qin and Qinwei Lin and Haoqian Wang}, title = {Animatable 3D Gaussian: Fast and High-Quality Reconstruction of Multiple Human Avatars}, journal = {CoRR}, volume = {abs/2311.16482}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16482}, doi = {10.48550/ARXIV.2311.16482}, eprinttype = {arXiv}, eprint = {2311.16482}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16482.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-07532, author = {Xueyan Zou and Linjie Li and Jianfeng Wang and Jianwei Yang and Mingyu Ding and Zhengyuan Yang and Feng Li and Hao Zhang and Shilong Liu and Arul Aravinthan and Yong Jae Lee and Lijuan Wang}, title = {Interfacing Foundation Models' Embeddings}, journal = {CoRR}, volume = {abs/2312.07532}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.07532}, doi = {10.48550/ARXIV.2312.07532}, eprinttype = {arXiv}, eprint = {2312.07532}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-07532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09262, author = {Shaocong Wang and Yizhao Gao and Yi Li and Woyu Zhang and Yifei Yu and Bo Wang and Ning Lin and Hegan Chen and Yue Zhang and Yang Jiang and Dingchen Wang and Jia Chen and Peng Dai and Hao Jiang and Peng Lin and Xumeng Zhang and Xiaojuan Qi and Xiaoxin Xu and Hayden K. H. So and Zhongrui Wang and Dashan Shang and Qi Liu and Kwang{-}Ting Cheng and Ming Liu}, title = {Random resistive memory-based deep extreme point learning machine for unified visual processing}, journal = {CoRR}, volume = {abs/2312.09262}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09262}, doi = {10.48550/ARXIV.2312.09262}, eprinttype = {arXiv}, eprint = {2312.09262}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09262.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09781, author = {Min{-}Han Shih and Ho{-}Lam Chung and Yu{-}Chi Pai and Ming{-}Hao Hsu and Guan{-}Ting Lin and Shang{-}Wen Li and Hung{-}Yi Lee}, title = {{GSQA:} An End-to-End Model for Generative Spoken Question Answering}, journal = {CoRR}, volume = {abs/2312.09781}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09781}, doi = {10.48550/ARXIV.2312.09781}, eprinttype = {arXiv}, eprint = {2312.09781}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10897, author = {Wenbin An and Wenkai Shi and Feng Tian and Haonan Lin and Qianying Wang and Yaqiang Wu and Mingxiang Cai and Luyan Wang and Yan Chen and Haiping Zhu and Ping Chen}, title = {Generalized Category Discovery with Large Language Models in the Loop}, journal = {CoRR}, volume = {abs/2312.10897}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10897}, doi = {10.48550/ARXIV.2312.10897}, eprinttype = {arXiv}, eprint = {2312.10897}, timestamp = {Thu, 28 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11988, author = {Yuxuan Jiang and Chaoyun Zhang and Shilin He and Zhihao Yang and Minghua Ma and Si Qin and Yu Kang and Yingnong Dang and Saravan Rajmohan and Qingwei Lin and Dongmei Zhang}, title = {Xpert: Empowering Incident Management with Query Recommendations via Large Language Models}, journal = {CoRR}, volume = {abs/2312.11988}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11988}, doi = {10.48550/ARXIV.2312.11988}, eprinttype = {arXiv}, eprint = {2312.11988}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-16018, author = {Sichun Luo and Bowei He and Haohan Zhao and Yinya Huang and Aojun Zhou and Zongpeng Li and Yuanzhang Xiao and Mingjie Zhan and Linqi Song}, title = {RecRanker: Instruction Tuning Large Language Model as Ranker for Top-k Recommendation}, journal = {CoRR}, volume = {abs/2312.16018}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.16018}, doi = {10.48550/ARXIV.2312.16018}, eprinttype = {arXiv}, eprint = {2312.16018}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-16018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/ChenXZWY22, author = {Jihong Chen and Qingjun Xu and Hao Zhang and Zheng Wan and Mingzhu Yu}, title = {Bilateral slot exchange and co-allocation for liner alliance carriers of containerized maritime logistics}, journal = {Adv. Eng. Informatics}, volume = {51}, pages = {101479}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2021.101479}, doi = {10.1016/J.AEI.2021.101479}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/ChenXZWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/WuLZ22, author = {Minghui Wu and Jia{-}Rui Lin and Xin{-}Hao Zhang}, title = {How human-robot collaboration impacts construction productivity: An agent-based multi-fidelity modeling approach}, journal = {Adv. Eng. Informatics}, volume = {52}, pages = {101589}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2022.101589}, doi = {10.1016/J.AEI.2022.101589}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/WuLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/JiaFZSZYS22, author = {Lingxiang Jia and Zunlei Feng and Haotian Zhang and Jie Song and Zipeng Zhong and Shaolun Yao and Mingli Song}, title = {Explainable Fragment-Based Molecular Property Attribution}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {10}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200104}, doi = {10.1002/AISY.202200104}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/JiaFZSZYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/WuLSW22, author = {Jimmy Ming{-}Tai Wu and Sheng{-}Hao Lin and Jia{-}Hao Syu and Mu{-}En Wu}, title = {Embedded draw-down constraint reward function for deep reinforcement learning}, journal = {Appl. Soft Comput.}, volume = {125}, pages = {109150}, year = {2022}, url = {https://doi.org/10.1016/j.asoc.2022.109150}, doi = {10.1016/J.ASOC.2022.109150}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/WuLSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ShanLGLJYGRZH22, author = {Nayang Shan and Yao Lu and Hao Guo and Dongyu Li and Jitong Jiang and Linlin Yan and Jiudong Gao and Yong Ren and Xing{-}Ming Zhao and Lin Hou}, title = {CITEdb: a manually curated database of cell-cell interactions in human}, journal = {Bioinform.}, volume = {38}, number = {22}, pages = {5144--5148}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac654}, doi = {10.1093/BIOINFORMATICS/BTAC654}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ShanLGLJYGRZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/XingZLGYW22, author = {Lining Xing and Mingyang Zhang and Hao Li and Maoguo Gong and Jinghui Yang and Kesheng Wang}, title = {Local search driven periodic scheduling for workflows with random task runtime in clouds}, journal = {Comput. Ind. Eng.}, volume = {168}, pages = {108033}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2022.108033}, doi = {10.1016/J.CIE.2022.108033}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/XingZLGYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/WenZWCAGWC22, author = {Yongzhong Wen and Yuejun Zhang and Liang Wen and Haojie Cao and Guangpeng Ai and Minghong Gu and Pengjun Wang and Huiling Chen}, title = {A 65nm/0.448 mW {EEG} processor with parallel architecture {SVM} and lifting wavelet transform for high-performance and low-power epilepsy detection}, journal = {Comput. Biol. Medicine}, volume = {144}, pages = {105366}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105366}, doi = {10.1016/J.COMPBIOMED.2022.105366}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/WenZWCAGWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/LiuXYHLL22, author = {Shengjun Liu and Haojun Xu and Dong{-}Ming Yan and Ling Hu and Xinru Liu and Qinsong Li}, title = {{WTFM} Layer: An Effective Map Extractor for Unsupervised Shape Correspondence}, journal = {Comput. Graph. Forum}, volume = {41}, number = {7}, pages = {51--61}, year = {2022}, url = {https://doi.org/10.1111/cgf.14656}, doi = {10.1111/CGF.14656}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/LiuXYHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/WangWFGYXWW22, author = {Jie Wang and Yongzhen Wang and Yidan Feng and Lina Gong and Xuefeng Yan and Haoran Xie and Fu Lee Wang and Mingqiang Wei}, title = {Contrastive Semantic-Guided Image Smoothing Network}, journal = {Comput. Graph. Forum}, volume = {41}, number = {7}, pages = {335--346}, year = {2022}, url = {https://doi.org/10.1111/cgf.14681}, doi = {10.1111/CGF.14681}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cgf/WangWFGYXWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/WangYZGXWW22, author = {Yongzhen Wang and Xuefeng Yan and Kaiwen Zhang and Lina Gong and Haoran Xie and Fu Lee Wang and Mingqiang Wei}, title = {TogetherNet: Bridging Image Restoration and Object Detection Together via Dynamic Enhancement Learning}, journal = {Comput. Graph. Forum}, volume = {41}, number = {7}, pages = {465--476}, year = {2022}, url = {https://doi.org/10.1111/cgf.14692}, doi = {10.1111/CGF.14692}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/WangYZGXWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/LinW22, author = {Hao Lin and Mingqiang Wang}, title = {Repudiable ring signature: Stronger security and logarithmic-size}, journal = {Comput. Stand. Interfaces}, volume = {80}, pages = {103562}, year = {2022}, url = {https://doi.org/10.1016/j.csi.2021.103562}, doi = {10.1016/J.CSI.2021.103562}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/LinW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/WuWSYJYW22, author = {Yulin Wu and Xuan Wang and Willy Susilo and Guomin Yang and Zoe Lin Jiang and Siu{-}Ming Yiu and Hao Wang}, title = {Generic server-aided secure multi-party computation in cloud computing}, journal = {Comput. Stand. Interfaces}, volume = {79}, pages = {103552}, year = {2022}, url = {https://doi.org/10.1016/j.csi.2021.103552}, doi = {10.1016/J.CSI.2021.103552}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csi/WuWSYJYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/DingZSQ22, author = {Man Ding and Lingying Zhao and Mingyu Sun and Haocheng Qin}, title = {An {ISM-BN-GA} based methodology for product emotional design}, journal = {Displays}, volume = {74}, pages = {102279}, year = {2022}, url = {https://doi.org/10.1016/j.displa.2022.102279}, doi = {10.1016/J.DISPLA.2022.102279}, timestamp = {Tue, 11 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/DingZSQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ZhouLHXJ22, author = {Yi Zhou and Weibo Lin and Jin{-}Kao Hao and Mingyu Xiao and Yan Jin}, title = {An effective branch-and-bound algorithm for the maximum s-bundle problem}, journal = {Eur. J. Oper. Res.}, volume = {297}, number = {1}, pages = {27--39}, year = {2022}, url = {https://doi.org/10.1016/j.ejor.2021.05.001}, doi = {10.1016/J.EJOR.2021.05.001}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ZhouLHXJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/PengXLSH22, author = {Jiangang Peng and Guang Xia and Yue Li and Yiwei Song and Ming Hao}, title = {Knowledge-based prognostics and health management of a pumping system under the linguistic decision-making context}, journal = {Expert Syst. Appl.}, volume = {209}, pages = {118379}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.118379}, doi = {10.1016/J.ESWA.2022.118379}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/PengXLSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangZYHCW22, author = {Lijuan Wang and Lin Zhang and Ming Yin and Zhifeng Hao and Ruichu Cai and Wen Wen}, title = {Double embedding-transfer-based multi-view spectral clustering}, journal = {Expert Syst. Appl.}, volume = {210}, pages = {118374}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.118374}, doi = {10.1016/J.ESWA.2022.118374}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WangZYHCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiuTBPL22, author = {Lin Liu and Wei{-}Tek Tsai and Md. Zakirul Alam Bhuiyan and Hao Peng and Mingsheng Liu}, title = {Blockchain-enabled fraud discovery through abnormal smart contract detection on Ethereum}, journal = {Future Gener. Comput. Syst.}, volume = {128}, pages = {158--166}, year = {2022}, url = {https://doi.org/10.1016/j.future.2021.08.023}, doi = {10.1016/J.FUTURE.2021.08.023}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/LiuTBPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/WeiLSDYZL22, author = {Jinzhao Wei and Licong Li and Hao Song and Zhaoning Du and Jianli Yang and Mingsha Zhang and Xiuling Liu}, title = {Response of a neuronal network computational model to infrared neural stimulation}, journal = {Frontiers Comput. Neurosci.}, volume = {16}, year = {2022}, url = {https://doi.org/10.3389/fncom.2022.933818}, doi = {10.3389/FNCOM.2022.933818}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/WeiLSDYZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/finr/LingWXCLP22, author = {Jun Ling and Hongxin Wang and Mingshuo Xu and Hao Chen and Haiyang Li and Jigen Peng}, title = {Mathematical study of neural feedback roles in small target motion detection}, journal = {Frontiers Neurorobotics}, volume = {16}, year = {2022}, url = {https://doi.org/10.3389/fnbot.2022.984430}, doi = {10.3389/FNBOT.2022.984430}, timestamp = {Mon, 14 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/finr/LingWXCLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/BaoLWX22, author = {Lingxin Bao and Chunwan Lv and Ze{-}Hao Wu and Mingqing Xiao}, title = {Active disturbance rejection control for lower triangular uncertain stochastic nonlinear systems driven by coloured noises}, journal = {Int. J. Control}, volume = {95}, number = {8}, pages = {2164--2174}, year = {2022}, url = {https://doi.org/10.1080/00207179.2021.1900606}, doi = {10.1080/00207179.2021.1900606}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/BaoLWX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiZWZL22, author = {Zhizhong Li and Yuandong Zhang and Minghao Wang and Guidong Zhang and Jiaqing Lin}, title = {A mode-reduction space vector pulse width modulation control method to {VIENNA} rectifier yet eliminating input current distortions}, journal = {Int. J. Circuit Theory Appl.}, volume = {50}, number = {12}, pages = {4307--4324}, year = {2022}, url = {https://doi.org/10.1002/cta.3412}, doi = {10.1002/CTA.3412}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiZWZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ChangSLCWCL22, author = {Kuo{-}Hao Chang and Yi{-}Jyun Sun and Chi{-}An Lai and Li{-}Der Chen and Chih{-}Hung Wang and Chung{-}Jung Chen and Chih{-}Ming Lin}, title = {Big data analytics energy-saving strategies for air compressors in the semiconductor industry - an empirical study}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {6}, pages = {1782--1794}, year = {2022}, url = {https://doi.org/10.1080/00207543.2020.1870015}, doi = {10.1080/00207543.2020.1870015}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ChangSLCWCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/QianLJMLYLBZ22, author = {Zhiqin Qian and Yuchen Lin and Weiji Jing and Zhekai Ma and Hao Liu and Ruixue Yin and Zezhi Li and Zhuming Bi and Wenjun Chris Zhang}, title = {Development of a Real-Time Wearable Fall Detection System in the Context of Internet of Things}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {21}, pages = {21999--22007}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3181701}, doi = {10.1109/JIOT.2022.3181701}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/QianLJMLYLBZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ZhuZJGLL22, author = {Lina Zhu and Yimin Zhou and Riheng Jia and Wanyi Gu and Tom Hao Luan and Minglu Li}, title = {Real-Time Fault Diagnosis for EVs With Multilabel Feature Selection and Sliding Window Control}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {19}, pages = {18346--18359}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3160298}, doi = {10.1109/JIOT.2022.3160298}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ZhuZJGLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/XuZL22, author = {Rong{-}Qin Xu and Ming{-}Yang Zhou and Hao Liao}, title = {{PNR:} How to optimally combine different link prediction approaches?}, journal = {Inf. Sci.}, volume = {584}, pages = {342--359}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2021.10.061}, doi = {10.1016/J.INS.2021.10.061}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/XuZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/DaiXQQLLZHZCLJ22, author = {Xiaowen Dai and Yuan Xu and Haodi Qiu and Xu Qian and Mingde Lin and Lin Luo and Yang Zhao and Dingfang Huang and Yanmin Zhang and Yadong Chen and Haichun Liu and Yulei Jiang}, title = {{KID:} {A} Kinase-Focused Interaction Database and Its Application in the Construction of Kinase-Focused Molecule Databases}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {23}, pages = {6022--6034}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.2c00908}, doi = {10.1021/ACS.JCIM.2C00908}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/DaiXQQLLZHZCLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/ZhengZLWZD22, author = {Hao Zheng and Ling Zheng and Yinong Li and Kan Wang and Ziwei Zhang and Minghui Ding}, title = {Varying Zonotopic tube {RMPC} with switching logic for lateral path tracking of autonomous vehicle}, journal = {J. Frankl. Inst.}, volume = {359}, number = {7}, pages = {2759--2787}, year = {2022}, url = {https://doi.org/10.1016/j.jfranklin.2022.03.011}, doi = {10.1016/J.JFRANKLIN.2022.03.011}, timestamp = {Fri, 03 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/ZhengZLWZD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/PengCXH22, author = {Jian{-}Gang Peng and Ya Cai and Guang Xia and Ming Hao}, title = {Three-way decision theory based on interval type-2 fuzzy linguistic term sets}, journal = {J. Intell. Fuzzy Syst.}, volume = {43}, number = {4}, pages = {3911--3932}, year = {2022}, url = {https://doi.org/10.3233/JIFS-213236}, doi = {10.3233/JIFS-213236}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/PengCXH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/LiCZYLLL22, author = {Chao Li and Wennan Chai and Mingyue Zhang and Hao Yuan and Fanyu Lin and Sha Luo and Qingdang Li}, title = {A Novel Method for Distinguishing Indoor Dynamic and Static Semantic Objects Based on Deep Learning and Space Constraints in Visual-inertial {SLAM}}, journal = {J. Intell. Robotic Syst.}, volume = {106}, number = {1}, pages = {26}, year = {2022}, url = {https://doi.org/10.1007/s10846-022-01730-0}, doi = {10.1007/S10846-022-01730-0}, timestamp = {Wed, 21 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/LiCZYLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/PengQZZLW22, author = {Hao Peng and Cheng Qian and Dandan Zhao and Ming Zhong and Xianwen Ling and Wei Wang}, title = {Disintegrate hypergraph networks by attacking hyperedge}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {34}, number = {7}, pages = {4679--4685}, year = {2022}, url = {https://doi.org/10.1016/j.jksuci.2022.04.017}, doi = {10.1016/J.JKSUCI.2022.04.017}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jksucis/PengQZZLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/JiangCND22, author = {Hao Jiang and Mingyao Cui and Derrick Wing Kwan Ng and Linglong Dai}, title = {Accurate Channel Prediction Based on Transformer: Making Mobility Negligible}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {40}, number = {9}, pages = {2717--2732}, year = {2022}, url = {https://doi.org/10.1109/JSAC.2022.3191334}, doi = {10.1109/JSAC.2022.3191334}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/JiangCND22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/TangXHLZ22, author = {Xue{-}Song Tang and Xianlin Xie and Kuangrong Hao and Dawei Li and Mingbo Zhao}, title = {A line-segment-based non-maximum suppression method for accurate object detection}, journal = {Knowl. Based Syst.}, volume = {251}, pages = {108885}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2022.108885}, doi = {10.1016/J.KNOSYS.2022.108885}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/TangXHLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LiangYHDLZL22, author = {Hongying Liang and Suiqing Yu and Man Hao and Weixin Deng and Ming Lin and Zheng Zhang and Chunlong Liu}, title = {Effects of cervicothoracic postures on the stiffness of trapezius muscles}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {10}, pages = {3009--3017}, year = {2022}, url = {https://doi.org/10.1007/s11517-022-02655-4}, doi = {10.1007/S11517-022-02655-4}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mbec/LiangYHDLZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HuangLDLYJXM0DZ22, author = {Ruobing Huang and Mingrong Lin and Haoran Dou and Zehui Lin and Qilong Ying and Xiaohong Jia and Wenwen Xu and Zihan Mei and Xin Yang and Yijie Dong and Jianqiao Zhou and Dong Ni}, title = {Boundary-rendering network for breast lesion segmentation in ultrasound images}, journal = {Medical Image Anal.}, volume = {80}, pages = {102478}, year = {2022}, url = {https://doi.org/10.1016/j.media.2022.102478}, doi = {10.1016/J.MEDIA.2022.102478}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/HuangLDLYJXM0DZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Tue, 02 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/QiGGGLSWLC22, author = {Yun{-}Yi Qi and Zi{-}Hao Guo and Xiao{-}Tao Guo and Rui{-}Rui Guan and Bin Luo and Jing{-}Wu Sun and Ming Wang and Hua{-}Wei Li and Lin Chen}, title = {Evidence for predictions established by phantom sound}, journal = {NeuroImage}, volume = {264}, pages = {119766}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119766}, doi = {10.1016/J.NEUROIMAGE.2022.119766}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/QiGGGLSWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/LiuWWDFYSTLGGPL22, author = {Ruhan Liu and Xiangning Wang and Qiang Wu and Ling Dai and Xi Fang and Tao Yan and Jaemin Son and Shiqi Tang and Jiang Li and Zijian Gao and Adrian Galdran and J. M. Poorneshwaran and Hao Liu and Jie Wang and Yerui Chen and Prasanna Porwal and Gavin Siew Wei Tan and Xiaokang Yang and Chao Dai and Haitao Song and Mingang Chen and Huating Li and Weiping Jia and Dinggang Shen and Bin Sheng and Ping Zhang}, title = {DeepDRiD: Diabetic Retinopathy - Grading and Image Quality Estimation Challenge}, journal = {Patterns}, volume = {3}, number = {6}, pages = {100512}, year = {2022}, url = {https://doi.org/10.1016/j.patter.2022.100512}, doi = {10.1016/J.PATTER.2022.100512}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/LiuWWDFYSTLGGPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/HuangWLZZYHYW22, author = {Zeren Huang and Kerong Wang and Furui Liu and Hui{-}Ling Zhen and Weinan Zhang and Mingxuan Yuan and Jianye Hao and Yong Yu and Jun Wang}, title = {Learning to select cuts for efficient mixed-integer programming}, journal = {Pattern Recognit.}, volume = {123}, pages = {108353}, year = {2022}, url = {https://doi.org/10.1016/j.patcog.2021.108353}, doi = {10.1016/J.PATCOG.2021.108353}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/HuangWLZZYHYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DengMLLZNCSWS22, author = {Mingshan Deng and Xianhong Meng and Yaqiong Lu and Zhaoguo Li and Lin Zhao and Hanlin Niu and Hao Chen and Lunyu Shang and Shaoying Wang and Danrui Sheng}, title = {The Response of Vegetation to Regional Climate Change on the Tibetan Plateau Based on Remote Sensing Products and the Dynamic Global Vegetation Model}, journal = {Remote. Sens.}, volume = {14}, number = {14}, pages = {3337}, year = {2022}, url = {https://doi.org/10.3390/rs14143337}, doi = {10.3390/RS14143337}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DengMLLZNCSWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuZZJLYZQLY22, author = {Jiawei Liu and Guofeng Zhu and Kailiang Zhao and Yinying Jiao and Yuwei Liu and Mingyue Yang and Wenhao Zhang and Dongdong Qiu and Xinrui Lin and Linlin Ye}, title = {{GRACE} Combined with {WSD} to Assess the Change in Drought Severity in Arid Asia}, journal = {Remote. Sens.}, volume = {14}, number = {14}, pages = {3454}, year = {2022}, url = {https://doi.org/10.3390/rs14143454}, doi = {10.3390/RS14143454}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuZZJLYZQLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/QiCLGCLZ22, author = {Hao Qi and Gang Chen and Yiming Lin and Wanlin Gong and Fei{-}long Chen and Yaxian Li and Xiao{-}Ming Zhou}, title = {Wuhan {MST} Radar Observations of a Tropopause Descent Event during Heavy Rain on 1-2 June 2015}, journal = {Remote. Sens.}, volume = {14}, number = {24}, pages = {6272}, year = {2022}, url = {https://doi.org/10.3390/rs14246272}, doi = {10.3390/RS14246272}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/QiCLGCLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuLDCLL22, author = {Hsiang{-}Wei Hu and Chih{-}Hao Liu and Yi{-}Chun Du and Kuan{-}Yu Chen and Hsuan{-}Ming Lin and Chou{-}Ching K. Lin}, title = {Real-Time Internet of Medical Things System for Detecting Blood Leakage during Hemodialysis Using a Novel Multiple Concentric Ring Sensor}, journal = {Sensors}, volume = {22}, number = {5}, pages = {1988}, year = {2022}, url = {https://doi.org/10.3390/s22051988}, doi = {10.3390/S22051988}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuLDCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/HungLHCLHT0HYC22, author = {Min{-}Hsiung Hung and Yu{-}Chuan Lin and Hung{-}Chang Hsiao and Chao{-}Chun Chen and Kuan{-}Chou Lai and Yu{-}Ming Hsieh and Hao Tieng and Tsung{-}Han Tsai and Hsien{-}Cheng Huang and Haw Ching Yang and Fan{-}Tien Cheng}, title = {A Novel Implementation Framework of Digital Twins for Intelligent Manufacturing Based on Container Technology and Cloud Manufacturing Services}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {1614--1630}, year = {2022}, url = {https://doi.org/10.1109/TASE.2022.3143832}, doi = {10.1109/TASE.2022.3143832}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/HungLHCLHT0HYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/WanZZZDLW22, author = {Chuanchuan Wan and Hao Zhang and Yuan Zhao and Ming Zeng and Jiayu Dong and Ling Li and Keping Wang}, title = {A Broadband SiGe {HBT} Cascode Power Amplifier Achieving Watt-Level Peak Output Power With 38.6{\%} {PAE} and 90.9{\%} Large-Signal Fractional Bandwidth}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {12}, pages = {4734--4738}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3206113}, doi = {10.1109/TCSII.2022.3206113}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/WanZZZDLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/WuSLH22, author = {Mu{-}En Wu and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Jan{-}Ming Ho}, title = {Effective Fuzzy System for Qualifying the Characteristics of Stocks by Random Trading}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {30}, number = {8}, pages = {3152--3165}, year = {2022}, url = {https://doi.org/10.1109/TFUZZ.2021.3105192}, doi = {10.1109/TFUZZ.2021.3105192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/WuSLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/BaoLBHZ22, author = {Ling{-}Yan Bao and Jia Luo and HuiQi Bao and Yuyu Hao and Mingxiong Zhao}, title = {Cooperative Computation and Cache Scheduling for UAV-Enabled {MEC} Networks}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {6}, number = {2}, pages = {965--978}, year = {2022}, url = {https://doi.org/10.1109/TGCN.2021.3118611}, doi = {10.1109/TGCN.2021.3118611}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/BaoLBHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LiYZLLL22, author = {Yan Li and Xiaobin Yin and Wu Zhou and Mingsen Lin and Hao Liu and Yinan Li}, title = {Performance Simulation of the Payload {IMR} and {MICAP} Onboard the Chinese Ocean Salinity Satellite}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3111026}, doi = {10.1109/TGRS.2021.3111026}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LiYZLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/WangLZLDCJWZWY22, author = {Taoyang Wang and Xin Li and Guo Zhang and Mingsen Lin and Mingjun Deng and Hao Cui and Boyang Jiang and Yanan Wang and Yu Zhu and Huabin Wang and Xinzhe Yuan}, title = {Large-Scale Orthorectification of {GF-3} {SAR} Images Without Ground Control Points for China's Land Area}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--17}, year = {2022}, url = {https://doi.org/10.1109/tgrs.2022.3142372}, doi = {10.1109/TGRS.2022.3142372}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/WangLZLDCJWZWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinCHLL22, author = {Faa{-}Jeng Lin and Shih{-}Gang Chen and Ming{-}Shi Huang and Chia{-}Hui Liang and Chen{-}Hao Liao}, title = {Adaptive Complementary Sliding Mode Control for Synchronous Reluctance Motor With Direct-Axis Current Control}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {1}, pages = {141--150}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3050373}, doi = {10.1109/TIE.2021.3050373}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinCHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HuangXGZ22, author = {Ling Huang and Xiang Xu and Haoran Ge and Heming Zhao}, title = {Robust Unscented Quaternion Kalman Filter for Polar In-Motion Alignment and Virtual Polar Region Technology}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--10}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3177214}, doi = {10.1109/TIM.2022.3177214}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HuangXGZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/MengLLCZSL22, author = {Qiang Meng and Xianming Lang and Mingqi Lin and Zaihong Cai and Hao Zheng and Huadong Song and Wei Liu}, title = {Leak Localization of Gas Pipeline Based on the Combination of {EEMD} and Cross-Spectrum Analysis}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--9}, year = {2022}, url = {https://doi.org/10.1109/TIM.2021.3130680}, doi = {10.1109/TIM.2021.3130680}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/MengLLCZSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShaoLLLLFX22, author = {Mingye Shao and Xiaobin Li and Zhengguang Liu and Xingyu Liang and Lei Lin and Hao Fan and Jinghui Xu}, title = {Time Domain Calibration Method of Open-Ended Coaxial Probe in Dielectric Measurement}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--8}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3150850}, doi = {10.1109/TIM.2022.3150850}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/ShaoLLLLFX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShaoLLLLFX22a, author = {Mingye Shao and Xiaobin Li and Zhengguang Liu and Xingyu Liang and Lei Lin and Hao Fan and Jinghui Xu}, title = {Erratum to "Time Domain Calibration Method of Open-Ended Coaxial Probe in Dielectric Measurement"}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3159898}, doi = {10.1109/TIM.2022.3159898}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShaoLLLLFX22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ZhaoYZCYTLCY22, author = {Linjie Zhao and Zhaoyang Yin and Dezhi Zhang and Jian Cheng and Hao Yang and Chao Tan and Qi Liu and Mingjun Chen and Xiaodong Yuan}, title = {A Novel Subpixel Size Calibration Method for the Size Detection of Microtarget on Large-Aperture Optics Surface}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--10}, year = {2022}, url = {https://doi.org/10.1109/TIM.2021.3129217}, doi = {10.1109/TIM.2021.3129217}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ZhaoYZCYTLCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/HuHPLZJL22, author = {Zhao{-}Long Hu and Jianmin Han and Hao Peng and Jianfeng Lu and Xiangbin Zhu and Riheng Jia and Minglu Li}, title = {Locating Sources in Multiplex Networks for Linear Diffusion Systems}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {5}, pages = {3515--3530}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2022.3186159}, doi = {10.1109/TNSE.2022.3186159}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/HuHPLZJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/WeiLLS22, author = {Xinyue Wei and Minghua Liu and Zhan Ling and Hao Su}, title = {Approximate convex decomposition for 3D meshes with collision-aware concavity and tree search}, journal = {{ACM} Trans. Graph.}, volume = {41}, number = {4}, pages = {42:1--42:18}, year = {2022}, url = {https://doi.org/10.1145/3528223.3530103}, doi = {10.1145/3528223.3530103}, timestamp = {Fri, 12 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/WeiLLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LiuYLCCL22, author = {Tzu{-}Hsuan Liu and Che{-}Hao Yu and Yi{-}Jheng Lin and Chia{-}Ming Chang and Cheng{-}Shang Chang and Duan{-}Shin Lee}, title = {{ALOHA} Receivers: {A} Network Calculus Approach for Analyzing Coded Multiple Access With {SIC}}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {30}, number = {2}, pages = {840--854}, year = {2022}, url = {https://doi.org/10.1109/TNET.2021.3123685}, doi = {10.1109/TNET.2021.3123685}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/LiuYLCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LiGCCLLPFY22, author = {Yuxuan Li and Lin Gan and Mingcheng Chen and Yaojian Chen and Haitian Lu and Chao{-}Yang Lu and Jian{-}Wei Pan and Haohuan Fu and Guangwen Yang}, title = {Benchmarking 50-Photon Gaussian Boson Sampling on the Sunway TaihuLight}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {33}, number = {6}, pages = {1357--1372}, year = {2022}, url = {https://doi.org/10.1109/TPDS.2021.3111185}, doi = {10.1109/TPDS.2021.3111185}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LiGCCLLPFY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/WangLHXT22, author = {Sen Wang and Yun Lin and Ming Hao and Huaitao Xu and Qiao Tian}, title = {Interference Quality Assessment of Speech Communication Based on Deep Learning}, journal = {{IEEE} Trans. Reliab.}, volume = {71}, number = {2}, pages = {1011--1021}, year = {2022}, url = {https://doi.org/10.1109/TR.2022.3160587}, doi = {10.1109/TR.2022.3160587}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tr/WangLHXT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HsuLGLL22, author = {Hao{-}Wei Hsu and Ming{-}Chun Lee and Meng{-}Xun Gu and Yu{-}Chien Lin and Ta{-}Sung Lee}, title = {Analysis and Design for Pilot Power Allocation and Placement in {OFDM} Based Integrated Radar and Communication in Automobile Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {2}, pages = {1519--1535}, year = {2022}, url = {https://doi.org/10.1109/TVT.2021.3131225}, doi = {10.1109/TVT.2021.3131225}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HsuLGLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/LiLSLK22, author = {Ming Li and Lin Lei and Hao Sun and Xiao Li and Gangyao Kuang}, title = {Fine-grained visual classification via multilayer bilinear pooling with object localization}, journal = {Vis. Comput.}, volume = {38}, number = {3}, pages = {811--820}, year = {2022}, url = {https://doi.org/10.1007/s00371-020-02052-8}, doi = {10.1007/S00371-020-02052-8}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vc/LiLSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/Xiao-FengHGLKMC22, author = {Yang Xiao{-}Feng and Huang Hong{-}Quan and Zeng Guo{-}Qiang and Ge Liang{-}Quan and Jiang Kai{-}ming and Gu Min and Hu Chuan{-}Hao and Lai Mao{-}Lin}, title = {Pulse Pile-up Correction by Particle Swarm Optimization with Double-layer Parameter Identification Model in X-ray Spectroscopy}, journal = {J. Signal Process. Syst.}, volume = {94}, number = {4}, pages = {377--386}, year = {2022}, url = {https://doi.org/10.1007/s11265-021-01698-4}, doi = {10.1007/S11265-021-01698-4}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/Xiao-FengHGLKMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/YuanLDXGYDFY22, author = {Ming Yuan and Qiang Liu and Quan Deng and Shengye Xiang and Lin Gan and Jinzhe Yang and Xiaohui Duan and Haohuan Fu and Guangwen Yang}, editor = {Lin Gan and Yu Wang and Wei Xue and Thomas Chau}, title = {FPGA-Accelerated Tersoff Multi-body Potential for Molecular Dynamics Simulations}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 18th International Symposium, {ARC} 2022, Virtual Event, September 19-20, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13569}, pages = {17--31}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19983-7\_2}, doi = {10.1007/978-3-031-19983-7\_2}, timestamp = {Thu, 10 Nov 2022 10:31:46 +0100}, biburl = {https://dblp.org/rec/conf/arc/YuanLDXGYDFY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/WenLHWKHZYT22, author = {Mingshi Wen and Junqin Lin and Tianxiang Hai and Pingping Wang and Yin Kang and Jiakai Hao and Diwen Zhu and Yang Yang and Riming Tong}, title = {Failure Prediction Based {VNF} Migration Mechanism for Multimedia Services in Power Grid Substation Monitoring}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2022, Bilbao, Spain, June 15-17, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BMSB55706.2022.9828707}, doi = {10.1109/BMSB55706.2022.9828707}, timestamp = {Mon, 01 Aug 2022 15:53:36 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/WenLHWKHZYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/XuCLY22, author = {Haiming Xu and Hao Chen and Lingqiao Liu and Yufei Yin}, title = {Dual Decision Improves Open-Set Panoptic Segmentation}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {190}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/190/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/XuCLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cchi/FuCHLCXLCJJSZGL22, author = {Zihao Fu and Jiarong Chen and Xinyi Huang and Zhaoqing Li and Zhuangyi Chen and Mingshuang Xin and Yuejing Liang and Yining Chen and Lao Jia and Hanbing Jia and Runze Shi and Xinzhe Zhang and Yuru Gao and Guo Liu and Linxin Yang and Meng Zhang and Yihui Cai and Ziyi Zhu and Junxian Lin and Xintian Gao and Ye Wang and Mingxuan Zhang and Tiantian Chen and Xueliang Li and Pengcheng An}, title = {A Multi-media Entry to Traditional Chinese Art}, booktitle = {Proceedings of the Tenth International Symposium of Chinese CHI, Chinese {CHI} 2022, Guangzhou, China and Online, China, October 22-23, 2022}, pages = {315--327}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3565698.3587397}, doi = {10.1145/3565698.3587397}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cchi/FuCHLCXLCJJSZGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccks/WuZZPZZZLYQ22, author = {Zhanglin Wu and Ming Zhu and Min Zhang and Song Peng and Weidong Zhang and Ting Zhu and Junhao Zhu and Peng Li and Hao Yang and Ying Qin}, editor = {Ningyu Zhang and Meng Wang and Tianxing Wu and Wei Hu and Shumin Deng}, title = {A Search-Enhanced Path Mining and Ranking Method for Cross-lingual Knowledge Base Question Answering}, booktitle = {{CCKS} 2022 - Evaluation Track - 7th China Conference on Knowledge Graph and Semantic Computing Evaluations, {CCKS} 2022, Qinhuangdao, China, August 24-27, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1711}, pages = {30--38}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-8300-9\_4}, doi = {10.1007/978-981-19-8300-9\_4}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccks/WuZZPZZZLYQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ZengD00HYLCWLHF22, author = {Kaisheng Zeng and Zhenhao Dong and Lei Hou and Yixin Cao and Minghao Hu and Jifan Yu and Xin Lv and Lei Cao and Xin Wang and Haozhuang Liu and Yi Huang and Junlan Feng and Jing Wan and Juanzi Li and Ling Feng}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Interactive Contrastive Learning for Self-Supervised Entity Alignment}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {2465--2475}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557364}, doi = {10.1145/3511808.3557364}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ZengD00HYLCWLHF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/LiuLLL022, author = {Minghua Liu and Xuanlin Li and Zhan Ling and Yangyan Li and Hao Su}, editor = {Karen Liu and Dana Kulic and Jeffrey Ichnowski}, title = {Frame Mining: a Free Lunch for Learning Robotic Manipulation from 3D Point Clouds}, booktitle = {Conference on Robot Learning, CoRL 2022, 14-18 December 2022, Auckland, New Zealand}, series = {Proceedings of Machine Learning Research}, volume = {205}, pages = {527--538}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v205/liu23d.html}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/corl/LiuLLL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AradTYMBCLLWZPG22, author = {Boaz Arad and Radu Timofte and Rony Yahel and Nimrod Morag and Amir Bernat and Yuanhao Cai and Jing Lin and Zudi Lin and Haoqian Wang and Yulun Zhang and Hanspeter Pfister and Luc Van Gool and Shuai Liu and Yongqiang Li and Chaoyu Feng and Lei Lei and Jiaojiao Li and Songcheng Du and Chaoxiong Wu and Yihong Leng and Rui Song and Mingwei Zhang and Chongxing Song and Shuyi Zhao and Zhiqiang Lang and Wei Wei and Lei Zhang and Renwei Dian and Tianci Shan and Anjing Guo and Chengguo Feng and Jinyang Liu and Mirko Agarla and Simone Bianco and Marco Buzzelli and Luigi Celona and Raimondo Schettini and Jiang He and Yi Xiao and Jiajun Xiao and Qiangqiang Yuan and Jie Li and Liangpei Zhang and Taesung Kwon and Dohoon Ryu and Hyokyoung Bae and Hao{-}Hsiang Yang and Hua{-}En Chang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Sy{-}Yen Kuo and Junyu Chen and Haiwei Li and Song Liu and Sabari Nathan and K. Uma and B. Sathya Bama and S. Mohamed Mansoor Roomi}, title = {{NTIRE} 2022 Spectral Recovery Challenge and Data Set}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {862--880}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00102}, doi = {10.1109/CVPRW56347.2022.00102}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/AradTYMBCLLWZPG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GuCDRTGLSWYWXYC22, author = {Jinjin Gu and Haoming Cai and Chao Dong and Jimmy S. Ren and Radu Timofte and Yuan Gong and Shanshan Lao and Shuwei Shi and Jiahao Wang and Sidi Yang and Tianhe Wu and Weihao Xia and Yujiu Yang and Mingdeng Cao and Cong Heng and Lingzhi Fu and Rongyu Zhang and Yusheng Zhang and Hao Wang and Hongjian Song and Jing Wang and Haotian Fan and Xiaoxia Hou and Ming Sun and Mading Li and Kai Zhao and Kun Yuan and Zishang Kong and Mingda Wu and Chuanchuan Zheng and Marcos V. Conde and Maxime Burchi and Longtao Feng and Tao Zhang and Yang Li and Jingwen Xu and Haiqiang Wang and Yiting Liao and Junlin Li and Kele Xu and Tao Sun and Yunsheng Xiong and Abhisek Keshari and Komal and Sadbhawana Thakur and Vinit Jakhetiya and Badri N. Subudhi and Hao{-}Hsiang Yang and Hua{-}En Chang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Sy{-}Yen Kuo and Saikat Dutta and Sourya Dipta Das and Nisarg A. Shah and Anil Kumar Tiwari}, title = {{NTIRE} 2022 Challenge on Perceptual Image Quality Assessment}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {950--966}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00109}, doi = {10.1109/CVPRW56347.2022.00109}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/GuCDRTGLSWYWXYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGKLLDLZCHLL22, author = {Yawei Li and Kai Zhang and Radu Timofte and Luc Van Gool and Fangyuan Kong and Mingxi Li and Songwei Liu and Zongcai Du and Ding Liu and Chenhui Zhou and Jingyi Chen and Qingrui Han and Zheyuan Li and Yingqi Liu and Xiangyu Chen and Haoming Cai and Yu Qiao and Chao Dong and Long Sun and Jinshan Pan and Yi Zhu and Zhikai Zong and Xiaoxiao Liu and Zheng Hui and Tao Yang and Peiran Ren and Xuansong Xie and Xian{-}Sheng Hua and Yanbo Wang and Xiaozhong Ji and Chuming Lin and Donghao Luo and Ying Tai and Chengjie Wang and Zhizhong Zhang and Yuan Xie and Shen Cheng and Ziwei Luo and Lei Yu and Zhihong Wen and Qi Wu and Youwei Li and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Yuanfei Huang and Meiguang Jin and Hua Huang and Jing Liu and Xinjian Zhang and Yan Wang and Lingshun Long and Gen Li and Yuanfan Zhang and Zuowei Cao and Lei Sun and Panaetov Alexander and Yucong Wang and Minjie Cai and Li Wang and Lu Tian and Zheyuan Wang and Hongbing Ma and Jie Liu and Chao Chen and Yidong Cai and Jie Tang and Gangshan Wu and Weiran Wang and Shirui Huang and Honglei Lu and Huan Liu and Keyan Wang and Jun Chen and Shi Chen and Yuchun Miao and Zimo Huang and Lefei Zhang and Mustafa Ayazoglu and Wei Xiong and Chengyi Xiong and Fei Wang and Hao Li and Ruimian Wen and Zhijing Yang and Wenbin Zou and Weixin Zheng and Tian Ye and Yuncheng Zhang and Xiangzhen Kong and Aditya Arora and Syed Waqas Zamir and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Dandan Gao and Dengwen Zhou and Qian Ning and Jingzhu Tang and Han Huang and Yufei Wang and Zhangheng Peng and Haobo Li and Wenxue Guan and Shenghua Gong and Xin Li and Jun Liu and Wanjun Wang and Kun Zeng and Hanjiang Lin and Xinyu Chen and Jinsheng Fang}, title = {{NTIRE} 2022 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1061--1101}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00118}, doi = {10.1109/CVPRW56347.2022.00118}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGKLLDLZCHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Perez-Pellitero22, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1008--1022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00114}, doi = {10.1109/CVPRW56347.2022.00114}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/Perez-Pellitero22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangG00GT0CYJWG22, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Liangyu Chen and Xiaojie Chu and Wenqing Yu and Kai Jin and Zeqiang Wei and Sha Guo and Angulia Yang and Xiuzhuang Zhou and Guodong Guo and Bin Dai and Feiyue Peng and Huaxin Xiao and Shen Yan and Yuxiang Liu and Hanxiao Cai and Pu Cao and Yang Nie and Lu Yang and Qing Song and Xiaotao Hu and Jun Xu and Mai Xu and Junpeng Jing and Xin Deng and Qunliang Xing and Minglang Qiao and Zhenyu Guan and Wenlong Guo and Chenxu Peng and Zan Chen and Junyang Chen and Hao Li and Junbin Chen and Weijie Li and Zhijing Yang and Gen Li and Aijin Li and Lei Sun and Dafeng Zhang and Shizhuo Liu and Jiangtao Zhang and Yanyun Qu and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Hua{-}En Chang and Sy{-}Yen Kuo and Qiaohui Liang and Jianxin Lin and Yijun Wang and Lianying Yin and Rongju Zhang and Wei Zhao and Peng Xiao and Rongjian Xu and Zhilu Zhang and Wangmeng Zuo and Hansheng Guo and Guangwei Gao and Tieyong Zeng and Huicheng Pi and Shunli Zhang and Joohyeok Kim and HyeonA Kim and Eunpil Park and Jae{-}Young Sim and Jucai Zhai and Pengcheng Zeng and Yang Liu and Chihao Ma and Yulin Huang and Junying Chen}, title = {{NTIRE} 2022 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {905--918}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00105}, doi = {10.1109/CVPRW56347.2022.00105}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangG00GT0CYJWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YangTZXQXJLCBZF22, author = {Ren Yang and Radu Timofte and Meisong Zheng and Qunliang Xing and Minglang Qiao and Mai Xu and Lai Jiang and Huaida Liu and Ying Chen and Youcheng Ben and Xiao Zhou and Chen Fu and Pei Cheng and Gang Yu and Junyi Li and Renlong Wu and Zhilu Zhang and Wei Shang and Zhengyao Lv and Yunjin Chen and Mingcai Zhou and Dongwei Ren and Kai Zhang and Wangmeng Zuo and Pavel Ostyakov and Dmitry Vyal and Shakarim Soltanayev and Chervontsev Sergey and Magauiya Zhussip and Xueyi Zou and Youliang Yan and Pablo Navarrete Michelini and Yunhua Lu and Diankai Zhang and Shaoli Liu and Si Gao and Biao Wu and Chengjian Zheng and Xiaofeng Zhang and Kaidi Lu and Ning Wang and Thuong Nguyen Canh and Thong Bach and Qing Wang and Xiaopeng Sun and Haoyu Ma and Shijie Zhao and Junlin Li and Liangbin Xie and Shuwei Shi and Yujiu Yang and Xintao Wang and Jinjin Gu and Chao Dong and Xiaodi Shi and Chunmei Nian and Dong Jiang and Jucai Lin and Zhihuai Xie and Mao Ye and Dengyan Luo and Liuhan Peng and Shengjie Chen and Xin Liu and Qian Wang and Boyang Liang and Hang Dong and Yuhao Huang and Kai Chen and Xingbei Guo and Yujing Sun and Huilei Wu and Pengxu Wei and Yulin Huang and Junying Chen and Ik Hyun Lee and Sunder Ali Khowaja and Jiseok Yoon}, title = {{NTIRE} 2022 Challenge on Super-Resolution and Quality Enhancement of Compressed Video: Dataset, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1220--1237}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00129}, doi = {10.1109/CVPRW56347.2022.00129}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YangTZXQXJLCBZF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhengYZBCHYC0W22, author = {Yinglin Zheng and Hao Yang and Ting Zhang and Jianmin Bao and Dongdong Chen and Yangyu Huang and Lu Yuan and Dong Chen and Ming Zeng and Fang Wen}, title = {General Facial Representation Learning in a Visual-Linguistic Manner}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {18676--18688}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01814}, doi = {10.1109/CVPR52688.2022.01814}, timestamp = {Mon, 14 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhengYZBCHYC0W22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsaa/WangWBZHZL22, author = {Shuo Wang and Ziqiang Wu and Sheng Bi and Zheng Zhang and Xiaomin Huang and Ming{-}Yang Zhou and Hao Liao}, editor = {Joshua Zhexue Huang and Yi Pan and Barbara Hammer and Muhammad Khurram Khan and Xing Xie and Laizhong Cui and Yulin He}, title = {Community Splitter: {A} Network Embedding Method for Predicting Missing Links}, booktitle = {9th {IEEE} International Conference on Data Science and Advanced Analytics, {DSAA} 2022, Shenzhen, China, October 13-16, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSAA54385.2022.10032336}, doi = {10.1109/DSAA54385.2022.10032336}, timestamp = {Thu, 11 Jul 2024 10:42:47 +0200}, biburl = {https://dblp.org/rec/conf/dsaa/WangWBZHZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WangWWLCLJ22, author = {Pichao Wang and Xue Wang and Fan Wang and Ming Lin and Shuning Chang and Hao Li and Rong Jin}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{KVT:} k-NN Attention for Boosting Vision Transformers}, booktitle = {Computer Vision - {ECCV} 2022: 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXIV}}, series = {Lecture Notes in Computer Science}, volume = {13684}, pages = {285--302}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20053-3\_17}, doi = {10.1007/978-3-031-20053-3\_17}, timestamp = {Fri, 09 Dec 2022 16:21:52 +0100}, biburl = {https://dblp.org/rec/conf/eccv/WangWWLCLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YangYJLFZSZLGWLZPCZBLHYXZLXZWWLZJLJWJH22, author = {Qingyu Yang and Guang Yang and Jun Jiang and Chongyi Li and Ruicheng Feng and Shangchen Zhou and Wenxiu Sun and Qingpeng Zhu and Chen Change Loy and Jinwei Gu and Zhen Wang and Daoyu Li and Yuzhe Zhang and Lintao Peng and Xuyang Chang and Yinuo Zhang and Liheng Bian and Bing Li and Jie Huang and Mingde Yao and Ruikang Xu and Feng Zhao and Xiaohui Liu and Rongjian Xu and Zhilu Zhang and Xiaohe Wu and Ruohao Wang and Junyi Li and Wangmeng Zuo and Zhuang Jia and DongJae Lee and Ting Jiang and Qi Wu and Chengzhi Jiang and Mingyan Han and Xinpeng Li and Wenjie Lin and Youwei Li and Haoqiang Fan and Shuaicheng Liu}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {{MIPI} 2022 Challenge on {RGBW} Sensor Fusion: Dataset and Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13805}, pages = {46--59}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25072-9\_4}, doi = {10.1007/978-3-031-25072-9\_4}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/YangYJLFZSZLGWLZPCZBLHYXZLXZWWLZJLJWJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YangYJLFZSZLGWLZPCZWWFXZZFZLATPLJSZXXJ22, author = {Qingyu Yang and Guang Yang and Jun Jiang and Chongyi Li and Ruicheng Feng and Shangchen Zhou and Wenxiu Sun and Qingpeng Zhu and Chen Change Loy and Jinwei Gu and Zhen Wang and Daoyu Li and Yuzhe Zhang and Lintao Peng and Xuyang Chang and Yinuo Zhang and Yaqi Wu and Xun Wu and Zhihao Fan and Chengjie Xia and Feng Zhang and Haijin Zeng and Kai Feng and Yongqiang Zhao and Hi{\^{e}}p Quang Luong and Jan Aelterman and Anh Minh Truong and Wilfried Philips and Xiaohong Liu and Jun Jia and Hanchi Sun and Guangtao Zhai and Longan Xiao and Qihang Xu and Ting Jiang and Qi Wu and Chengzhi Jiang and Mingyan Han and Xinpeng Li and Wenjie Lin and Youwei Li and Haoqiang Fan and Shuaicheng Liu and Rongyuan Wu and Lingchen Sun and Qiaosi Yi}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {{MIPI} 2022 Challenge on Quad-Bayer Re-mosaic: Dataset and Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13805}, pages = {21--35}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25072-9\_2}, doi = {10.1007/978-3-031-25072-9\_2}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/YangYJLFZSZLGWLZPCZWWFXZZFZLATPLJSZXXJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/SyuLWH22, author = {Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Chi{-}Jen Wu and Jan{-}Ming Ho}, title = {Fuzzy-Based Stock Selection System through Suitability Index and Position Sizing}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/FUZZ-IEEE55066.2022.9882666}, doi = {10.1109/FUZZ-IEEE55066.2022.9882666}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/SyuLWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarm/LiHLLQC22, author = {Juyi Li and Peng Hao and Nan Li and Ye Li and Mingyue Qin and Lin Cheng}, title = {Neutron Source Installation Operation with 7-DOF Manipulator Based on Active Compliance Control}, booktitle = {International Conference on Advanced Robotics and Mechatronics , {ICARM} 2022, Guilin, China, July 9-11, 2022}, pages = {748--753}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICARM54641.2022.9959113}, doi = {10.1109/ICARM54641.2022.9959113}, timestamp = {Tue, 06 Dec 2022 09:27:52 +0100}, biburl = {https://dblp.org/rec/conf/icarm/LiHLLQC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KuangWLZZLLC22, author = {Li{-}Dan Kuang and Biao Wang and Qiu{-}Hua Lin and Haopeng Zhang and Jianming Zhang and Wenjun Li and Feng Li and Vince D. Calhoun}, title = {An Accelerated Rank-(L, L, 1, 1) Block Term Decomposition Of Multi-Subject Fmri Data Under Spatial Orthonormality Constraint}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {3933--3937}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9746404}, doi = {10.1109/ICASSP43922.2022.9746404}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KuangWLZZLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/WuWJS22, author = {Bizhu Wu and Mingyan Wu and Haoqin Ji and Linlin Shen}, title = {Which One is Better? Self-supervised Temporal Coherence Learning for Skeleton Based Action Recognition}, booktitle = {{IEEE} International Joint Conference on Biometrics, {IJCB} 2022, Abu Dhabi, United Arab Emirates, October 10-13, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IJCB54206.2022.10007979}, doi = {10.1109/IJCB54206.2022.10007979}, timestamp = {Thu, 20 Apr 2023 16:45:47 +0200}, biburl = {https://dblp.org/rec/conf/icb/WuWJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinHKL22, author = {Jai{-}Ming Lin and Hao{-}Yuan Hsieh and Hsuan Kung and Hao{-}Jia Lin}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Routability-Driven Analytical Placement with Precise Penalty Models for Large-Scale 3D ICs}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {119:1--119:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549339}, doi = {10.1145/3508352.3549339}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinHKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChiangCCLLDCDT22, author = {Ting{-}Hui Chiang and Chun{-}Hao Chang and Li{-}Hsin Chen and Chun{-}Ju Lin and An{-}Chun Luo and Yu{-}Shan Deng and Po{-}Han Chang and Ming{-}Ji Dai and Yu{-}Chee Tseng}, title = {Image Blending Methods for Defective {PCB} Image Generation}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {261--262}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869213}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869213}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChiangCCLLDCDT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/TangWZWL22, author = {Hao{-}Yuan Tang and Ming{-}Wei Wu and Ming Zhang and Xiao{-}Lan Wu and Xue{-}Er Lin}, editor = {Wenxing Hong and Yang Weng}, title = {Communication Principles Project-Based Learning: Smart Home Using Voice Remote Control and Visible Light Communication}, booktitle = {Computer Science and Education - 17th International Conference, {ICCSE} 2022, Ningbo, China, August 18-21, 2022, Revised Selected Papers, Part {III}}, series = {Communications in Computer and Information Science}, volume = {1813}, pages = {361--372}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-99-2449-3\_32}, doi = {10.1007/978-981-99-2449-3\_32}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/TangWZWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsie/LiuSXHHS22, author = {Zhiyang Liu and Hangxuan Song and Mingyu Xu and Yuanting Hu and Wenbo Hao and Zhi Song}, title = {Transmission Line Information Extraction from Images Collected by {UAV} based on Generative Adversarial Networks}, booktitle = {Proceedings of the 7th International Conference on Cyber Security and Information Engineering, {ICCSIE} 2022, Brisbane, QLD, Australia, September 23-25, 2022}, pages = {977--981}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3558819.3565228}, doi = {10.1145/3558819.3565228}, timestamp = {Wed, 01 Mar 2023 15:36:53 +0100}, biburl = {https://dblp.org/rec/conf/iccsie/LiuSXHHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdis/LinLWL22, author = {Hao Lin and Weiqi Luo and Kangkang Wei and Minglin Liu}, title = {{IMPROVED} {XCEPTION} {WITH} {DUAL} {ATTENTION} {MECHANISM} {AND} {FEATURE} {FUSION} {FOR} {FACE} {FORGERY} {DETECTION}}, booktitle = {4th International Conference on Data Intelligence and Security, {ICDIS} 2022, Shenzhen, China, August 24-26, 2022}, pages = {208--212}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDIS55630.2022.00039}, doi = {10.1109/ICDIS55630.2022.00039}, timestamp = {Wed, 04 Jan 2023 16:48:27 +0100}, biburl = {https://dblp.org/rec/conf/icdis/LinLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/FuZMSWLDCXYYYQT22, author = {Xinliang Fu and Jiayin Zheng and Juanyun Mai and Yanbo Shao and Minghao Wang and Linyu Li and Zhaoqi Diao and Yulong Chen and Jianyu Xiao and Jian You and Airu Yin and Yang Yang and Xiangcheng Qiu and Jinsheng Tao and Bo Wang and Hua Ji}, title = {A Coarse-to-Fine Morphological Approach with Knowledge-Based Rules and Self-Adapting Correction for Lung Nodules Segmentation}, booktitle = {2022 {IEEE} International Conference on Image Processing, {ICIP} 2022, Bordeaux, France, 16-19 October 2022}, pages = {1696--1700}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIP46576.2022.9897686}, doi = {10.1109/ICIP46576.2022.9897686}, timestamp = {Fri, 03 Feb 2023 18:11:40 +0100}, biburl = {https://dblp.org/rec/conf/icip/FuZMSWLDCXYYYQT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/DingHLYW00L22, author = {Mingyu Ding and Yuqi Huo and Haoyu Lu and Linjie Yang and Zhe Wang and Zhiwu Lu and Jingdong Wang and Ping Luo}, title = {Learning Versatile Neural Architectures by Propagating Network Codes}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=KEQl-MZ5fg7}, timestamp = {Wed, 28 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/DingHLYW00L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JiangTWSL022, author = {Yiqi Jiang and Zhiyu Tan and Junyan Wang and Xiuyu Sun and Ming C. Lin and Hao Li}, title = {GiraffeDet: {A} Heavy-Neck Paradigm for Object Detection}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=cBu4ElJfneV}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JiangTWSL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SunLSTL022, author = {Zhenhong Sun and Ming Lin and Xiuyu Sun and Zhiyu Tan and Hao Li and Rong Jin}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {{MAE-DET:} Revisiting Maximum Entropy Principle in Zero-Shot {NAS} for Efficient Object Detection}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {20810--20826}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/sun22c.html}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SunLSTL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/HaoZYH22, author = {Yihan Hao and Mingliang Zhang and Fei Yin and Lin{-}Lin Huang}, title = {{PGDP5K:} {A} Diagram Parsing Dataset for Plane Geometry Problems}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {1763--1769}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956397}, doi = {10.1109/ICPR56361.2022.9956397}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/HaoZYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsc2/ChenMLPWS22, author = {Xi Chen and Jinhao Meng and Mingqiang Lin and Jichang Peng and Ji Wu and Hao Su}, title = {Screening Indicators Analysis for the Echelon Utilization of Lithium-ion Batteries}, booktitle = {10th International Conference on Systems and Control, {ICSC} 2022, Marseille, France, November 23-25, 2022}, pages = {156--160}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSC57768.2022.9993932}, doi = {10.1109/ICSC57768.2022.9993932}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsc2/ChenMLPWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/GaoLLZWY22, author = {Yanjie Gao and Zhengxian Li and Haoxiang Lin and Hongyu Zhang and Ming Wu and Mao Yang}, title = {{REFTY:} Refinement Types for Valid Deep Learning Models}, booktitle = {44th {IEEE/ACM} 44th International Conference on Software Engineering, {ICSE} 2022, Pittsburgh, PA, USA, May 25-27, 2022}, pages = {1843--1855}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3510003.3510077}, doi = {10.1145/3510003.3510077}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icse/GaoLLZWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/ZhangZLZBLZLZY022, author = {Mingkang Zhang and Zihao Zhu and Yueduo Liu and Zehao Zhang and Rongxin Bao and Jiahui Lin and Haovu Zhuang and Jiaxin Liu and Xiong Zhou and Shiheng Yang and Qiang Li}, title = {A 4.2-to-5.6 GHz Transformer-Based PMOS-only Stacked-gm {VCO} in 28-nm {CMOS}}, booktitle = {2022 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2022, Xi'an, China, October 28-30, 2022}, pages = {36--37}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTA56932.2022.9962984}, doi = {10.1109/ICTA56932.2022.9962984}, timestamp = {Thu, 16 Nov 2023 15:13:21 +0100}, biburl = {https://dblp.org/rec/conf/icta3/ZhangZLZBLZLZY022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ZhangYHL22, author = {Mingliang Zhang and Fei Yin and Yi{-}Han Hao and Cheng{-}Lin Liu}, editor = {Luc De Raedt}, title = {Plane Geometry Diagram Parsing}, booktitle = {Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, {IJCAI} 2022, Vienna, Austria, 23-29 July 2022}, pages = {1636--1643}, publisher = {ijcai.org}, year = {2022}, url = {https://doi.org/10.24963/ijcai.2022/228}, doi = {10.24963/IJCAI.2022/228}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/ZhangYHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LinTLLHLWL22, author = {Yu{-}Hsuan Lin and Po{-}Hao Tseng and Feng{-}Min Lee and Ming{-}Hsiu Lee and Chih{-}Chang Hsieh and Dai{-}Ying Lee and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {{NOR} Flash-based Multilevel In-Memory-Searching Architecture for Approximate Computing}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779250}, doi = {10.1109/IMW52921.2022.9779250}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LinTLLHLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {138--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731775}, doi = {10.1109/ISSCC42614.2022.9731775}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuWCLLWLLHHLC0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/Lin0ZSZ022, author = {Wei Lin and Lu Zhang and Haotian Zhang and Kailai Shao and Mingming Zhang and Tao Xie}, title = {TaintSQL: Dynamically Tracking Fine-Grained Implicit Flows for {SQL} Statements}, booktitle = {{IEEE} 33rd International Symposium on Software Reliability Engineering, {ISSRE} 2022, Charlotte, NC, USA, October 31 - Nov. 3, 2022}, pages = {1--12}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSRE55969.2022.00012}, doi = {10.1109/ISSRE55969.2022.00012}, timestamp = {Wed, 11 Jan 2023 13:11:43 +0100}, biburl = {https://dblp.org/rec/conf/issre/Lin0ZSZ022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ist/LinLCC22, author = {Chih{-}Hao Lin and Po{-}Ming Lin and Chih{-}Wen Chen and Ming{-}Fu Chen}, title = {Design and validation of aberration-free plenoptic imaging system for industrial inspection}, booktitle = {{IEEE} International Conference on Imaging Systems and Techniques, {IST} 2022, Kaohsiung, Taiwan, June 21-23, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IST55454.2022.9827733}, doi = {10.1109/IST55454.2022.9827733}, timestamp = {Wed, 27 Jul 2022 16:52:29 +0200}, biburl = {https://dblp.org/rec/conf/ist/LinLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ist/PengCLC22, author = {Wei{-}Jei Peng and Chih{-}Wen Chen and Yi{-}Hao Lin and Ming{-}Fu Chen}, title = {Development of a wide-field-of-view microscope objective system with high resolution and long working distance}, booktitle = {{IEEE} International Conference on Imaging Systems and Techniques, {IST} 2022, Kaohsiung, Taiwan, June 21-23, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IST55454.2022.9827671}, doi = {10.1109/IST55454.2022.9827671}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ist/PengCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/WangCWZCL22, author = {Qi Wang and Ming Chai and Haifeng Wang and Hao Zhang and Jinchuan Chai and Bingyue Lin}, title = {Cloud-Based Simulated Automated Testing Platform for Virtual Coupling System}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {2738--2743}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITSC55140.2022.9922450}, doi = {10.1109/ITSC55140.2022.9922450}, timestamp = {Thu, 10 Nov 2022 21:13:36 +0100}, biburl = {https://dblp.org/rec/conf/itsc/WangCWZCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LianYZWHWSLLDLL22, author = {Xiangru Lian and Binhang Yuan and Xuefeng Zhu and Yulong Wang and Yongjun He and Honghuan Wu and Lei Sun and Haodong Lyu and Chengjun Liu and Xing Dong and Yiqiao Liao and Mingnan Luo and Congfei Zhang and Jingru Xie and Haonan Li and Lei Chen and Renjie Huang and Jianying Lin and Chengchun Shu and Xuezhong Qiu and Zhishan Liu and Dongying Kong and Lei Yuan and Hai Yu and Sen Yang and Ce Zhang and Ji Liu}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Persia: An Open, Hybrid System Scaling Deep Learning-based Recommenders up to 100 Trillion Parameters}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {3288--3298}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539070}, doi = {10.1145/3534678.3539070}, timestamp = {Mon, 28 Aug 2023 21:17:29 +0200}, biburl = {https://dblp.org/rec/conf/kdd/LianYZWHWSLLDLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/GuanLLYL22, author = {Hao Guan and Siyuan Liu and Weili Lin and Pew{-}Thian Yap and Mingxia Liu}, editor = {Chunfeng Lian and Xiaohuan Cao and Islem Rekik and Xuanang Xu and Zhiming Cui}, title = {Fast Image-Level {MRI} Harmonization via Spectrum Analysis}, booktitle = {Machine Learning in Medical Imaging - 13th International Workshop, {MLMI} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13583}, pages = {201--209}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21014-3\_21}, doi = {10.1007/978-3-031-21014-3\_21}, timestamp = {Fri, 13 Jan 2023 15:50:23 +0100}, biburl = {https://dblp.org/rec/conf/miccai/GuanLLYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LinHHZYFCL22, author = {Wenjun Lin and Yan Hu and Luoying Hao and Dan Zhou and Mingming Yang and Huazhu Fu and Cheekong Chui and Jiang Liu}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Instrument-tissue Interaction Quintuple Detection in Surgery Videos}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {13437}, pages = {399--409}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16449-1\_38}, doi = {10.1007/978-3-031-16449-1\_38}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/LinHHZYFCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/YuGFYL22, author = {Minhui Yu and Hao Guan and Yuqi Fang and Ling Yue and Mingxia Liu}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Domain-Prior-Induced Structural {MRI} Adaptation for Clinical Progression Prediction of Subjective Cognitive Decline}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13431}, pages = {24--33}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16431-6\_3}, doi = {10.1007/978-3-031-16431-6\_3}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/YuGFYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SunGWLC0S22, author = {Zhenhong Sun and Ce Ge and Junyan Wang and Ming C. Lin and Hesen Chen and Hao Li and Xiuyu Sun}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Entropy-Driven Mixed-Precision Quantization for Deep Network Design}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/86e7ebb16d33d59e62d1b0a079ea058d-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SunGWLC0S22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LinLLXHKYYC22, author = {Zhongjin Lin and Yanmei Lin and Hao Li and Mengyue Xu and Mingbo He and Wei Ke and X. Steve Yao and Siyuan Yu and Xinlun Cai}, title = {High-performance and Ultra-compact Endless Automatic Polarization Controller based on Thin-film Lithium Niobate}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748331}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LinLLXHKYYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/HuangCZSLZYHYW22, author = {Zeren Huang and Wenhao Chen and Weinan Zhang and Chuhan Shi and Furui Liu and Hui{-}Ling Zhen and Mingxuan Yuan and Jianye Hao and Yong Yu and Jun Wang}, editor = {Massih{-}Reza Amini and St{\'{e}}phane Canu and Asja Fischer and Tias Guns and Petra Kralj Novak and Grigorios Tsoumakas}, title = {Branch Ranking for Efficient Mixed-Integer Programming via Offline Ranking-Based Policy Learning}, booktitle = {Machine Learning and Knowledge Discovery in Databases - European Conference, {ECML} {PKDD} 2022, Grenoble, France, September 19-23, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13717}, pages = {377--392}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26419-1\_23}, doi = {10.1007/978-3-031-26419-1\_23}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pkdd/HuangCZSLZYHYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/ChengL0WKLL22, author = {Chih{-}Hsien Cheng and Shao{-}Yung Lee and Xin Chen and Chia{-}Hsuan Wang and Hao{-}Chung Kuo and Ming{-}Jun Li and Gong{-}Ru Lin}, title = {Beyond 66-Gbps Error-Free {NRZ-OOK} Encoded Dual-Mode {VCSEL} Back-to-Back Data Link}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9850167}, doi = {10.23919/OECC/PSC53152.2022.9850167}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/ChengL0WKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LiLLZWLLL22, author = {Jie Li and Yang Liao and Peng Lin and Liming Zheng and Chongyang Wang and Jiatao Luo and Ming Liang and Hao Liu}, title = {Design and experimental verification of a novel master manipulator with force feedback for vascular interventional robot}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2022, Jinghong, China, December 5-9, 2022}, pages = {2045--2050}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ROBIO55434.2022.10011933}, doi = {10.1109/ROBIO55434.2022.10011933}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/LiLLZWLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/MaLTLZXZHWDRL22, author = {Minghua Ma and Yudong Liu and Yuang Tong and Haozhe Li and Pu Zhao and Yong Xu and Hongyu Zhang and Shilin He and Lu Wang and Yingnong Dang and Saravanakumar Rajmohan and Qingwei Lin}, editor = {Abhik Roychoudhury and Cristian Cadar and Miryung Kim}, title = {An empirical investigation of missing data handling in cloud node failure prediction}, booktitle = {Proceedings of the 30th {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, {ESEC/FSE} 2022, Singapore, Singapore, November 14-18, 2022}, pages = {1453--1464}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3540250.3558946}, doi = {10.1145/3540250.3558946}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/MaLTLZXZHWDRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/systor/LiHLLEG22, author = {Nanqinqin Li and Mingzhe Hao and Huaicheng Li and Xing Lin and Tim Emami and Haryadi S. Gunawi}, editor = {Michal Malka and Hillel Kolodner and Frank Bellosa and Moshe Gabel}, title = {Fantastic {SSD} internals and how to learn and use them}, booktitle = {{SYSTOR} '22: The 15th {ACM} International Systems and Storage Conference, Haifa, Israel, June 13 - 15, 2022}, pages = {72--84}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534056.3534940}, doi = {10.1145/3534056.3534940}, timestamp = {Mon, 13 Jun 2022 17:06:26 +0200}, biburl = {https://dblp.org/rec/conf/systor/LiHLLEG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LiHSCLCYCHCWLWL22, author = {Ming{-}Yang Li and Ching{-}Hao Hsu and Shin{-}Wei Shen and Ang{-}Sheng Chou and Yuxuan Cosmi Lin and Chih{-}Piao Chuu and Ning Yang and Sui{-}An Chou and Lin{-}Yun Huang and Chao{-}Ching Cheng and Wei{-}Yen Woon and Szuya Liao and Chih{-}I Wu and Lain{-}Jong Li and Iuliana P. Radu and H.{-}S. Philip Wong and Han Wang}, title = {Wafer-Scale Bi-Assisted Semi-Auto Dry Transfer and Fabrication of High-Performance Monolayer {CVD} {WS2} Transistor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {290--291}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830376}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830376}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LiHSCLCYCHCWLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/QinLYYWDZXZLLL22, author = {Yuan Qin and Congyan Lu and Zhaoan Yu and Zhihong Yao and Feihong Wu and Danian Dong and Xiaolong Zhao and Guangwei Xu and Yuhao Zhang and Shibing Long and Ling Li and Ming Liu}, title = {First Demonstration of High-Sensitivity {(NEP} 1fW\({}^{\mbox{1/2}}\)) Back-Illuminated Active-Matrix Deep {UV} Image Sensor by Monolithic Integration of Ga2O3 Photodetectors and Oxide Thin-Film-Transistors}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {345--346}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830520}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830520}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/QinLYYWDZXZLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/TsengLLBLLHWL22, author = {Po{-}Hao Tseng and Yu{-}Hsuan Lin and Feng{-}Ming Lee and Tian{-}Cig Bo and Yung{-}Chun Li and Ming{-}Hsiu Lee and Kuang{-}Yeu Hsieh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {In-Memory Approximate Computing Architecture Based on 3D-NAND Flash Memories}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {270--271}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830405}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830405}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/TsengLLBLLHWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/FuPLW22, author = {Hao{-}Ming Fu and Patrick Poirson and Kwot Sin Lee and Chen Wang}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Revisiting Neighborhood-based Link Prediction for Collaborative Filtering}, booktitle = {Companion of The Web Conference 2022, Virtual Event / Lyon, France, April 25 - 29, 2022}, pages = {1009--1018}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3487553.3524712}, doi = {10.1145/3487553.3524712}, timestamp = {Thu, 18 Aug 2022 09:20:24 +0200}, biburl = {https://dblp.org/rec/conf/www/FuPLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-03186, author = {Lei Li and Fuping Wu and Sihan Wang and Xinzhe Luo and Carlos Mart{\'{\i}}n{-}Isla and Shuwei Zhai and Jianpeng Zhang and Yanfei Liu and Zhen Zhang and Markus J. Ankenbrand and Haochuan Jiang and Xiaoran Zhang and Linhong Wang and Tewodros Weldebirhan Arega and Elif Altunok and Zhou Zhao and Feiyan Li and Jun Ma and Xiaoping Yang and {\'{E}}lodie Puybareau and Ilkay {\"{O}}ks{\"{u}}z and St{\'{e}}phanie Bricq and Weisheng Li and Kumaradevan Punithakumar and Sotirios A. Tsaftaris and Laura Maria Schreiber and Mingjing Yang and Guocai Liu and Yong Xia and Guotai Wang and Sergio Escalera and Xiahai Zhuang}, title = {MyoPS: {A} Benchmark of Myocardial Pathology Segmentation Combining Three-Sequence Cardiac Magnetic Resonance Images}, journal = {CoRR}, volume = {abs/2201.03186}, year = {2022}, url = {https://arxiv.org/abs/2201.03186}, eprinttype = {arXiv}, eprint = {2201.03186}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-03186.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-11924, author = {Xiaoshuai Zhang and Rui Chen and Fanbo Xiang and Yuzhe Qin and Jiayuan Gu and Zhan Ling and Minghua Liu and Peiyu Zeng and Songfang Han and Zhiao Huang and Tongzhou Mu and Jing Xu and Hao Su}, title = {Close the Visual Domain Gap by Physics-Grounded Active Stereovision Depth Sensor Simulation}, journal = {CoRR}, volume = {abs/2201.11924}, year = {2022}, url = {https://arxiv.org/abs/2201.11924}, eprinttype = {arXiv}, eprint = {2201.11924}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-11924.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-03433, author = {Xinliang Fu and Jiayin Zheng and Juanyun Mai and Yanbo Shao and Minghao Wang and Linyu Li and Zhaoqi Diao and Yulong Chen and Jianyu Xiao and Jian You and Airu Yin and Yang Yang and Xiangcheng Qiu and Jinsheng Tao and Bo Wang and Hua Ji}, title = {A Coarse-to-fine Morphological Approach With Knowledge-based Rules and Self-adapting Correction for Lung Nodules Segmentation}, journal = {CoRR}, volume = {abs/2202.03433}, year = {2022}, url = {https://arxiv.org/abs/2202.03433}, eprinttype = {arXiv}, eprint = {2202.03433}, timestamp = {Thu, 10 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-03433.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-04256, author = {Yiqi Jiang and Zhiyu Tan and Junyan Wang and Xiuyu Sun and Ming C. Lin and Hao Li}, title = {GiraffeDet: {A} Heavy-Neck Paradigm for Object Detection}, journal = {CoRR}, volume = {abs/2202.04256}, year = {2022}, url = {https://arxiv.org/abs/2202.04256}, eprinttype = {arXiv}, eprint = {2202.04256}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-04256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-02393, author = {Minghui Wu and Jia{-}Rui Lin and Xin{-}Hao Zhang}, title = {How human-robot collaboration impacts construction productivity: an agent-based multi-fidelity modeling approach}, journal = {CoRR}, volume = {abs/2203.02393}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.02393}, doi = {10.48550/ARXIV.2203.02393}, eprinttype = {arXiv}, eprint = {2203.02393}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-02393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-02433, author = {Maxime Gasse and Quentin Cappart and Jonas Charfreitag and Laurent Charlin and Didier Ch{\'{e}}telat and Antonia Chmiela and Justin Dumouchelle and Ambros M. Gleixner and Aleksandr M. Kazachkov and Elias B. Khalil and Pawel Lichocki and Andrea Lodi and Miles Lubin and Chris J. Maddison and Christopher Morris and Dimitri J. Papageorgiou and Augustin Parjadis and Sebastian Pokutta and Antoine Prouvost and Lara Scavuzzo and Giulia Zarpellon and Linxin Yang and Sha Lai and Akang Wang and Xiaodong Luo and Xiang Zhou and Haohan Huang and Sheng Cheng Shao and Yuanming Zhu and Dong Zhang and Tao Quan and Zixuan Cao and Yang Xu and Zhewei Huang and Shuchang Zhou and Binbin Chen and Minggui He and Hao Hao and Zhiyu Zhang and Zhiwu An and Kun Mao}, title = {The Machine Learning for Combinatorial Optimization Competition {(ML4CO):} Results and Insights}, journal = {CoRR}, volume = {abs/2203.02433}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.02433}, doi = {10.48550/ARXIV.2203.02433}, eprinttype = {arXiv}, eprint = {2203.02433}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-02433.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-15789, author = {Hao{-}Ming Fu and Patrick Poirson and Kwot Sin Lee and Chen Wang}, title = {Revisiting Neighborhood-based Link Prediction for Collaborative Filtering}, journal = {CoRR}, volume = {abs/2203.15789}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.15789}, doi = {10.48550/ARXIV.2203.15789}, eprinttype = {arXiv}, eprint = {2203.15789}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-15789.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09314, author = {Ren Yang and Radu Timofte and Meisong Zheng and Qunliang Xing and Minglang Qiao and Mai Xu and Lai Jiang and Huaida Liu and Ying Chen and Youcheng Ben and Xiao Zhou and Chen Fu and Pei Cheng and Gang Yu and Junyi Li and Renlong Wu and Zhilu Zhang and Wei Shang and Zhengyao Lv and Yunjin Chen and Mingcai Zhou and Dongwei Ren and Kai Zhang and Wangmeng Zuo and Pavel Ostyakov and Dmitry Vyal and Shakarim Soltanayev and Chervontsev Sergey and Magauiya Zhussip and Xueyi Zou and Youliang Yan and Pablo Navarrete Michelini and Yunhua Lu and Diankai Zhang and Shaoli Liu and Si Gao and Biao Wu and Chengjian Zheng and Xiaofeng Zhang and Kaidi Lu and Ning Wang and Thuong Nguyen Canh and Thong Bach and Qing Wang and Xiaopeng Sun and Haoyu Ma and Shijie Zhao and Junlin Li and Liangbin Xie and Shuwei Shi and Yujiu Yang and Xintao Wang and Jinjin Gu and Chao Dong and Xiaodi Shi and Chunmei Nian and Dong Jiang and Jucai Lin and Zhihuai Xie and Mao Ye and Dengyan Luo and Liuhan Peng and Shengjie Chen and Xin Liu and Qian Wang and Xin Liu and Boyang Liang and Hang Dong and Yuhao Huang and Kai Chen and Xingbei Guo and Yujing Sun and Huilei Wu and Pengxu Wei and Yulin Huang and Junying Chen and Ik Hyun Lee and Sunder Ali Khowaja and Jiseok Yoon}, title = {{NTIRE} 2022 Challenge on Super-Resolution and Quality Enhancement of Compressed Video: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2204.09314}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09314}, doi = {10.48550/ARXIV.2204.09314}, eprinttype = {arXiv}, eprint = {2204.09314}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-02961, author = {Xinyue Wei and Minghua Liu and Zhan Ling and Hao Su}, title = {Approximate Convex Decomposition for 3D Meshes with Collision-Aware Concavity and Tree Search}, journal = {CoRR}, volume = {abs/2205.02961}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.02961}, doi = {10.48550/ARXIV.2205.02961}, eprinttype = {arXiv}, eprint = {2205.02961}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-02961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-05675, author = {Yawei Li and Kai Zhang and Radu Timofte and Luc Van Gool and Fangyuan Kong and Mingxi Li and Songwei Liu and Zongcai Du and Ding Liu and Chenhui Zhou and Jingyi Chen and Qingrui Han and Zheyuan Li and Yingqi Liu and Xiangyu Chen and Haoming Cai and Yu Qiao and Chao Dong and Long Sun and Jinshan Pan and Yi Zhu and Zhikai Zong and Xiaoxiao Liu and Zheng Hui and Tao Yang and Peiran Ren and Xuansong Xie and Xian{-}Sheng Hua and Yanbo Wang and Xiaozhong Ji and Chuming Lin and Donghao Luo and Ying Tai and Chengjie Wang and Zhizhong Zhang and Yuan Xie and Shen Cheng and Ziwei Luo and Lei Yu and Zhihong Wen and Qi Wu and Youwei Li and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Yuanfei Huang and Meiguang Jin and Hua Huang and Jing Liu and Xinjian Zhang and Yan Wang and Lingshun Long and Gen Li and Yuanfan Zhang and Zuowei Cao and Lei Sun and Panaetov Alexander and Yucong Wang and Minjie Cai and Li Wang and Lu Tian and Zheyuan Wang and Hongbing Ma and Jie Liu and Chao Chen and Yidong Cai and et al.}, title = {{NTIRE} 2022 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2205.05675}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.05675}, doi = {10.48550/ARXIV.2205.05675}, eprinttype = {arXiv}, eprint = {2205.05675}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-05675.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09363, author = {Mingliang Zhang and Fei Yin and Yi{-}Han Hao and Cheng{-}Lin Liu}, title = {Plane Geometry Diagram Parsing}, journal = {CoRR}, volume = {abs/2205.09363}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09363}, doi = {10.48550/ARXIV.2205.09363}, eprinttype = {arXiv}, eprint = {2205.09363}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09363.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-09947, author = {Yihan Hao and Mingliang Zhang and Fei Yin and Linlin Huang}, title = {{PGDP5K:} {A} Diagram Parsing Dataset for Plane Geometry Problems}, journal = {CoRR}, volume = {abs/2205.09947}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.09947}, doi = {10.48550/ARXIV.2205.09947}, eprinttype = {arXiv}, eprint = {2205.09947}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-09947.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-11039, author = {Xueyuan Lin and Haihong E and Gengxian Zhou and Tianyi Hu and Ningyuan Li and Mingzhi Sun and Haoran Luo}, title = {{FLEX:} Feature-Logic Embedding Framework for CompleX Knowledge Graph Reasoning}, journal = {CoRR}, volume = {abs/2205.11039}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.11039}, doi = {10.48550/ARXIV.2205.11039}, eprinttype = {arXiv}, eprint = {2205.11039}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-11039.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12633, author = {Eduardo P{\'{e}}rez{-}Pellitero and Sibi Catley{-}Chandar and Richard Shaw and Ales Leonardis and Radu Timofte and Zexin Zhang and Cen Liu and Yunbo Peng and Yue Lin and Gaocheng Yu and Jin Zhang and Zhe Ma and Hongbin Wang and Xiangyu Chen and Xintao Wang and Haiwei Wu and Lin Liu and Chao Dong and Jiantao Zhou and Qingsen Yan and Song Zhang and Weiye Chen and Yuhang Liu and Zhen Zhang and Yanning Zhang and Javen Qinfeng Shi and Dong Gong and Dan Zhu and Mengdi Sun and Guannan Chen and Yang Hu and Haowei Li and Baozhu Zou and Zhen Liu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Xinpeng Li and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu and Juan Mar{\'{\i}}n{-}Vega and Michael Sloth and Peter Schneider{-}Kamp and Richard R{\"{o}}ttger and Chunyang Li and Long Bao and Gang He and Ziyao Xu and Li Xu and Gen Zhan and Ming Sun and Xing Wen and Junlin Li and Jinjing Li and Chenghua Li and Ruipeng Gang and Fangya Li and Chenming Liu and Shuang Feng and Fei Lei and Rui Liu and Junxiang Ruan and Tianhong Dai and Wei Li and Zhan Lu and Hengyan Liu and Peian Huang and Guangyu Ren and Yonglin Luo and Chang Liu and Qiang Tu and Sai Ma and Yizhen Cao and Steven Tel and Barthelemy Heyrman and Dominique Ginhac and Chul Lee and Gahyeon Kim and Seonghyun Park and An Gia Vien and Truong Thanh Nhat Mai and Howoon Yoon and Tu Vo and Alexander Holston and Sheir Zaheer and Chan Y. Park}, title = {{NTIRE} 2022 Challenge on High Dynamic Range Imaging: Methods and Results}, journal = {CoRR}, volume = {abs/2205.12633}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12633}, doi = {10.48550/ARXIV.2205.12633}, eprinttype = {arXiv}, eprint = {2205.12633}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-13214, author = {Mingjie Li and Hao Kong and Zhouchen Lin}, title = {SymNMF-Net for The Symmetric {NMF} Problem}, journal = {CoRR}, volume = {abs/2205.13214}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.13214}, doi = {10.48550/ARXIV.2205.13214}, eprinttype = {arXiv}, eprint = {2205.13214}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-13214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14307, author = {Xueyuan Lin and Chengjin Xu and Haihong E and Fenglong Su and Gengxian Zhou and Tianyi Hu and Ningyuan Li and Mingzhi Sun and Haoran Luo}, title = {{TFLEX:} Temporal Feature-Logic Embedding Framework for Complex Reasoning over Temporal Knowledge Graph}, journal = {CoRR}, volume = {abs/2205.14307}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14307}, doi = {10.48550/ARXIV.2205.14307}, eprinttype = {arXiv}, eprint = {2205.14307}, timestamp = {Wed, 01 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14307.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14583, author = {Han Wu and Haochen Tan and Mingjie Zhan and Gangming Zhao and Shaoqing Lu and Ding Liang and Linqi Song}, title = {Learning Locality and Isotropy in Dialogue Modeling}, journal = {CoRR}, volume = {abs/2205.14583}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14583}, doi = {10.48550/ARXIV.2205.14583}, eprinttype = {arXiv}, eprint = {2205.14583}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14583.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12480, author = {Hao Guan and Ling Yue and Pew{-}Thian Yap and Andrea Bozoki and Mingxia Liu}, title = {Attention-Guided Autoencoder for Automated Progression Prediction of Subjective Cognitive Decline with Structural {MRI}}, journal = {CoRR}, volume = {abs/2206.12480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12480}, doi = {10.48550/ARXIV.2206.12480}, eprinttype = {arXiv}, eprint = {2206.12480}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02504, author = {Hai{-}Ming Xu and Hao Chen and Lingqiao Liu and Yufei Yin}, title = {Two-stage Decision Improves Open-Set Panoptic Segmentation}, journal = {CoRR}, volume = {abs/2207.02504}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02504}, doi = {10.48550/ARXIV.2207.02504}, eprinttype = {arXiv}, eprint = {2207.02504}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-13701, author = {Zeren Huang and Wenhao Chen and Weinan Zhang and Chuhan Shi and Furui Liu and Hui{-}Ling Zhen and Mingxuan Yuan and Jianye Hao and Yong Yu and Jun Wang}, title = {Branch Ranking for Efficient Mixed-Integer Programming via Offline Ranking-based Policy Learning}, journal = {CoRR}, volume = {abs/2207.13701}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.13701}, doi = {10.48550/ARXIV.2207.13701}, eprinttype = {arXiv}, eprint = {2207.13701}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-13701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-00977, author = {Jie Wang and Yongzhen Wang and Yidan Feng and Lina Gong and Xuefeng Yan and Haoran Xie and Fu Lee Wang and Mingqiang Wei}, title = {Contrastive Semantic-Guided Image Smoothing Network}, journal = {CoRR}, volume = {abs/2209.00977}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.00977}, doi = {10.48550/ARXIV.2209.00977}, eprinttype = {arXiv}, eprint = {2209.00977}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-00977.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-01373, author = {Yongzhen Wang and Xuefeng Yan and Kaiwen Zhang and Lina Gong and Haoran Xie and Fu Lee Wang and Mingqiang Wei}, title = {TogetherNet: Bridging Image Restoration and Object Detection Together via Dynamic Enhancement Learning}, journal = {CoRR}, volume = {abs/2209.01373}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.01373}, doi = {10.48550/ARXIV.2209.01373}, eprinttype = {arXiv}, eprint = {2209.01373}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-01373.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-04246, author = {Haojie Zhang and Mingfei Liang and Ruobing Xie and Zhenlong Sun and Bo Zhang and Leyu Lin}, title = {Improve Transformer Pre-Training with Decoupled Directional Relative Position Encoding and Representation Differentiations}, journal = {CoRR}, volume = {abs/2210.04246}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.04246}, doi = {10.48550/ARXIV.2210.04246}, eprinttype = {arXiv}, eprint = {2210.04246}, timestamp = {Fri, 03 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-04246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-07442, author = {Minghua Liu and Xuanlin Li and Zhan Ling and Yangyan Li and Hao Su}, title = {Frame Mining: a Free Lunch for Learning Robotic Manipulation from 3D Point Clouds}, journal = {CoRR}, volume = {abs/2210.07442}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.07442}, doi = {10.48550/ARXIV.2210.07442}, eprinttype = {arXiv}, eprint = {2210.07442}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-07442.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-09245, author = {Haoming Li and Xinzhuo Lin and Yang Zhou and Xiang Li and Jiming Chen and Qi Ye}, title = {Learning Object Affordance with Contact and Grasp Generation}, journal = {CoRR}, volume = {abs/2210.09245}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.09245}, doi = {10.48550/ARXIV.2210.09245}, eprinttype = {arXiv}, eprint = {2210.09245}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-09245.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-01664, author = {Lipeng Gu and Xuefeng Yan and Peng Cui and Lina Gong and Haoran Xie and Fu Lee Wang and Jin Qin and Mingqiang Wei}, title = {PointSee: Image Enhances Point Cloud}, journal = {CoRR}, volume = {abs/2211.01664}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.01664}, doi = {10.48550/ARXIV.2211.01664}, eprinttype = {arXiv}, eprint = {2211.01664}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-01664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09518, author = {Yiyang Shen and Rongwei Yu and Peng Wu and Haoran Xie and Lina Gong and Jing Qin and Mingqiang Wei}, title = {ImLiDAR: Cross-Sensor Dynamic Message Propagation Network for 3D Object Detection}, journal = {CoRR}, volume = {abs/2211.09518}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09518}, doi = {10.48550/ARXIV.2211.09518}, eprinttype = {arXiv}, eprint = {2211.09518}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09518.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09949, author = {Tzu{-}Quan Lin and Tsung{-}Huan Yang and Chun{-}Yao Chang and Kuang{-}Ming Chen and Tzu{-}hsun Feng and Hung{-}yi Lee and Hao Tang}, title = {Compressing Transformer-based self-supervised models for speech processing}, journal = {CoRR}, volume = {abs/2211.09949}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09949}, doi = {10.48550/ARXIV.2211.09949}, eprinttype = {arXiv}, eprint = {2211.09949}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-01558, author = {Minghua Liu and Yinhao Zhu and Hong Cai and Shizhong Han and Zhan Ling and Fatih Porikli and Hao Su}, title = {PartSLIP: Low-Shot Part Segmentation for 3D Point Clouds via Pretrained Image-Language Models}, journal = {CoRR}, volume = {abs/2212.01558}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.01558}, doi = {10.48550/ARXIV.2212.01558}, eprinttype = {arXiv}, eprint = {2212.01558}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-01558.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-01803, author = {Ning Wang and Jiahao Xie and Jihao Wu and Mingbo Jia and Linlin Li}, title = {Controllable Image Captioning via Prompting}, journal = {CoRR}, volume = {abs/2212.01803}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.01803}, doi = {10.48550/ARXIV.2212.01803}, eprinttype = {arXiv}, eprint = {2212.01803}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-01803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangTJPZ21, author = {Hao Huang and Qunzhang Tu and Chengming Jiang and Ming Pan and Changlin Zhu}, title = {An Electronic Line-Shafting Control Strategy Based on Sliding Mode Observer for Distributed Driving Electric Vehicles}, journal = {{IEEE} Access}, volume = {9}, pages = {38221--38235}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3062829}, doi = {10.1109/ACCESS.2021.3062829}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuangTJPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuCYHJLWPZD21, author = {Juan Liu and Minghui Chen and Hang Yu and Jinjin Han and Hongyi Jia and Zhili Lin and Zhijun Wu and Jixiong Pu and Xining Zhang and Hao Dai}, title = {Quantitative Analysis of Structural Parameters Importance of Helical Temperature Microfiber Sensor by Artificial Neural Network}, journal = {{IEEE} Access}, volume = {9}, pages = {148156--148163}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3124665}, doi = {10.1109/ACCESS.2021.3124665}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiuCYHJLWPZD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MiaoDTZZZ21, author = {Weiwei Miao and Zhengyang Ding and Hao Tang and Zeng Zeng and Mingxuan Zhang and Shaqian Zhang}, title = {A Seq2Seq Learning Approach for Link Quality Estimation Based on System Metrics in WSNs}, journal = {{IEEE} Access}, volume = {9}, pages = {44207--44216}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3058191}, doi = {10.1109/ACCESS.2021.3058191}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MiaoDTZZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangMYWHD21, author = {Ying Wang and Lin Mao and Mingan Yu and Ying Wei and Can Hao and Dengfeng Dong}, title = {Automatic Recognition of Parathyroid Nodules in Ultrasound Images Based on Fused Prior Pathological Knowledge Features}, journal = {{IEEE} Access}, volume = {9}, pages = {69626--69634}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3075226}, doi = {10.1109/ACCESS.2021.3075226}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangMYWHD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangDLHWHGG21, author = {Zhaowei Zhang and Zhekang Dong and Huipin Lin and Zhiwei He and Minghao Wang and Yufei He and Xiang Gao and Mingyu Gao}, title = {An Improved Bidirectional Gated Recurrent Unit Method for Accurate State-of-Charge Estimation}, journal = {{IEEE} Access}, volume = {9}, pages = {11252--11263}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3049944}, doi = {10.1109/ACCESS.2021.3049944}, timestamp = {Thu, 02 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangDLHWHGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aicom/LiuLWCHZWMSZ21, author = {Xueyu Liu and Ming Li and Yongfei Wu and Yilin Chen and Fang Hao and Daoxiang Zhou and Chen Wang and Chuanfeng Ma and Guangze Shi and Xiaoshuang Zhou}, title = {An efficient glomerular object locator for renal whole slide images using proposal-free network and dynamic scale evaluation method}, journal = {{AI} Commun.}, volume = {34}, number = {4}, pages = {245--258}, year = {2021}, url = {https://doi.org/10.3233/AIC-210073}, doi = {10.3233/AIC-210073}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aicom/LiuLWCHZWMSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/WuSLH21, author = {Mu{-}En Wu and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Jan{-}Ming Ho}, title = {Portfolio management system in equity market neutral using reinforcement learning}, journal = {Appl. Intell.}, volume = {51}, number = {11}, pages = {8119--8131}, year = {2021}, url = {https://doi.org/10.1007/s10489-021-02262-0}, doi = {10.1007/S10489-021-02262-0}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/WuSLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/YangPZ0021, author = {Zhi{-}Kai Yang and Lingyu Pan and Yanming Zhang and Hao Luo and Feng Gao}, title = {Data-driven identification of SARS-CoV-2 subpopulations using PhenoGraph and binary-coded genomic data}, journal = {Briefings Bioinform.}, volume = {22}, number = {6}, year = {2021}, url = {https://doi.org/10.1093/bib/bbab307}, doi = {10.1093/BIB/BBAB307}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/YangPZ0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ChenDYDHCWCHTGZ21, author = {Jia{-}Bin Chen and Shan{-}Shan Dong and Shi Yao and Yuan{-}Yuan Duan and Wei{-}Xin Hu and Hao Chen and Nai{-}Ning Wang and Xiao{-}Feng Chen and Ruo{-}Han Hao and Hlaing Nwe Thynn and Ming{-}Rui Guo and Yu{-}Jie Zhang and Yu Rong and Yi{-}Xiao Chen and Fu{-}Ling Zhou and Yan Guo and Tie{-}Lin Yang}, title = {Modeling circRNA expression pattern with integrated sequence and epigenetic features demonstrates the potential involvement of H3K79me2 in circRNA expression}, journal = {Bioinform.}, volume = {37}, number = {19}, pages = {3386}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab510}, doi = {10.1093/BIOINFORMATICS/BTAB510}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ChenDYDHCWCHTGZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YangZDBLRLD21, author = {Yuan Yang and Lin Zhang and Mingyu Du and Jingyu Bo and Haolei Liu and Lei Ren and Xiaohe Li and M. Jamal Deen}, title = {A comparative analysis of eleven neural networks architectures for small datasets of lung images of {COVID-19} patients toward improved clinical decisions}, journal = {Comput. Biol. Medicine}, volume = {139}, pages = {104887}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104887}, doi = {10.1016/J.COMPBIOMED.2021.104887}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YangZDBLRLD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HaoXHZMZGZHSLYZ21, author = {Yue Hao and Shuiying Xiang and Genquan Han and Jincheng Zhang and Xiaohua Ma and Zhangming Zhu and Xingxing Guo and Yahui Zhang and Yanan Han and Ziwei Song and Yan Liu and Ling Yang and Hong Zhou and Jiangyi Shi and Wei Zhang and Min Xu and Weisheng Zhao and Biao Pan and Yangqi Huang and Qi Liu and Yimao Cai and Jian Zhu and Xin Ou and Tiangui You and Huaqiang Wu and Bin Gao and Zhiyong Zhang and Guoping Guo and Yonghua Chen and Yong Liu and Xiangfei Chen and Chunlai Xue and Xingjun Wang and Lixia Zhao and Xihua Zou and Lianshan Yan and Ming Li}, title = {Recent progress of integrated circuits and optoelectronic chips}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {10}, year = {2021}, url = {https://doi.org/10.1007/s11432-021-3235-7}, doi = {10.1007/S11432-021-3235-7}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/HaoXHZMZGZHSLYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ChenLXZTLLSP21, author = {Hao Chen and Mingjie Liu and Biying Xu and Keren Zhu and Xiyuan Tang and Shaolan Li and Yibo Lin and Nan Sun and David Z. Pan}, title = {{MAGICAL:} An Open- Source Fully Automated Analog {IC} Layout System from Netlist to {GDSII}}, journal = {{IEEE} Des. Test}, volume = {38}, number = {2}, pages = {19--26}, year = {2021}, url = {https://doi.org/10.1109/MDAT.2020.3024153}, doi = {10.1109/MDAT.2020.3024153}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ChenLXZTLLSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiLCJR21, author = {Chenyang Li and Jun Li and Huiling Chen and Ming Jin and Hao Ren}, title = {Enhanced Harris hawks optimization with multi-strategy for global optimization tasks}, journal = {Expert Syst. Appl.}, volume = {185}, pages = {115499}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115499}, doi = {10.1016/J.ESWA.2021.115499}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LiLCJR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiuSCHGWCL21, author = {Yun Liu and Yanqing Shi and Hao Chen and Ali Asghar Heidari and Wenyong Gui and Mingjing Wang and Huiling Chen and Chengye Li}, title = {Chaos-assisted multi-population salp swarm algorithms: Framework and case studies}, journal = {Expert Syst. Appl.}, volume = {168}, pages = {114369}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2020.114369}, doi = {10.1016/J.ESWA.2020.114369}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LiuSCHGWCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/JiaYCLLH21, author = {Kejun Jia and Boran Yang and Minghua Cao and Ying Lin and Suoping Li and Li Hao}, title = {An Adaptive Symbol Decomposition With Serial Transmission for O-OFDM-Based {VLC} System}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {3}, pages = {916--920}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2020.3041122}, doi = {10.1109/LCOMM.2020.3041122}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/JiaYCLLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiangJCCWL21, author = {Pengpeng Liang and Haoxuanye Ji and Erkang Cheng and Yumei Chai and Liming Wang and Haibin Ling}, title = {Learning local descriptors with multi-level feature aggregation and spatial context pyramid}, journal = {Neurocomputing}, volume = {461}, pages = {99--108}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.07.030}, doi = {10.1016/J.NEUCOM.2021.07.030}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiangJCCWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiangJWCWLL21, author = {Pengpeng Liang and Haoxuanye Ji and Yifan Wu and Yumei Chai and Liming Wang and Chunyuan Liao and Haibin Ling}, title = {Planar object tracking benchmark in the wild}, journal = {Neurocomputing}, volume = {454}, pages = {254--267}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.05.030}, doi = {10.1016/J.NEUCOM.2021.05.030}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiangJWCWLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/YangLRWHPDYTL21, author = {Hui Yang and Yamei Luo and Xiaolei Ren and Ming Wu and Xiaolin He and Bowen Peng and Kejun Deng and Dan Yan and Hua Tang and Hao Lin}, title = {Risk Prediction of Diabetes: Big data mining with fusion of multifarious physical examination indicators}, journal = {Inf. Fusion}, volume = {75}, pages = {140--149}, year = {2021}, url = {https://doi.org/10.1016/j.inffus.2021.02.015}, doi = {10.1016/J.INFFUS.2021.02.015}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/YangLRWHPDYTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/LiCYDMY21, author = {Lin Li and Tiejun Ci and Xiaoyu Yang and Heng Du and Haocan Ma and Ming Yang}, title = {Entropy method of determining the attribute weights of interval numbers based on relative superiority}, journal = {J. Comput. Methods Sci. Eng.}, volume = {21}, number = {5}, pages = {1105--1112}, year = {2021}, url = {https://doi.org/10.3233/JCM-204730}, doi = {10.3233/JCM-204730}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcmse/LiCYDMY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/WuSLH21, author = {Mu{-}En Wu and Jia{-}Hao Syu and Jerry Chun{-}Wei Lin and Jan{-}Ming Ho}, title = {Evolutionary ORB-based model with protective closing strategies}, journal = {Knowl. Based Syst.}, volume = {216}, pages = {106769}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.106769}, doi = {10.1016/J.KNOSYS.2021.106769}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/WuSLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/XiongWTWL21, author = {Haobo Xiong and Shuting Wang and Mingrong Tang and Liping Wang and Xuemin Lin}, title = {Knowledge Graph Question Answering with semantic oriented fusion model}, journal = {Knowl. Based Syst.}, volume = {221}, pages = {106954}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.106954}, doi = {10.1016/J.KNOSYS.2021.106954}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/XiongWTWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LuYWLHLYC21, author = {Hao{-}Wei Lu and Xiao{-}Peng Yu and Si{-}Qi Wang and Yu{-}Yan Liu and Zhenyan Huang and Zhenghao Lu and Kiat Seng Yeo and Jer{-}Ming Chen}, title = {A digital background calibration scheme for non-linearity of {SAR} {ADC} using back-propagation algorithm}, journal = {Microelectron. J.}, volume = {114}, pages = {105113}, year = {2021}, url = {https://doi.org/10.1016/j.mejo.2021.105113}, doi = {10.1016/J.MEJO.2021.105113}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/LuYWLHLYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/GurumayumJHCYKG21, author = {Sanathoi Gurumayum and Puzi Jiang and Xiaowen Hao and Tulio de Lima Campos and Neil D. Young and Pasi K. Korhonen and Robin B. Gasser and Peer Bork and Xing{-}Ming Zhao and Lijie He and Wei{-}Hua Chen}, title = {{OGEE} v3: Online GEne Essentiality database with increased coverage of organisms and human cell lines}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D998--D1003}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa884}, doi = {10.1093/NAR/GKAA884}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/GurumayumJHCYKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueB0ZXHZLZCSMZ21, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Shuhui Song and Lina Ma and Dong Zou and Dongmei Tian and Cuiping Li and Junwei Zhu and Zheng Gong and Meili Chen and Anke Wang and Yingke Ma and Mengwei Li and Xufei Teng and Ying Cui and Guangya Duan and Mochen Zhang and Tong Jin and Chengmin Shi and Zhenglin Du and Yadong Zhang and Chuandong Liu and Rujiao Li and Jingyao Zeng and Lili Hao and Shuai Jiang and Hua Chen and Dali Han and Tao Zhang and Wang Kang and Fei Yang and Jing Qu and Weiqi Zhang and Guanghui Liu and Lin Liu and Yang Zhang and Guangyi Niu and Tongtong Zhu and Changrui Feng and Xiaonan Liu and Yuansheng Zhang and Zhao Li and Ruru Chen and Qianpeng Li and Zhongyi Hua and Chao Jiang and Ziyuan Chen and Fangshu He and Yuyang Zhao and Yan Jin and Luqi Huang and Yuan Yuan and Chenfen Zhou and Qingwei Xu and Sheng He and Wei Ye and Ruifang Cao and Pengyu Wang and Yunchao Ling and Xing Yan and Qingzhong Wang and Qiang Du and Wenting Zong and Hongen Kang and Zhuang Xiong and Wendi Huan and Sirui Zhang and Qiguang Xia and Xiaojuan Fan and Zefeng Wang and Xu Chen and Tingting Chen and Sisi Zhang and Bixia Tang and Lili Dong and Zhewen Zhang and Zhonghuang Wang and Hailong Kang and Yanqing Wang and Song Wu and Ming Chen and Chang Liu and Yujia Xiong and Xueying Shao and Yanyan Li and Honghong Zhou and Xiaomin Chen and Yu Zheng and Quan Kang and Di Hao and Lili Zhang and Huaxia Luo and Yajing Hao and Peng Zhang and Zhi Nie and Shuhuan Yu and Jian Sang and Zhaohua Li and Xiangquan Zhang and Qing Zhou and Shuang Zhai and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Jun Yan and Chen Li and Zhennan Wang and Xiangfeng Wang and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Hai{-}Chun Jing and Lianhe Zhao and Jiajia Wang and Tinrui Song and Yi Zhao and Furrukh Mehmood and Shahid Ali and Amjad Ali and Shoaib Saleem and Irfan Hussain and Amir Ali Abbasi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Yiran Tu and Yu Xue and Wanying Wu and Peifeng Ji and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Wanshan Ning and Shaofeng Lin and Teng Liu and An{-}Yuan Guo and Hao Yuan and Yong E. Zhang and Xiaodan Tan and Weizhi Zhang and Yubin Xie and Chenwei Wang and Chun{-}Jie Liu and De{-}Chang Yang and Feng Tian and Ge Gao and Dachao Tang and Lan Yao and Qinghua Cui and Ni A. An and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D18--D28}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1022}, doi = {10.1093/NAR/GKAA1022}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueB0ZXHZLZCSMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/AgiwalLMRSZZCCD21, author = {Ankur Agiwal and Kevin Lai and Gokul Nath Babu Manoharan and Indrajit Roy and Jagan Sankaranarayanan and Hao Zhang and Tao Zou and Jim Chen and Min Chen and Ming Dai and Thanh Do and Haoyu Gao and Haoyan Geng and Raman Grover and Bo Huang and Yanlai Huang and Adam Li and Jianyi Liang and Tao Lin and Li Liu and Yao Liu and Xi Mao and Maya Meng and Prashant Mishra and Jay Patel and Rajesh Sr and Vijayshankar Raman and Sourashis Roy and Mayank Singh Shishodia and Tianhang Sun and Justin Tang and Jun'ichi Tatemura and Sagar Trehan and Ramkumar Vadali and Prasanna Venkatasubramanian and Joey Zhang and Kefei Zhang and Yupu Zhang and Zeleng Zhuang and Goetz Graefe and Divy Agrawal and Jeffrey F. Naughton and Sujata Kosalge and Hakan Hacig{\"{u}}m{\"{u}}s}, title = {Napa: Powering Scalable Data Warehousing with Robust Query Performance at Google}, journal = {Proc. {VLDB} Endow.}, volume = {14}, number = {12}, pages = {2986--2998}, year = {2021}, url = {http://www.vldb.org/pvldb/vol14/p2986-sankaranarayanan.pdf}, doi = {10.14778/3476311.3476377}, timestamp = {Thu, 12 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/AgiwalLMRSZZCCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LengLHHWXZF21, author = {Yuquan Leng and Xin Lin and Guan Huang and Ming Hao and Jing Wu and Yanzhen Xiang and Kuangen Zhang and Chenglong Fu}, title = {Wheel-Legged Robotic Limb to Assist Human With Load Carriage: An Application For Environmental Disinfection During {COVID-19}}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {2}, pages = {3695--3702}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3065197}, doi = {10.1109/LRA.2021.3065197}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LengLHHWXZF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/ZhangCHLLG21, author = {Wei Zhang and Hongtai Cheng and Lina Hao and Xingchen Li and Mingfang Liu and Xifeng Gao}, title = {An obstacle avoidance algorithm for robot manipulators based on decision-making force}, journal = {Robotics Comput. Integr. Manuf.}, volume = {71}, pages = {102114}, year = {2021}, url = {https://doi.org/10.1016/j.rcim.2020.102114}, doi = {10.1016/J.RCIM.2020.102114}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/ZhangCHLLG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KangCYCKYLLLLCN21, author = {Keng{-}Hao Kang and Wei{-}An Chao and Che{-}Ming Yang and Ming{-}Chien Chung and Yu{-}Ting Kuo and Chih{-}Hsiang Yeh and Hsin{-}Chang Liu and Chun{-}Hung Lin and Chih{-}Pin Lin and Jyh{-}Jong Liao and Jui{-}Ming Chang and Yin Jeh Ngui and Chien{-}Hsin Chen and Tung{-}Lin Tai}, title = {Rigidity Strengthening of Landslide Materials Measured by Seismic Interferometry}, journal = {Remote. Sens.}, volume = {13}, number = {14}, pages = {2834}, year = {2021}, url = {https://doi.org/10.3390/rs13142834}, doi = {10.3390/RS13142834}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KangCYCKYLLLLCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/HaoWZZXW21, author = {Bowei Hao and Guoyong Wang and Mingchuan Zhang and Junlong Zhu and Ling Xing and Qingtao Wu}, title = {Stochastic Adaptive Forwarding Strategy Based on Deep Reinforcement Learning for Secure Mobile Video Communications in {NDN}}, journal = {Secur. Commun. Networks}, volume = {2021}, pages = {6630717:1--6630717:13}, year = {2021}, url = {https://doi.org/10.1155/2021/6630717}, doi = {10.1155/2021/6630717}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/HaoWZZXW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChaiLZSYLL21, author = {Wennan Chai and Chao Li and Mingyue Zhang and Zhen Sun and Hao Yuan and Fanyu Lin and Qingdang Li}, title = {An Enhanced Pedestrian Visual-Inertial {SLAM} System Aided with Vanishing Point in Indoor Environments}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7428}, year = {2021}, url = {https://doi.org/10.3390/s21227428}, doi = {10.3390/S21227428}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChaiLZSYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/YangWCLCC21, author = {Ming{-}Chang Yang and Chun{-}Feng Wu and Shuo{-}Han Chen and Yi{-}Ling Lin and Che{-}Wei Chang and Yuan{-}Hao Chang}, title = {On Minimizing Internal Data Migrations of Flash Devices via Lifetime-Retention Harmonization}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {3}, pages = {428--439}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2989554}, doi = {10.1109/TC.2020.2989554}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/YangWCLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChiLHLC21, author = {Hao{-}Yu Chi and Zi{-}Jun Lin and Chia{-}Hao Hung and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {A Style-Based Analog Layout Migration Technique With Complete Routing Behavior Preservation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {12}, pages = {2556--2567}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3046561}, doi = {10.1109/TCAD.2020.3046561}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChiLHLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HaoCCZL21, author = {Yuzhi Hao and Mingyi Chen and Weifu Chen and Yang Zhao and Yongfu Li}, title = {An Active Electrode for Vital Signal Acquisition With Accurately-Tunable Sub-Hz High-Pass-Corner Frequency and 164-mV{\unicode{8346}}{\unicode{8346}} Linear-Input-Range}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {5}, pages = {1610--1614}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3065973}, doi = {10.1109/TCSII.2021.3065973}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/HaoCCZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LiYZZWZHDNW21, author = {Mingyuan Li and Xinan Yue and Biqiang Zhao and Ning Zhang and Junyi Wang and Lingqi Zeng and Honglian Hao and Feng Ding and Baiqi Ning and Weixing Wan}, title = {Simulation of the Signal-to-Noise Ratio of Sanya Incoherent Scatter Radar Tristatic System}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {4}, pages = {2982--2993}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3008427}, doi = {10.1109/TGRS.2020.3008427}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/LiYZZWZHDNW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/PengLSBLZ21, author = {Qi Peng and Xiangchuan Lin and Hao Shi and Junlin Bao and Xiaoming Li and Yiqi Zhuang}, title = {A Support Vector Machine Classification-Based Signal Detection Method in Ultrahigh-Frequency Radio Frequency Identification Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {7}, pages = {4646--4656}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3015241}, doi = {10.1109/TII.2020.3015241}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/PengLSBLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/GaoWYLLZ21, author = {Lin Gao and Tong Wu and Yu{-}Jie Yuan and Ming{-}Xian Lin and Yu{-}Kun Lai and Hao (Richard) Zhang}, title = {{TM-NET:} deep generative networks for textured meshes}, journal = {{ACM} Trans. Graph.}, volume = {40}, number = {6}, pages = {263:1--263:15}, year = {2021}, url = {https://doi.org/10.1145/3478513.3480503}, doi = {10.1145/3478513.3480503}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/GaoWYLLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/YangWLMYQ21, author = {Fan Yang and Mingjie Wei and Zenan Ling and Tiebin Mi and Haosen Yang and Robert C. Qiu}, title = {Brown Measure Based Spectral Distribution Analysis for Spatial-Temporal Localization of Cascading Events in Power Grids}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {2}, pages = {1805--1820}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3037542}, doi = {10.1109/TSG.2020.3037542}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/YangWLMYQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinCHSCL21, author = {Jai{-}Ming Lin and Tai{-}Ting Chen and Hao{-}Yuan Hsieh and Ya{-}Ting Shyu and Yeong{-}Jar Chang and Juin{-}Ming Lu}, title = {Thermal-Aware Fixed-Outline Floorplanning Using Analytical Models With Thermal-Force Modulation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {5}, pages = {985--997}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3062669}, doi = {10.1109/TVLSI.2021.3062669}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LinCHSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinCHSCL21a, author = {Jai{-}Ming Lin and Wei{-}Yi Chang and Hao{-}Yuan Hsieh and Ya{-}Ting Shyu and Yeong{-}Jar Chang and Juin{-}Ming Lu}, title = {Thermal-Aware Floorplanning and TSV-Planning for Mixed-Type Modules in a Fixed-Outline 3-D {IC}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {9}, pages = {1652--1664}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3100343}, doi = {10.1109/TVLSI.2021.3100343}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LinCHSCL21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/QianZBWQ0YL20, author = {Lihua Qian and Hao Zhou and Yu Bao and Mingxuan Wang and Lin Qiu and Weinan Zhang and Yong Yu and Lei Li}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Glancing Transformer for Non-Autoregressive Neural Machine Translation}, booktitle = {Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL/IJCNLP} 2021, (Volume 1: Long Papers), Virtual Event, August 1-6, 2021}, pages = {1993--2003}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.acl-long.155}, doi = {10.18653/V1/2021.ACL-LONG.155}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/QianZBWQ0YL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/JiaoLXZ21, author = {Haozhe Jiao and Juntao Lin and Shibo Xu and Mingyang Zhou}, title = {Research on Nonlinear Correlation Tracking Technology of Financial Data Mining Based on Cloud Computing}, booktitle = {{AIAM} 2021: 3rd International Conference on Artificial Intelligence and Advanced Manufacture, Manchester, United Kingdom, October 23 - 25, 2021}, pages = {3005--3009}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3495018.3501224}, doi = {10.1145/3495018.3501224}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aiam/JiaoLXZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/XiaoZJC021, author = {Taihong Xiao and Xin{-}Yu Zhang and Hao{-}Lin Jia and Ming{-}Ming Cheng and Ming{-}Hsuan Yang}, editor = {Arindam Banerjee and Kenji Fukumizu}, title = {Semi-Supervised Learning with Meta-Gradient}, booktitle = {The 24th International Conference on Artificial Intelligence and Statistics, {AISTATS} 2021, April 13-15, 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {130}, pages = {73--81}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v130/xiao21a.html}, timestamp = {Wed, 14 Apr 2021 16:51:37 +0200}, biburl = {https://dblp.org/rec/conf/aistats/XiaoZJC021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/HanHDSFXLZBY21, author = {Shuai D. Han and Baichuan Huang and Sijie Ding and Changkyu Song and Si Wei Feng and Ming Xu and Hao Lin and Qingze Zou and Abdeslam Boularias and Jingjin Yu}, title = {Toward Fully Automated Metal Recycling using Computer Vision and Non-Prehensile Manipulation}, booktitle = {17th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2021, Lyon, France, August 23-27, 2021}, pages = {891--898}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CASE49439.2021.9551431}, doi = {10.1109/CASE49439.2021.9551431}, timestamp = {Fri, 08 Oct 2021 19:47:37 +0200}, biburl = {https://dblp.org/rec/conf/case/HanHDSFXLZBY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/DingX21, author = {Haochen Ding and Ming Xin}, title = {Extended Event-Triggered Consensus Strategy for Linear Multi-Agent Systems Based on Condensation Graph}, booktitle = {2021 60th {IEEE} Conference on Decision and Control (CDC), Austin, TX, USA, December 14-17, 2021}, pages = {6934--6939}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CDC45484.2021.9683212}, doi = {10.1109/CDC45484.2021.9683212}, timestamp = {Tue, 17 May 2022 15:53:17 +0200}, biburl = {https://dblp.org/rec/conf/cdc/DingX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/QiuLWPWCJLH0021, author = {Minghui Qiu and Peng Li and Chengyu Wang and Haojie Pan and Ang Wang and Cen Chen and Xianyan Jia and Yaliang Li and Jun Huang and Deng Cai and Wei Lin}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {EasyTransfer: {A} Simple and Scalable Deep Transfer Learning Platform for {NLP} Applications}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {4075--4084}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3481911}, doi = {10.1145/3459637.3481911}, timestamp = {Sun, 23 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/QiuLWPWCJLH0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuLLRJHF0L21, author = {Zhen Liu and Wenjie Lin and Xinpeng Li and Qing Rao and Ting Jiang and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu}, title = {ADNet: Attention-Guided Deformable Convolutional Network for High Dynamic Range Imaging}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2021, virtual, June 19-25, 2021}, pages = {463--470}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021W/NTIRE/html/Liu\_ADNet\_Attention-Guided\_Deformable\_Convolutional\_Network\_for\_High\_Dynamic\_Range\_Imaging\_CVPRW\_2021\_paper.html}, doi = {10.1109/CVPRW53098.2021.00057}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiuLLRJHF0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhugeGFJCZQ021, author = {Mingchen Zhuge and Dehong Gao and Deng{-}Ping Fan and Linbo Jin and Ben Chen and Haoming Zhou and Minghui Qiu and Ling Shao}, title = {Kaleido-BERT: Vision-Language Pre-Training on Fashion Domain}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {12647--12657}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Zhuge\_Kaleido-BERT\_Vision-Language\_Pre-Training\_on\_Fashion\_Domain\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01246}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhugeGFJCZQ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcs2/PengQZZXWH21, author = {Hao Peng and Zhen Qian and Ming Zhong and Dandan Zhao and Guangquan Xu and Songyang Wu and Jianming Han}, editor = {Chunjie Cao and Yuqing Zhang and Yuan Hong and Ding Wang}, title = {System Security Analysis of Different Link Proportions Between Nodes in the Cyber-Physical System Against Target Attack}, booktitle = {Frontiers in Cyber Security - 4th International Conference, {FCS} 2021, Haikou, China, December 17-19, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1558}, pages = {230--242}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-19-0523-0\_15}, doi = {10.1007/978-981-19-0523-0\_15}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fcs2/PengQZZXWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChienLHHL21, author = {Chung{-}Ming Chien and Jheng{-}Hao Lin and Chien{-}yu Huang and Po{-}Chun Hsu and Hung{-}yi Lee}, title = {Investigating on Incorporating Pretrained and Learnable Speaker Representations for Multi-Speaker Multi-Style Text-to-Speech}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {8588--8592}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413880}, doi = {10.1109/ICASSP39728.2021.9413880}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChienLHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LinCLLL21, author = {Yist Y. Lin and Chung{-}Ming Chien and Jheng{-}Hao Lin and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Fragmentvc: Any-To-Any Voice Conversion by End-To-End Extracting and Fusing Fine-Grained Voice Fragments with Attention}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {5939--5943}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413699}, doi = {10.1109/ICASSP39728.2021.9413699}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LinCLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/TsaiCYYCCY21, author = {Shu{-}Min Tsai and Ming{-}Lin Chuang and Hong{-}Wei Yan and Yung{-}Cheng Yao and Guan{-}Wei Chen and Lu{-}Hung Chen and Hao{-}An Yang}, title = {Identification System of Fish Freshness Based on Deep Learning}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602879}, doi = {10.1109/ICCE-TW52618.2021.9602879}, timestamp = {Tue, 23 Nov 2021 09:27:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/TsaiCYYCCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LinWSCS00021, author = {Ming Lin and Pichao Wang and Zhenhong Sun and Hesen Chen and Xiuyu Sun and Qi Qian and Hao Li and Rong Jin}, title = {Zen-NAS: {A} Zero-Shot {NAS} for High-Performance Image Recognition}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {337--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00040}, doi = {10.1109/ICCV48922.2021.00040}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LinWSCS00021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/Yu0ZZWFFL21, author = {Bin Yu and Ming Tang and Linyu Zheng and Guibo Zhu and Jinqiao Wang and Hao Feng and Xuetao Feng and Hanqing Lu}, title = {High-Performance Discriminative Tracking with Transformers}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {9836--9845}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00971}, doi = {10.1109/ICCV48922.2021.00971}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/Yu0ZZWFFL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/LiuHWWYZZZGHHHL21, author = {Zhihao Liu and Zhijian He and Lujia Wang and Wenguan Wang and Yixuan Yuan and Dingwen Zhang and Jinglin Zhang and Pengfei Zhu and Luc Van Gool and Junwei Han and Steven C. H. Hoi and Qinghua Hu and Ming Liu and Junwen Pan and Baoqun Yin and Binyu Zhang and Chengxin Liu and Ding Ding and Dingkang Liang and Guanchen Ding and Hao Lu and Hui Lin and Jingyuan Chen and Jiong Li and Liang Liu and Lin Zhou and Min Shi and Qianqian Yang and Qing He and Sifan Peng and Wei Xu and Wenwei Han and Xiang Bai and Xiwu Chen and Yabin Wang and Yinfeng Xia and Yiran Tao and Zhenzhong Chen and Zhiguo Cao}, title = {VisDrone-CC2021: The Vision Meets Drone Crowd Counting Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2830--2838}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00317}, doi = {10.1109/ICCVW54120.2021.00317}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/LiuHWWYZZZGHHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/XiaDQXHBYLBLDPZ21, author = {Gui{-}Song Xia and Jian Ding and Ming Qian and Nan Xue and Jiaming Han and Xiang Bai and Michael Ying Yang and Shengyang Li and Serge J. Belongie and Jiebo Luo and Mihai Datcu and Marcello Pelillo and Liangpei Zhang and Qiang Zhou and Chao{-}hui Yu and Kaixuan Hu and Yingjia Bu and Wenming Tan and Zhe Yang and Wei Li and Shang Liu and Jiaxuan Zhao and Tianzhi Ma and Zi{-}han Gao and Lingqi Wang and Yi Zuo and Licheng Jiao and Chang Meng and Hao Wang and Jiahao Wang and Yiming Hui and Zhuojun Dong and Jie Zhang and Qianyue Bao and Zixiao Zhang and Fang Liu}, title = {{LUAI} Challenge 2021 on Learning to Understand Aerial Images}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {762--768}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00090}, doi = {10.1109/ICCVW54120.2021.00090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/XiaDQXHBYLBLDPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/QianTSLLSHJ21, author = {Yichen Qian and Zhiyu Tan and Xiuyu Sun and Ming Lin and Dongyang Li and Zhenhong Sun and Hao Li and Rong Jin}, title = {Learning Accurate Entropy Model with Global Reference for Image Compression}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=cTbIjyrUVwJ}, timestamp = {Thu, 16 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/QianTSLLSHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmtel/HanLDXYSSWP21, author = {Lin Han and Liang Lu and Haoran Dong and Shuangbo Xie and Gang Yu and Tao Shen and Mingxu Sun and Tianyi Wang and Xuqun Pei}, editor = {Weina Fu and Yuan Xu and Shui{-}Hua Wang and Yudong Zhang}, title = {Feature Extraction Method of {EEG} Signal Based on Synchroextracting Transform}, booktitle = {Multimedia Technology and Enhanced Learning - Third {EAI} International Conference, {ICMTEL} 2021, Virtual Event, April 8-9, 2021, Proceedings, Part {II}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {388}, pages = {462--468}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-82565-2\_38}, doi = {10.1007/978-3-030-82565-2\_38}, timestamp = {Tue, 30 Apr 2024 13:37:27 +0200}, biburl = {https://dblp.org/rec/conf/icmtel/HanLDXYSSWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/JiaoZYHYJWL21, author = {Jianhao Jiao and Yilong Zhu and Haoyang Ye and Huaiyang Huang and Peng Yun and Lingxin Jiang and Lujia Wang and Ming Liu}, title = {Greedy-Based Feature Selection for Efficient LiDAR {SLAM}}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {5222--5228}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561262}, doi = {10.1109/ICRA48506.2021.9561262}, timestamp = {Sun, 12 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/JiaoZYHYJWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SafderZZZHLZLWL21, author = {Qurratulain Safder and Haoyu Zhang and Mingcang Zhu and Fangrong Zhou and Yong He and Lifeng Liu and Zezhong Zheng and Zhongnian Li and Zhiyong Wang and Mingqi Li and Ling Jiang and Qiang Liu and Xuemei Li}, title = {Himawari Thermal Anomaly Scrutiny with Deep Learning}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {4904--4907}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554073}, doi = {10.1109/IGARSS47720.2021.9554073}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/SafderZZZHLZLWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YanZYWC21, author = {Haotian Yan and Chuang Zhang and Junli Yang and Ming Wu and Jinyu Chen}, title = {Did-Linknet: Polishing D-Block with Dense Connection and Iterative Fusion for Road Extraction}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {2186--2189}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554534}, doi = {10.1109/IGARSS47720.2021.9554534}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YanZYWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhangZZZHL0WLJL21, author = {Haoyu Zhang and Zezhong Zheng and Mingcang Zhu and Fangrong Zhou and Yong He and Zhongnian Li and Guoqing Zhou and Zhiyong Wang and Mingqi Li and Ling Jiang and Qiang Liu and Xuemei Li}, title = {The Reprocessing for Himawari-8 Based on Deep Learning}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {4107--4110}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553821}, doi = {10.1109/IGARSS47720.2021.9553821}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ZhangZZZHL0WLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LitLWZ21, author = {Mingchao Li and Haozhuang Liu and Yang Wang and Hai{-}Tao Zheng}, title = {Bilingual Self-Attention Network: Generating Headlines for Online Linguistic Questions}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533288}, doi = {10.1109/IJCNN52387.2021.9533288}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LitLWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LinLCL21, author = {Jheng{-}Hao Lin and Yist Y. Lin and Chung{-}Ming Chien and Hung{-}yi Lee}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {{S2VC:} {A} Framework for Any-to-Any Voice Conversion with Self-Supervised Pretrained Representations}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {836--840}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-1356}, doi = {10.21437/INTERSPEECH.2021-1356}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LinLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ZhangZYDYLWJ21, author = {Tingting Zhang and Mingqi Zhang and Lintao Yang and Tao Dong and Jie Yin and Zhihui Liu and Jing Wu and Hao Jiang}, title = {Satellite Network Traffic Scheduling Algorithm Based on Multi-Agent Reinforcement Learning}, booktitle = {2021 {IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking (ISPA/BDCloud/SocialCom/SustainCom), New York City, NY, USA, September 30 - Oct. 3, 2021}, pages = {761--768}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom52081.2021.00109}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM52081.2021.00109}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ZhangZYDYLWJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/WuKHLY21, author = {Jian{-}Ming Wu and Sian{-}Wei Ke and Teng{-}Hao Hsu and Yuan{-}Chih Lin and Min{-}Lang Yang}, title = {Study of Reducing Phase Error in {QVCO} {RFIC} Using Generalized Locking Equation}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9650975}, doi = {10.1109/ISPACS51563.2021.9650975}, timestamp = {Wed, 12 Jan 2022 09:10:22 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/WuKHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YousryCYAEELLRW21, author = {Ramy Yousry and Ehung Chen and Yu{-}Ming Ying and Mohammed Abdullatif and Mohammad Elbadry and Ahmed ElShater and Tsz{-}Bin Liu and Joonyeong Lee and Dhinessh Ramachandran and Kaiz Wang and Chih{-}Hao Weng and Mau{-}Lin Wu and Tamer A. Ali}, title = {11.1 {A} 1.7pJ/b 112Gb/s {XSR} Transceiver for Intra-Package Communication in 7nm FinFET Technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {180--182}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365752}, doi = {10.1109/ISSCC42613.2021.9365752}, timestamp = {Fri, 08 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YousryCYAEELLRW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/0001PLCQZHCL021, author = {Chengyu Wang and Haojie Pan and Yuan Liu and Kehan Chen and Minghui Qiu and Wei Zhou and Jun Huang and Haiqing Chen and Wei Lin and Deng Cai}, editor = {Feida Zhu and Beng Chin Ooi and Chunyan Miao}, title = {MeLL: Large-scale Extensible User Intent Classification for Dialogue Systems with Meta Lifelong Learning}, booktitle = {{KDD} '21: The 27th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Virtual Event, Singapore, August 14-18, 2021}, pages = {3649--3659}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447548.3467107}, doi = {10.1145/3447548.3467107}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/0001PLCQZHCL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ksem/ZhaoZLWC21, author = {Guojie Zhao and Yupeng Zhang and Peichu Liu and Haoen Wu and Mingyang Cui}, editor = {Han Qiu and Cheng Zhang and Zongming Fei and Meikang Qiu and Sun{-}Yuan Kung}, title = {Accurate and Robust {RGB-D} Visual Odometry Based on Point and Line Features}, booktitle = {Knowledge Science, Engineering and Management - 14th International Conference, {KSEM} 2021, Tokyo, Japan, August 14-16, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12816}, pages = {500--510}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-82147-0\_41}, doi = {10.1007/978-3-030-82147-0\_41}, timestamp = {Wed, 28 Sep 2022 15:27:24 +0200}, biburl = {https://dblp.org/rec/conf/ksem/ZhaoZLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/m2vip/ZhangHWCLS021, author = {Kuangen Zhang and Ming Hao and Jing Wang and Xinxing Chen and Yuquan Leng and Clarence W. de Silva and Chenglong Fu}, title = {Linked Dynamic Graph {CNN:} Learning through Point Cloud by Linking Hierarchical Features}, booktitle = {27th International Conference on Mechatronics and Machine Vision in Practice, {M2VIP} 2021, Shanghai, China, November 26-28, 2021}, pages = {7--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/M2VIP49856.2021.9665104}, doi = {10.1109/M2VIP49856.2021.9665104}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/m2vip/ZhangHWCLS021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/GuanLXYL21, author = {Hao Guan and Yunbi Liu and Shifu Xiao and Ling Yue and Mingxia Liu}, editor = {Marleen de Bruijne and Philippe C. Cattin and St{\'{e}}phane Cotin and Nicolas Padoy and Stefanie Speidel and Yefeng Zheng and Caroline Essert}, title = {Cost-Sensitive Meta-learning for Progress Prediction of Subjective Cognitive Decline with Brain Structural {MRI}}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2021 - 24th International Conference, Strasbourg, France, September 27 - October 1, 2021, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12905}, pages = {248--258}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87240-3\_24}, doi = {10.1007/978-3-030-87240-3\_24}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/GuanLXYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GasseBCCCCCDGKK21, author = {Maxime Gasse and Simon Bowly and Quentin Cappart and Jonas Charfreitag and Laurent Charlin and Didier Ch{\'{e}}telat and Antonia Chmiela and Justin Dumouchelle and Ambros M. Gleixner and Aleksandr M. Kazachkov and Elias B. Khalil and Pawel Lichocki and Andrea Lodi and Miles Lubin and Chris J. Maddison and Christopher Morris and Dimitri J. Papageorgiou and Augustin Parjadis and Sebastian Pokutta and Antoine Prouvost and Lara Scavuzzo and Giulia Zarpellon and Linxin Yang and Sha Lai and Akang Wang and Xiaodong Luo and Xiang Zhou and Haohan Huang and Sheng Cheng Shao and Yuanming Zhu and Dong Zhang and Tao Quan and Zixuan Cao and Yang Xu and Zhewei Huang and Shuchang Zhou and Binbin Chen and Minggui He and Hao Hao and Zhiyu Zhang and Zhiwu An and Kun Mao}, editor = {Douwe Kiela and Marco Ciccone and Barbara Caputo}, title = {The Machine Learning for Combinatorial Optimization Competition {(ML4CO):} Results and Insights}, booktitle = {NeurIPS 2021 Competitions and Demonstrations Track, 6-14 December 2021, Online}, series = {Proceedings of Machine Learning Research}, volume = {176}, pages = {220--231}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v176/gasse22a.html}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GasseBCCCCCDGKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeCLLWTCWKLL21, author = {Shao{-}Yung Lee and Xin Chen and Wei{-}Chi Lo and Kangmei Li and Chia{-}Hsuan Wang and Cheng{-}Ting Tsai and Chih{-}Hsien Cheng and Chao{-}Hsin Wu and Hao{-}Chung Kuo and Ming{-}Jun Li and Gong{-}Ru Lin}, title = {850-nm Dual-Mode {VCSEL} Carried 53-Gbps {NRZ-OOK} Transmission in 100-m Graded-Index Single-Mode Fiber}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489901}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeCLLWTCWKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/YuLXSZL21, author = {Haomin Yu and Mingfei Liang and Ruobing Xie and Zhenlong Sun and Bo Zhang and Leyu Lin}, editor = {Yuxiao Dong and Nicolas Kourtellis and Barbara Hammer and Jos{\'{e}} Antonio Lozano}, title = {MMNet: Multi-granularity Multi-mode Network for Item-Level Share Rate Prediction}, booktitle = {Machine Learning and Knowledge Discovery in Databases. Applied Data Science Track - European Conference, {ECML} {PKDD} 2021, Bilbao, Spain, September 13-17, 2021, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12979}, pages = {201--217}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86517-7\_13}, doi = {10.1007/978-3-030-86517-7\_13}, timestamp = {Wed, 28 Jun 2023 16:19:42 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/YuLXSZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swisstext/LinWHTW21, author = {Hao{-}Lun Lin and Jr{-}Shian Wu and Yu{-}Shiang Huang and Ming{-}Feng Tsai and Chuan{-}Ju Wang}, editor = {Fernando Benites and Don Tuggener and Manuela H{\"{u}}rlimann and Mark Cieliebak and Manfred Vogel}, title = {NFinBERT: {A} Number-Aware Language Model for Financial Disclosures (short paper)}, booktitle = {Proceedings of the Swiss Text Analytics Conference 2021, Winterthur, Switzerland, June 14-16, 2021 (held online due to {COVID19} pandemic)}, series = {{CEUR} Workshop Proceedings}, volume = {2957}, publisher = {CEUR-WS.org}, year = {2021}, url = {https://ceur-ws.org/Vol-2957/paper5.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:18 +0100}, biburl = {https://dblp.org/rec/conf/swisstext/LinWHTW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/MaZ0XLLNZWP21, author = {Minghua Ma and Shenglin Zhang and Junjie Chen and Jim Xu and Haozhe Li and Yongliang Lin and Xiaohui Nie and Bo Zhou and Yong Wang and Dan Pei}, editor = {Irina Calciu and Geoff Kuenning}, title = {Jump-Starting Multivariate Time Series Anomaly Detection for Online Service Systems}, booktitle = {2021 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2021, July 14-16, 2021}, pages = {413--426}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/atc21/presentation/ma}, timestamp = {Thu, 12 Aug 2021 18:08:26 +0200}, biburl = {https://dblp.org/rec/conf/usenix/MaZ0XLLNZWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/ShenWGZLLZHDP021, author = {Kaiwen Shen and Chuhan Wang and Minglei Guo and Xiaofeng Zheng and Chaoyi Lu and Baojun Liu and Yuxuan Zhao and Shuang Hao and Haixin Duan and Qingfeng Pan and Min Yang}, editor = {Michael D. Bailey and Rachel Greenstadt}, title = {Weak Links in Authentication Chains: {A} Large-scale Analysis of Email Sender Spoofing Attacks}, booktitle = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, pages = {3201--3217}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/usenixsecurity21/presentation/shen-kaiwen}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/ShenWGZLLZHDP021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HsuLLLL21, author = {Hao{-}Wei Hsu and Yu{-}Chien Lin and Ming{-}Chun Lee and Chia{-}Hung Lin and Ta{-}Sung Lee}, title = {Deep Learning-Based Range-Doppler Map Reconstruction in Automotive Radar Systems}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9448786}, doi = {10.1109/VTC2021-SPRING51267.2021.9448786}, timestamp = {Fri, 18 Jun 2021 10:49:22 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HsuLLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmt/QianZZZLFCLWZ21, author = {Lihua Qian and Yi Zhou and Zaixiang Zheng and Yaoming Zhu and Zehui Lin and Jiangtao Feng and Shanbo Cheng and Lei Li and Mingxuan Wang and Hao Zhou}, editor = {Lo{\"{\i}}c Barrault and Ondrej Bojar and Fethi Bougares and Rajen Chatterjee and Marta R. Costa{-}juss{\`{a}} and Christian Federmann and Mark Fishel and Alexander Fraser and Markus Freitag and Yvette Graham and Roman Grundkiewicz and Paco Guzman and Barry Haddow and Matthias Huck and Antonio Jimeno{-}Yepes and Philipp Koehn and Tom Kocmi and Andr{\'{e}} Martins and Makoto Morishita and Christof Monz}, title = {The Volctrans {GLAT} System: Non-autoregressive Translation Meets {WMT21}}, booktitle = {Proceedings of the Sixth Conference on Machine Translation, WMT@EMNLP 2021, Online Event, November 10-11, 2021}, pages = {187--196}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://aclanthology.org/2021.wmt-1.17}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmt/QianZZZLFCLWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-01063, author = {Ming Lin and Pichao Wang and Zhenhong Sun and Hesen Chen and Xiuyu Sun and Qi Qian and Hao Li and Rong Jin}, title = {Zen-NAS: {A} Zero-Shot {NAS} for High-Performance Deep Image Recognition}, journal = {CoRR}, volume = {abs/2102.01063}, year = {2021}, url = {https://arxiv.org/abs/2102.01063}, eprinttype = {arXiv}, eprint = {2102.01063}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-01063.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-04088, author = {Chung{-}Ming Chien and Jheng{-}Hao Lin and Chien{-}yu Huang and Po{-}Chun Hsu and Hung{-}yi Lee}, title = {Investigating on Incorporating Pretrained and Learnable Speaker Representations for Multi-Speaker Multi-Style Text-to-Speech}, journal = {CoRR}, volume = {abs/2103.04088}, year = {2021}, url = {https://arxiv.org/abs/2103.04088}, eprinttype = {arXiv}, eprint = {2103.04088}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-04088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-13090, author = {Jianhao Jiao and Yilong Zhu and Haoyang Ye and Huaiyang Huang and Peng Yun and Lingxin Jiang and Lujia Wang and Ming Liu}, title = {Greedy-Based Feature Selection for Efficient LiDAR {SLAM}}, journal = {CoRR}, volume = {abs/2103.13090}, year = {2021}, url = {https://arxiv.org/abs/2103.13090}, eprinttype = {arXiv}, eprint = {2103.13090}, timestamp = {Sun, 12 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-13090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-13253, author = {Mingyu Ding and Yuqi Huo and Haoyu Lu and Linjie Yang and Zhe Wang and Zhiwu Lu and Jingdong Wang and Ping Luo}, title = {Learning Versatile Neural Architectures by Propagating Network Codes}, journal = {CoRR}, volume = {abs/2103.13253}, year = {2021}, url = {https://arxiv.org/abs/2103.13253}, eprinttype = {arXiv}, eprint = {2103.13253}, timestamp = {Thu, 10 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-13253.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16110, author = {Mingchen Zhuge and Dehong Gao and Deng{-}Ping Fan and Linbo Jin and Ben Chen and Haoming Zhou and Minghui Qiu and Ling Shao}, title = {Kaleido-BERT: Vision-Language Pre-training on Fashion Domain}, journal = {CoRR}, volume = {abs/2103.16110}, year = {2021}, url = {https://arxiv.org/abs/2103.16110}, eprinttype = {arXiv}, eprint = {2103.16110}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02901, author = {Jheng{-}Hao Lin and Yist Y. Lin and Chung{-}Ming Chien and Hung{-}yi Lee}, title = {{S2VC:} {A} Framework for Any-to-Any Voice Conversion with Self-Supervised Pretrained Representations}, journal = {CoRR}, volume = {abs/2104.02901}, year = {2021}, url = {https://arxiv.org/abs/2104.02901}, eprinttype = {arXiv}, eprint = {2104.02901}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02901.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-10781, author = {Ren Yang and Radu Timofte and Jing Liu and Yi Xu and Xinjian Zhang and Minyi Zhao and Shuigeng Zhou and Kelvin C. K. Chan and Shangchen Zhou and Xiangyu Xu and Chen Change Loy and Xin Li and Fanglong Liu and He Zheng and Lielin Jiang and Qi Zhang and Dongliang He and Fu Li and Qingqing Dang and Yibin Huang and Matteo Maggioni and Zhongqian Fu and Shuai Xiao and Cheng Li and Thomas Tanay and Fenglong Song and Wentao Chao and Qiang Guo and Yan Liu and Jiang Li and Xiaochao Qu and Dewang Hou and Jiayu Yang and Lyn Jiang and Di You and Zhenyu Zhang and Chong Mou and Iaroslav Koshelev and Pavel Ostyakov and Andrey Somov and Jia Hao and Xueyi Zou and Shijie Zhao and Xiaopeng Sun and Yiting Liao and Yuanzhi Zhang and Qing Wang and Gen Zhan and Mengxi Guo and Junlin Li and Ming Lu and Zhan Ma and Pablo Navarrete Michelini and Hai Wang and Yiyun Chen and Jingyu Guo and Liliang Zhang and Wenming Yang and Sijung Kim and Syehoon Oh and Yucong Wang and Minjie Cai and Wei Hao and Kangdi Shi and Liangyan Li and Jun Chen and Wei Gao and Wang Liu and Xiaoyu Zhang and Linjie Zhou and Sixin Lin and Ru Wang}, title = {{NTIRE} 2021 Challenge on Quality Enhancement of Compressed Video: Methods and Results}, journal = {CoRR}, volume = {abs/2104.10781}, year = {2021}, url = {https://arxiv.org/abs/2104.10781}, eprinttype = {arXiv}, eprint = {2104.10781}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-10781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07809, author = {Andrey Ignatov and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Anastasia Sycheva and Radu Timofte and Min{-}Hung Chen and Man{-}Yu Lee and Yu{-}Syuan Xu and Yu Tseng and Shusong Xu and Jin Guo and Chao{-}Hung Chen and Ming{-}Chun Hsyu and Wen{-}Chia Tsai and Chao{-}Wei Chen and Grigory Malivenko and Minsu Kwon and Myungje Lee and Jaeyoon Yoo and Changbeom Kang and Shinjo Wang and Zheng Shaolong and Hao Dejun and Xie Fen and Feng Zhuang and Yipeng Ma and Jingyang Peng and Tao Wang and Fenglong Song and Chih{-}Chung Hsu and Kwan{-}Lin Chen and Mei{-}Hsuang Wu and Vishal M. Chudasama and Kalpesh Prajapati and Heena Patel and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch and Etienne de Stoutz}, title = {Learned Smartphone {ISP} on Mobile NPUs with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.07809}, year = {2021}, url = {https://arxiv.org/abs/2105.07809}, eprinttype = {arXiv}, eprint = {2105.07809}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-10697, author = {Zhen Liu and Wenjie Lin and Xinpeng Li and Qing Rao and Ting Jiang and Mingyan Han and Haoqiang Fan and Jian Sun and Shuaicheng Liu}, title = {ADNet: Attention-guided Deformable Convolutional Network for High Dynamic Range Imaging}, journal = {CoRR}, volume = {abs/2105.10697}, year = {2021}, url = {https://arxiv.org/abs/2105.10697}, eprinttype = {arXiv}, eprint = {2105.10697}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-10697.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-13645, author = {Zeren Huang and Kerong Wang and Furui Liu and Hui{-}Ling Zhen and Weinan Zhang and Mingxuan Yuan and Jianye Hao and Yong Yu and Jun Wang}, title = {Learning to Select Cuts for Efficient Mixed-Integer Programming}, journal = {CoRR}, volume = {abs/2105.13645}, year = {2021}, url = {https://arxiv.org/abs/2105.13645}, eprinttype = {arXiv}, eprint = {2105.13645}, timestamp = {Thu, 27 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-13645.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14138, author = {Guanglei Yang and Hao Tang and Zhun Zhong and Mingli Ding and Ling Shao and Nicu Sebe and Elisa Ricci}, title = {Transformer-Based Source-Free Domain Adaptation}, journal = {CoRR}, volume = {abs/2105.14138}, year = {2021}, url = {https://arxiv.org/abs/2105.14138}, eprinttype = {arXiv}, eprint = {2105.14138}, timestamp = {Wed, 02 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-00515, author = {Pichao Wang and Xue Wang and Fan Wang and Ming Lin and Shuning Chang and Wen Xie and Hao Li and Rong Jin}, title = {{KVT:} k-NN Attention for Boosting Vision Transformers}, journal = {CoRR}, volume = {abs/2106.00515}, year = {2021}, url = {https://arxiv.org/abs/2106.00515}, eprinttype = {arXiv}, eprint = {2106.00515}, timestamp = {Thu, 16 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-00515.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-05384, author = {Ya Wang and Hesen Chen and Fangyi Zhang and Yaohua Wang and Xiuyu Sun and Ming Lin and Hao Li}, title = {Fine-Grained AutoAugmentation for Multi-Label Classification}, journal = {CoRR}, volume = {abs/2107.05384}, year = {2021}, url = {https://arxiv.org/abs/2107.05384}, eprinttype = {arXiv}, eprint = {2107.05384}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-05384.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-04682, author = {Xiangyan Sun and Ke Liu and Yuquan Lin and Lingjie Wu and Haoming Xing and Minghong Gao and Ji Liu and Suocheng Tan and Zekun Ni and Qi Han and Junqiu Wu and Jie Fan}, title = {ChemiRise: a data-driven retrosynthesis engine}, journal = {CoRR}, volume = {abs/2108.04682}, year = {2021}, url = {https://arxiv.org/abs/2108.04682}, eprinttype = {arXiv}, eprint = {2108.04682}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-04682.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-13246, author = {Gui{-}Song Xia and Jian Ding and Ming Qian and Nan Xue and Jiaming Han and Xiang Bai and Michael Ying Yang and Shengyang Li and Serge J. Belongie and Jiebo Luo and Mihai Datcu and Marcello Pelillo and Liangpei Zhang and Qiang Zhou and Chao{-}hui Yu and Kaixuan Hu and Yingjia Bu and Wenming Tan and Zhe Yang and Wei Li and Shang Liu and Jiaxuan Zhao and Tianzhi Ma and Zi{-}han Gao and Lingqi Wang and Yi Zuo and Licheng Jiao and Chang Meng and Hao Wang and Jiahao Wang and Yiming Hui and Zhuojun Dong and Jie Zhang and Qianyue Bao and Zixiao Zhang and Fang Liu}, title = {{LUAI} Challenge 2021 on Learning to Understand Aerial Images}, journal = {CoRR}, volume = {abs/2108.13246}, year = {2021}, url = {https://arxiv.org/abs/2108.13246}, eprinttype = {arXiv}, eprint = {2108.13246}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-13246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-11247, author = {Lihua Qian and Yi Zhou and Zaixiang Zheng and Yaoming Zhu and Zehui Lin and Jiangtao Feng and Shanbo Cheng and Lei Li and Mingxuan Wang and Hao Zhou}, title = {The Volctrans {GLAT} System: Non-autoregressive Translation Meets {WMT21}}, journal = {CoRR}, volume = {abs/2109.11247}, year = {2021}, url = {https://arxiv.org/abs/2109.11247}, eprinttype = {arXiv}, eprint = {2109.11247}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-11247.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-14136, author = {Hao Lin and Weiqi Luo and Kangkang Wei and Minglin Liu}, title = {Improved Xception with Dual Attention Mechanism and Feature Fusion for Face Forgery Detection}, journal = {CoRR}, volume = {abs/2109.14136}, year = {2021}, url = {https://arxiv.org/abs/2109.14136}, eprinttype = {arXiv}, eprint = {2109.14136}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-14136.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-00747, author = {Chien{-}Ming Lin and Hao{-}Chung Cheng and Yen{-}Huan Li}, title = {Maximum-Likelihood Quantum State Tomography by Cover's Method with Non-Asymptotic Analysis}, journal = {CoRR}, volume = {abs/2110.00747}, year = {2021}, url = {https://arxiv.org/abs/2110.00747}, eprinttype = {arXiv}, eprint = {2110.00747}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-00747.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-05897, author = {Xiangru Lian and Binhang Yuan and Xuefeng Zhu and Yulong Wang and Yongjun He and Honghuan Wu and Lei Sun and Haodong Lyu and Chengjun Liu and Xing Dong and Yiqiao Liao and Mingnan Luo and Congfei Zhang and Jingru Xie and Haonan Li and Lei Chen and Renjie Huang and Jianying Lin and Chengchun Shu and Xuezhong Qiu and Zhishan Liu and Dongying Kong and Lei Yuan and Hai Yu and Sen Yang and Ce Zhang and Ji Liu}, title = {Persia: An Open, Hybrid System Scaling Deep Learning-based Recommenders up to 100 Trillion Parameters}, journal = {CoRR}, volume = {abs/2111.05897}, year = {2021}, url = {https://arxiv.org/abs/2111.05897}, eprinttype = {arXiv}, eprint = {2111.05897}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-05897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-03109, author = {Yinglin Zheng and Hao Yang and Ting Zhang and Jianmin Bao and Dongdong Chen and Yangyu Huang and Lu Yuan and Dong Chen and Ming Zeng and Fang Wen}, title = {General Facial Representation Learning in a Visual-Linguistic Manner}, journal = {CoRR}, volume = {abs/2112.03109}, year = {2021}, url = {https://arxiv.org/abs/2112.03109}, eprinttype = {arXiv}, eprint = {2112.03109}, timestamp = {Mon, 14 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-03109.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-10143, author = {Mingxin Yu and Lin Shao and Zhehuan Chen and Tianhao Wu and Qingnan Fan and Kaichun Mo and Hao Dong}, title = {RoboAssembly: Learning Generalizable Furniture Assembly Policy in a Novel Multi-robot Contact-rich Simulation Environment}, journal = {CoRR}, volume = {abs/2112.10143}, year = {2021}, url = {https://arxiv.org/abs/2112.10143}, eprinttype = {arXiv}, eprint = {2112.10143}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-10143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaoZJHZ20, author = {Zhenyang Hao and Wenshuai Zhou and Tianpeng Ji and Xuzhen Huang and Chengming Zhang}, title = {Multi-Objective Optimization of Double Primary Tubular Permanent Magnet Synchronous Linear Motor in Wide Temperature Range Environment Based on Pareto Front Method}, journal = {{IEEE} Access}, volume = {8}, pages = {207193--207203}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3026040}, doi = {10.1109/ACCESS.2020.3026040}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaoZJHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinZHLFLR20, author = {Guo Lin and Zhoumo Zeng and Xinjing Huang and Mingze Li and Hao Feng and Jian Li and Xiaobo Rui}, title = {Low-Cost and High-Efficiency Method for Detecting Vertical Bends of Subsea Pipelines}, journal = {{IEEE} Access}, volume = {8}, pages = {33926--33933}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2974405}, doi = {10.1109/ACCESS.2020.2974405}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinZHLFLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SungTWL20, author = {Guo{-}Ming Sung and Li{-}Fen Tung and Hsin{-}Kwang Wang and Jhih{-}Hao Lin}, title = {{USB} Transceiver With a Serial Interface Engine and {FIFO} Queue for Efficient FPGA-to-FPGA Communication}, journal = {{IEEE} Access}, volume = {8}, pages = {69788--69799}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2986510}, doi = {10.1109/ACCESS.2020.2986510}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SungTWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TaoZHWLSD20, author = {Laifa Tao and Tong Zhang and Jie Hao and Xiaolin Wang and Chen Lu and Mingliang Suo and Yu Ding}, title = {Degradation Dynamics Cognition and Prediction of Li-Ion Battery: An Integrated Methodology for Alleviating Range Anxiety}, journal = {{IEEE} Access}, volume = {8}, pages = {183927--183938}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3029397}, doi = {10.1109/ACCESS.2020.3029397}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TaoZHWLSD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangWZ20a, author = {Zhenyu Yang and Hao Wang and Mingge Zhang}, title = {{WGIN:} {A} Session-Based Recommendation Model Considering the Repeated Link Effect}, journal = {{IEEE} Access}, volume = {8}, pages = {216104--216115}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3041772}, doi = {10.1109/ACCESS.2020.3041772}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangWZ20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/ChenWYLP20, author = {Xiao{-}Ping Chen and Wei Wei and Xi Yang and Hao Liu and Xiao{-}Ming Pan}, title = {Successive linear Newton interpolation methods for solving the large-scale nonlinear eigenvalue problems}, journal = {Appl. Math. Comput.}, volume = {387}, pages = {124663}, year = {2020}, url = {https://doi.org/10.1016/j.amc.2019.124663}, doi = {10.1016/J.AMC.2019.124663}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/ChenWYLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ChenDYDHCWCHTGZ20, author = {Jia{-}Bin Chen and Shan{-}Shan Dong and Shi Yao and Yuan{-}Yuan Duan and Wei{-}Xin Hu and Hao Chen and Nai{-}Ning Wang and Xiao{-}Feng Chen and Ruo{-}Han Hao and Hlaing Nwe Thynn and Ming{-}Rui Guo and Yu{-}Jie Zhang and Yu Rong and Yi{-}Xiao Chen and Fu{-}Ling Zhou and Yan Guo and Tie{-}Lin Yang}, title = {Modeling circRNA expression pattern with integrated sequence and epigenetic features demonstrates the potential involvement of H3K79me2 in circRNA expression}, journal = {Bioinform.}, volume = {36}, number = {18}, pages = {4739--4748}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btaa567}, doi = {10.1093/BIOINFORMATICS/BTAA567}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ChenDYDHCWCHTGZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/YangSLLLGHLZTWW20, author = {Chaowei Yang and Dexuan Sha and Qian Liu and Yun Li and Hai Lan and Weihe Wendy Guan and Tao Hu and Zhenlong Li and Zhiran Zhang and John Hoot Thompson and Zifu Wang and David W. S. Wong and Shiyang Ruan and Manzhu Yu and Douglas Richardson and Luyao Zhang and Ruizhi Hou and You Zhou and Cheng Zhong and Yifei Tian and Fayez Beaini and Kyla Carte and Colin Flynn and Wei Liu and Dieter Pfoser and Shuming Bao and Mei Li and Haoyuan Zhang and Chunbo Liu and Jie Jiang and Shihong Du and Liang Zhao and Mingyue Lu and Lin Li and Huan Zhou and Andrew Ding}, title = {Taking the pulse of {COVID-19:} a spatiotemporal perspective}, journal = {Int. J. Digit. Earth}, volume = {13}, number = {10}, pages = {1186--1211}, year = {2020}, url = {https://doi.org/10.1080/17538947.2020.1809723}, doi = {10.1080/17538947.2020.1809723}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/digearth/YangSLLLGHLZTWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/HaoWDHZDL20, author = {Ming Hao and Donghui Wang and Chao Deng and Zhengwei He and Jianlong Zhang and Fan Deng and Xiaoming Ling}, title = {Research on 3D geological modeling method based on section thinning-densification and close-range photogrammetry}, journal = {Earth Sci. Informatics}, volume = {13}, number = {3}, pages = {763--772}, year = {2020}, url = {https://doi.org/10.1007/s12145-020-00463-8}, doi = {10.1007/S12145-020-00463-8}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esi/HaoWDHZDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ChenHCWPG20, author = {Hao Chen and Ali Asghar Heidari and Huiling Chen and Mingjing Wang and Zhifang Pan and Amir H. Gandomi}, title = {Multi-population differential evolution-assisted Harris hawks optimization: Framework and case studies}, journal = {Future Gener. Comput. Syst.}, volume = {111}, pages = {175--198}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.04.008}, doi = {10.1016/J.FUTURE.2020.04.008}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ChenHCWPG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ida/YuYHLZTSHG20, author = {Mingxin Yu and Hao Yan and Jing Han and Yingzi Lin and Lianqing Zhu and Xiaoying Tang and Guangkai Sun and Yanlin He and Yikang Guo}, title = {EEG-based tonic cold pain assessment using extreme learning machine}, journal = {Intell. Data Anal.}, volume = {24}, number = {1}, pages = {163--182}, year = {2020}, url = {https://doi.org/10.3233/IDA-184388}, doi = {10.3233/IDA-184388}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ida/YuYHLZTSHG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/WuHCXT20, author = {Hao{-}Tian Wu and Qi Huang and Yiu{-}ming Cheung and Lingling Xu and Shaohua Tang}, title = {Reversible contrast enhancement for medical images with background segmentation}, journal = {{IET} Image Process.}, volume = {14}, number = {2}, pages = {327--336}, year = {2020}, url = {https://doi.org/10.1049/iet-ipr.2019.0423}, doi = {10.1049/IET-IPR.2019.0423}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/WuHCXT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ZhaoLYCZ20, author = {Hao Zhao and Ming Lu and Anbang Yao and Yurong Chen and Li Zhang}, title = {Learning to Draw Sight Lines}, journal = {Int. J. Comput. Vis.}, volume = {128}, number = {5}, pages = {1076--1100}, year = {2020}, url = {https://doi.org/10.1007/s11263-019-01263-4}, doi = {10.1007/S11263-019-01263-4}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ZhaoLYCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/FangMLLP20, author = {Yilin Fang and Hao Ming and Miqing Li and Quan Liu and Duc Truong Pham}, title = {Multi-objective evolutionary simulated annealing optimisation for mixed-model multi-robotic disassembly line balancing with interval processing time}, journal = {Int. J. Prod. Res.}, volume = {58}, number = {3}, pages = {846--862}, year = {2020}, url = {https://doi.org/10.1080/00207543.2019.1602290}, doi = {10.1080/00207543.2019.1602290}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/FangMLLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WeiXZW20, author = {Xiaochao Wei and Lin Xu and Minghao Zhao and Hao Wang}, title = {Secure extended wildcard pattern matching protocol from cut-and-choose oblivious transfer}, journal = {Inf. Sci.}, volume = {529}, pages = {132--140}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.03.087}, doi = {10.1016/J.INS.2020.03.087}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/WeiXZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LuoYCWZL20, author = {Ling Luo and Zhihao Yang and Mingyu Cao and Lei Wang and Yin Zhang and Hongfei Lin}, title = {A neural network-based joint learning approach for biomedical entity and relation extraction from biomedical literature}, journal = {J. Biomed. Informatics}, volume = {103}, pages = {103384}, year = {2020}, url = {https://doi.org/10.1016/j.jbi.2020.103384}, doi = {10.1016/J.JBI.2020.103384}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LuoYCWZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/GengZCFY20, author = {Linfeng Geng and Weiming Zhang and Haozhe Chen and Han Fang and Nenghai Yu}, title = {Real-time attacks on robust watermarking tools in the wild by {CNN}}, journal = {J. Real Time Image Process.}, volume = {17}, number = {3}, pages = {631--641}, year = {2020}, url = {https://doi.org/10.1007/s11554-020-00941-8}, doi = {10.1007/S11554-020-00941-8}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/GengZCFY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhouWLHL20, author = {Lijian Zhou and Hui Wang and Shanshan Lin and Siyuan Hao and Zhe{-}Ming Lu}, title = {Face recognition based on local binary pattern and improved Pairwise-constrained Multiple Metric Learning}, journal = {Multim. Tools Appl.}, volume = {79}, number = {1-2}, pages = {675--691}, year = {2020}, url = {https://doi.org/10.1007/s11042-019-08157-0}, doi = {10.1007/S11042-019-08157-0}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ZhouWLHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/YangQXLDW20, author = {Ming Yang and Yeqiang Qian and Linji Xue and Hao Li and Liuyuan Deng and Chunxiang Wang}, title = {{G2P:} a new descriptor for pedestrian detection}, journal = {Neural Comput. Appl.}, volume = {32}, number = {9}, pages = {4665--4674}, year = {2020}, url = {https://doi.org/10.1007/s00521-018-3815-4}, doi = {10.1007/S00521-018-3815-4}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/YangQXLDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LongCWLWJLZCLLL20, author = {Erping Long and Jingjing Chen and Xiaohang Wu and Zhenzhen Liu and Liming Wang and Jiewei Jiang and Wangting Li and Yi Zhu and Chuan Chen and Zhuoling Lin and Jing Li and Xiaoyan Li and Hui Chen and Chong Guo and Lanqin Zhao and Daoyao Nie and Xinhua Liu and Xin Liu and Zhe Dong and Bo Yun and Wenbin Wei and Fan Xu and Jian Lv and Min Li and Shiqi Ling and Lei Zhong and Junhong Chen and Qishan Zheng and Li Zhang and Yi Xiang and Gang Tan and Kai Huang and Yifan Xiang and Duoru Lin and Xulin Zhang and Meimei Dongye and Dongni Wang and Weirong Chen and Xiyang Liu and Haotian Lin and Yizhi Liu}, title = {Artificial intelligence manages congenital cataract with individualized prediction and telehealth computing}, journal = {npj Digit. Medicine}, volume = {3}, year = {2020}, url = {https://doi.org/10.1038/s41746-020-00319-x}, doi = {10.1038/S41746-020-00319-X}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LongCWLWJLZCLLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CostachePCCHLCA20, author = {Romulus Costache and Quoc Bao Pham and Ema Corodescu{-}Rosca and Catalin I. C{\^{\i}}mpianu and Haoyuan Hong and Nguyen Thi Thuy Linh and Ming Fai Chow and Ali Najah Ahmed and Matej Vojtek and Siraj Muhammed Pandhiani and Gabriel Minea and Nicu Ciobotaru and Mihnea Cristian Popa and Daniel Constantin Diaconu and Binh Thai Pham}, title = {Using GIS, Remote Sensing, and Machine Learning to Highlight the Correlation between the Land-Use/Land-Cover Changes and Flash-Flood Potential}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1422}, year = {2020}, url = {https://doi.org/10.3390/rs12091422}, doi = {10.3390/RS12091422}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/CostachePCCHLCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinMZLJYG20, author = {Ming Jin and Yuefeng Ma and Haoyu Zeng and Jiaping Liu and Linhua Jiang and Guo Yang and Yue Gu}, title = {Developing a Multi-Element Sensor to Non-Destructively Monitor Several Fundamental Parameters Related to Concrete Durability}, journal = {Sensors}, volume = {20}, number = {19}, pages = {5607}, year = {2020}, url = {https://doi.org/10.3390/s20195607}, doi = {10.3390/S20195607}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinMZLJYG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/SongLZZWJ20, author = {Kun Song and Xichuan Liu and Mingzhong Zou and Ding Zhou and Haonan Wu and Feng Ji}, title = {Experimental Study of Detecting Rainfall Using Microwave Links: Classification of Wet and Dry Periods}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {5264--5271}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2020.3021555}, doi = {10.1109/JSTARS.2020.3021555}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/SongLZZWJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/HeXLWC20, author = {Wenming He and Yanqing Xie and Haoxuan Lu and Mingjing Wang and Huiling Chen}, title = {Predicting Coronary Atherosclerotic Heart Disease: An Extreme Learning Machine with Improved Salp Swarm Algorithm}, journal = {Symmetry}, volume = {12}, number = {10}, pages = {1651}, year = {2020}, url = {https://doi.org/10.3390/sym12101651}, doi = {10.3390/SYM12101651}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/HeXLWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/YuCHL20, author = {Ming{-}Jen Yu and Hao{-}Jun Chuang and Mu{-}Yen Hsu and Peng{-}Fei Lin}, title = {Firm heterogeneity, appropriability, and innovation collaboration}, journal = {Technol. Anal. Strateg. Manag.}, volume = {32}, number = {10}, pages = {1156--1168}, year = {2020}, url = {https://doi.org/10.1080/09537325.2020.1747609}, doi = {10.1080/09537325.2020.1747609}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taasm/YuCHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/PanLZCL20, author = {Yubiao Pan and Yongkun Li and Huizhen Zhang and Hao Chen and Mingwei Lin}, title = {{GFTL:} Group-Level Mapping in Flash Translation Layer to Provide Efficient Address Translation for {NAND} Flash-Based SSDs}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {66}, number = {3}, pages = {242--250}, year = {2020}, url = {https://doi.org/10.1109/TCE.2020.2991213}, doi = {10.1109/TCE.2020.2991213}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/PanLZCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiuLSHYG20, author = {Mingqian Liu and Lingjia Liu and Hao Song and Yaohua Hu and Yang Yi and Fengkui Gong}, title = {Signal Estimation in Underlay Cognitive Networks for Industrial Internet of Things}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {8}, pages = {5478--5488}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2952413}, doi = {10.1109/TII.2019.2952413}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiuLSHYG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/YangYRLSWZZXPZQ20, author = {Wenhan Yang and Ye Yuan and Wenqi Ren and Jiaying Liu and Walter J. Scheirer and Zhangyang Wang and Taiheng Zhang and Qiaoyong Zhong and Di Xie and Shiliang Pu and Yuqiang Zheng and Yanyun Qu and Yuhong Xie and Liang Chen and Zhonghao Li and Chen Hong and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Shuai Zheng and Minhui Zhong and Taiyi Su and Lingzhi He and Yandong Guo and Yao Zhao and Zhenfeng Zhu and Jinxiu Liang and Jingwen Wang and Tianyi Chen and Yuhui Quan and Yong Xu and Bo Liu and Xin Liu and Qi Sun and Tingyu Lin and Xiaochuan Li and Feng Lu and Lin Gu and Shengdi Zhou and Cong Cao and Shifeng Zhang and Cheng Chi and Chubin Zhuang and Zhen Lei and Stan Z. Li and Shizheng Wang and Ruizhe Liu and Dong Yi and Zheming Zuo and Jianning Chi and Huan Wang and Kai Wang and Yixiu Liu and Xingyu Gao and Zhenyu Chen and Chang Guo and Yongzhou Li and Huicai Zhong and Jing Huang and Heng Guo and Jianfei Yang and Wenjuan Liao and Jiangang Yang and Liguo Zhou and Mingyue Feng and Likun Qin}, title = {Advancing Image Understanding in Poor Visibility Environments: {A} Collective Benchmark Study}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {5737--5752}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.2981922}, doi = {10.1109/TIP.2020.2981922}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/YangYRLSWZZXPZQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ZhouLZLCHXZFHAE20, author = {Longxi Zhou and Zhongxiao Li and Juexiao Zhou and Haoyang Li and Yupeng Chen and Yuxin Huang and Dexuan Xie and Lintao Zhao and Ming Fan and Shahrukh Hashmi and Faisal Abdelkareem and Riham Eiada and Xigang Xiao and Lihua Li and Zhaowen Qiu and Xin Gao}, title = {A Rapid, Accurate and Machine-Agnostic Segmentation and Quantification Method for CT-Based {COVID-19} Diagnosis}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {8}, pages = {2638--2652}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.3001810}, doi = {10.1109/TMI.2020.3001810}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ZhouLZLCHXZFHAE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {692--712}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTZLWZZZP20, author = {Andrey Ignatov and Radu Timofte and Zhilu Zhang and Ming Liu and Haolin Wang and Wangmeng Zuo and Jiawei Zhang and Ruimao Zhang and Zhanglin Peng and Sijie Ren and Linhui Dai and Xiaohong Liu and Chengqi Li and Jun Chen and Yuichi Ito and Bhavya Vasudeva and Puneesh Deora and Umapada Pal and Zhenyu Guo and Yu Zhu and Tian Liang and Chenghua Li and Cong Leng and Zhihong Pan and Baopu Li and Byung{-}Hoon Kim and Joonyoung Song and Jong Chul Ye and JaeHyun Baek and Magauiya Zhussip and Yeskendir Koishekenov and Hwechul Cho Ye and Xin Liu and Xueying Hu and Jun Jiang and Jinwei Gu and Kai Li and Pengliang Tang and Bingxin Hou}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Learned Image Signal Processing Pipeline}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {152--170}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_9}, doi = {10.1007/978-3-030-67070-2\_9}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTZLWZZZP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKDZL20, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Linbo He and Yushan Zhang and Song Yan and Jinyu Yang and Gustavo Fern{\'{a}}ndez and Alexander G. Hauptmann and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andreas Robinson and Anton Varfolomieiev and Awet Haileslassie Gebrehiwot and Bedirhan Uzun and Bin Yan and Bing Li and Chen Qian and Chi{-}Yi Tsai and Christian Micheloni and Dong Wang and Fei Wang and Fei Xie and Felix J{\"{a}}remo Lawin and Fredrik Gustafsson and Gian Luca Foresti and Goutam Bhat and Guangqi Chen and Haibin Ling and Haitao Zhang and Hakan Cevikalp and Haojie Zhao and Haoran Bai and Hari Chandana Kuchibhotla and Hasan Saribas and Heng Fan and Hossein Ghanei{-}Yakhdan and Houqiang Li and Houwen Peng and Huchuan Lu and Hui Li and Javad Khaghani and Jes{\'{u}}s Besc{\'{o}}s and Jianhua Li and Jianlong Fu and Jiaqian Yu and Jingtao Xu and Josef Kittler and Jun Yin and Junhyun Lee and Kaicheng Yu and Kaiwen Liu and Kang Yang and Kenan Dai and Li Cheng and Li Zhang and Lijun Wang and Linyuan Wang and Luc Van Gool and Luca Bertinetto and Matteo Dunnhofer and Miao Cheng and Mohana Murali Dasari and Ning Wang and Pengyu Zhang and Philip H. S. Torr and Qiang Wang and Radu Timofte and Rama Krishna Sai Subrahmanyam Gorthi and Seokeon Choi and Seyed Mojtaba Marvasti{-}Zadeh and Shao{-}Chuan Zhao and Shohreh Kasaei and Shoumeng Qiu and Shuhao Chen and Thomas B. Sch{\"{o}}n and Tianyang Xu and Wei Lu and Weiming Hu and Wengang Zhou and Xi Qiu and Xiao Ke and Xiao{-}Jun Wu and Xiaolin Zhang and Xiaoyun Yang and Xuefeng Zhu and Yingjie Jiang and Yingming Wang and Yiwei Chen and Yu Ye and Yuezhou Li and Yuncon Yao and Yunsung Lee and Yuzhang Gu and Zezhou Wang and Zhangyong Tang and Zhen{-}Hua Feng and Zhijun Mai and Zhipeng Zhang and Zhirong Wu and Ziang Ma}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {The Eighth Visual Object Tracking {VOT2020} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, pages = {547--601}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5\_39}, doi = {10.1007/978-3-030-68238-5\_39}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKDZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LinPWQFZL20, author = {Zehui Lin and Xiao Pan and Mingxuan Wang and Xipeng Qiu and Jiangtao Feng and Hao Zhou and Lei Li}, editor = {Bonnie Webber and Trevor Cohn and Yulan He and Yang Liu}, title = {Pre-training Multilingual Neural Machine Translation by Leveraging Alignment Information}, booktitle = {Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2020, Online, November 16-20, 2020}, pages = {2649--2663}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.emnlp-main.210}, doi = {10.18653/V1/2020.EMNLP-MAIN.210}, timestamp = {Wed, 23 Mar 2022 10:11:55 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/LinPWQFZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/RuFQZW00020, author = {Dongyu Ru and Jiangtao Feng and Lin Qiu and Hao Zhou and Mingxuan Wang and Weinan Zhang and Yong Yu and Lei Li}, editor = {Trevor Cohn and Yulan He and Yang Liu}, title = {Active Sentence Learning by Adversarial Uncertainty Sampling in Discrete Space}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2020, Online Event, 16-20 November 2020}, series = {Findings of {ACL}}, volume = {{EMNLP} 2020}, pages = {4908--4917}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.findings-emnlp.441}, doi = {10.18653/V1/2020.FINDINGS-EMNLP.441}, timestamp = {Wed, 23 Mar 2022 10:11:55 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/RuFQZW00020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangCCLSHO20, author = {Wan{-}Jung Chang and Liang{-}Bi Chen and Ming{-}Che Chen and Jian{-}Yu Lin and Jian{-}Ping Su and Chia{-}Hao Hsu and Yang{-}Kun Ou}, title = {A Deep Learning-Based Intelligent Anti-Collision System for Car Door}, booktitle = {9th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2020, Kobe, Japan, October 13-16, 2020}, pages = {148--149}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GCCE50665.2020.9291741}, doi = {10.1109/GCCE50665.2020.9291741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ChangCCLSHO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenLLLLHC20, author = {Hao Chen and Chao Liu and Chia{-}Yi Liu and Liang{-}Ming Lo and Rungtai Lin and Ding{-}Hau Huang and Wen{-}Ko Chiou}, editor = {Pei{-}Luen Patrick Rau}, title = {Reliability and Validity Assessment of the Chinese Version of {MBI-PPD} Self-efficacy Scale}, booktitle = {Cross-Cultural Design. Applications in Health, Learning, Communication, and Creativity - 12th International Conference, {CCD} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12193}, pages = {12--24}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49913-6\_2}, doi = {10.1007/978-3-030-49913-6\_2}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ChenLLLLHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCPLZLC20, author = {Mark Po{-}Hung Lin and Hao{-}Yu Chi and Abhishek Patyal and Zheng{-}Yao Liu and Jun{-}Jie Zhao and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {Achieving Analog Layout Integrity through Learning and Migration Invited Talk}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {55:1--55:8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415752}, doi = {10.1145/3400302.3415752}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinCPLZLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenLC20, author = {Hou{-}Ming Chen and Kuang{-}Hao Lin and Ching{-}Chieh Chen}, title = {A 1.33 ppm/{\textdegree}C Precision Bandgap Reference with Piecewise-Linear Curvature Compensation}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9257998}, doi = {10.1109/ICCE-TAIWAN49838.2020.9257998}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiWFJ20, author = {Hua{-}Ling Lai and Liang{-}Hung Wang and Minghui Fan and Hao Jiang}, title = {A Power Management Unit Design for a Wearable {ECG} Application}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258136}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258136}, timestamp = {Wed, 24 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiWFJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinCLH20, author = {Kuang{-}Hao Lin and Hou{-}Ming Chen and Guan{-}Jin Li and Ssu{-}Shun Huang}, title = {Analysis and Reduction of the Localization Error of the {UWB} Indoor Positioning System}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258017}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258017}, timestamp = {Wed, 24 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LinCLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/QinYPHZL20, author = {Chao Qin and Haoyang Ye and Christian E. Pranata and Jun Han and Shuyang Zhang and Ming Liu}, title = {{LINS:} {A} Lidar-Inertial State Estimator for Robust and Efficient Navigation}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {8899--8906}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9197567}, doi = {10.1109/ICRA40945.2020.9197567}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/QinYPHZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/XiaBLYLNZL020, author = {Jun Xia and Sean J. Bergunder and Duoru Lin and Ying Yan and Shengzhi Lin and M. Ali Nasseri and Mingchuan Zhou and Haotian Lin and Kai Huang}, title = {Microscope-Guided Autonomous Clear Corneal Incision}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {3867--3873}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9196645}, doi = {10.1109/ICRA40945.2020.9196645}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/XiaBLYLNZL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiYZLMJLL20, author = {Yan Li and Xiaobin Yin and Wu Zhou and Mingsen Lin and Chaofei Ma and Rong Jin and Hao Liu and Yinan Li}, title = {Simulation Analysis of Payload {IMR} and {MICAP} Onboard Chinese Ocean Salinity Satellite}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {5635--5638}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324194}, doi = {10.1109/IGARSS39084.2020.9324194}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LiYZLMJLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SuLTF0T20, author = {Jia Su and Haojiang Li and Mingliang Tao and Yifei Fan and Ling Wang and Haihong Tao}, title = {Wideband Interference Suppression for {SAR} by Time-Frequency-Pulse Joint Domain Processing}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {3774--3777}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9323259}, doi = {10.1109/IGARSS39084.2020.9323259}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/SuLTF0T20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenZBLHYSTHM20, author = {Chao Chen and Xuequan Zhu and Abdelkader Nasreddine Belkacem and Lin Lu and Long Hao and Jia You and Duk Shin and Wenjun Tan and Zhaoyang Huang and Dong Ming}, editor = {Yueming Wang}, title = {Automatic Sleep Spindle Detection and Analysis in Patients with Sleep Disorders}, booktitle = {Human Brain and Artificial Intelligence - Second International Workshop, {HBAI} 2020, Held in Conjunction with {IJCAI-PRICAI} 2020, Yokohama, Japan, January 7, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1369}, pages = {113--124}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-16-1288-6\_8}, doi = {10.1007/978-981-16-1288-6\_8}, timestamp = {Tue, 06 Dec 2022 16:29:50 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/ChenZBLHYSTHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/YangCPDXKY020, author = {Lanqing Yang and Yi{-}Chao Chen and Hao Pan and Dian Ding and Guangtao Xue and Linghe Kong and Jiadi Yu and Minglu Li}, title = {MagPrint: Deep Learning Based User Fingerprinting Using Electromagnetic Signals}, booktitle = {39th {IEEE} Conference on Computer Communications, {INFOCOM} 2020, Toronto, ON, Canada, July 6-9, 2020}, pages = {696--705}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/INFOCOM41043.2020.9155534}, doi = {10.1109/INFOCOM41043.2020.9155534}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/YangCPDXKY020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/LinKLH20, author = {Po{-}Sheng Lin and Ming{-}Chang Kao and Wen{-}Yew Liang and Shih{-}Hao Hung}, title = {Performance Analysis and Optimization for Federated Learning Applications with PySyft-based Secure Aggregation}, booktitle = {International Computer Symposium, {ICS} 2020, Tainan, Taiwan, December 17-19, 2020}, pages = {191--196}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICS51289.2020.00046}, doi = {10.1109/ICS51289.2020.00046}, timestamp = {Wed, 03 Mar 2021 13:05:39 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/LinKLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/WangLLHLKLCL20, author = {Nian{-}Jia Wang and Kuan{-}Yi Lee and Hsin{-}Yi Lin and Wei{-}Hao Hsiao and Ming{-}Yi Lee and Li{-}Kuang Kuo and Ding{-}Jhang Lin and Yen{-}Hai Chao and Chih{-}Yuan Lu}, title = {Statistical Analysis of Bit-Errors Distribution for Reliability of 3-D {NAND} Flash Memories}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128993}, doi = {10.1109/IRPS45951.2020.9128993}, timestamp = {Thu, 30 Jul 2020 15:13:12 +0200}, biburl = {https://dblp.org/rec/conf/irps/WangLLHLKLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLSCHCC20, author = {Wan{-}Jung Chang and Jian{-}Yu Lin and Jian{-}Ping Su and Liang{-}Bi Chen and Chia{-}Hao Hsu and Yi{-}Chan Chiu and Ming{-}Che Chen}, title = {Live Demonstration: An Intelligent Scalp Diagnosis System using Deep Learning for Scalp Healthcare}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180686}, doi = {10.1109/ISCAS45731.2020.9180686}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangLSCHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/LiuJZHZHFBZ20, author = {Haomin Liu and Mingxuan Jiang and Zhuang Zhang and Xiaopeng Huang and Linsheng Zhao and Meng Hang and Youji Feng and Hujun Bao and Guofeng Zhang}, title = {{LSFB:} {A} Low-cost and Scalable Framework for Building Large-Scale Localization Benchmark}, booktitle = {2020 {IEEE} International Symposium on Mixed and Augmented Reality Adjunct, {ISMAR} 2020 Adjunct, Recife, Brazil, November 9-13, 2020}, pages = {219--224}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISMAR-Adjunct51615.2020.00065}, doi = {10.1109/ISMAR-ADJUNCT51615.2020.00065}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismar/LiuJZHZHFBZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JiaoHJSHYLCCLHY20, author = {Yang Jiao and Liang Han and Rong Jin and Yi{-}Jung Su and Chiente Ho and Li Yin and Yun Li and Long Chen and Zhen Chen and Lu Liu and Zhuyu He and Yu Yan and Jun He and Jun Mao and Xiaotao Zai and Xuejun Wu and Yongquan Zhou and Mingqiu Gu and Guocai Zhu and Rong Zhong and Wenyuan Lee and Ping Chen and Yiping Chen and Weiliang Li and Deyu Xiao and Qing Yan and Mingyuan Zhuang and Jiejun Chen and Yun Tian and Yingzi Lin and Wei Wu and Hao Li and Zesheng Dou}, title = {7.2 {A} 12nm Programmable Convolution-Efficient Neural-Processing-Unit Chip Achieving 825TOPS}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {136--140}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062984}, doi = {10.1109/ISSCC19947.2020.9062984}, timestamp = {Thu, 16 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JiaoHJSHYLCCLHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TsaiYYCWHCWHHCL20, author = {Ming{-}Da Tsai and Song{-}Yu Yang and Chi{-}Yao Yu and Ping{-}Yu Chen and Tzung{-}Han Wu and Mohammed Hassan and Chi{-}Tsan Chen and Chao{-}Wei Wang and Yen{-}Chuan Huang and Li{-}Han Hung and Wei{-}Hao Chiu and Anson Lin and Bo{-}Yu Lin and Arnaud Werquin and Chien{-}Cheng Lin and Yen{-}Horng Chen and Jen{-}Che Tsai and Yuan{-}Yu Fu and Bernard Tenbroek and Chinq{-}Shiun Chiu and Yi{-}Bin Lee and Guang{-}Kaai Dehng}, title = {10.3 {A} 12nm {CMOS} {RF} Transceiver Supporting 4G/5G {UL} {MIMO}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {176--178}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063012}, doi = {10.1109/ISSCC19947.2020.9063012}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TsaiYYCWHCWHHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ksem/TangXW020, author = {Mingrong Tang and Haobo Xiong and Liping Wang and Xuemin Lin}, editor = {Gang Li and Heng Tao Shen and Ye Yuan and Xiaoyang Wang and Huawen Liu and Xiang Zhao}, title = {A Dynamic Answering Path Based Fusion Model for {KGQA}}, booktitle = {Knowledge Science, Engineering and Management - 13th International Conference, {KSEM} 2020, Hangzhou, China, August 28-30, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12274}, pages = {235--246}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-55130-8\_21}, doi = {10.1007/978-3-030-55130-8\_21}, timestamp = {Thu, 09 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ksem/TangXW020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/GuanYWYLS20, author = {Hao Guan and Erkun Yang and Li Wang and Pew{-}Thian Yap and Mingxia Liu and Dinggang Shen}, editor = {Mingxia Liu and Pingkun Yan and Chunfeng Lian and Xiaohuan Cao}, title = {Linking Adolescent Brain {MRI} to Obesity via Deep Multi-cue Regression Network}, booktitle = {Machine Learning in Medical Imaging - 11th International Workshop, {MLMI} 2020, Held in Conjunction with {MICCAI} 2020, Lima, Peru, October 4, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12436}, pages = {111--119}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59861-7\_12}, doi = {10.1007/978-3-030-59861-7\_12}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/GuanYWYLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/TanSLSCC20, author = {Haochen Tan and Huimin Shi and Mingquan Lin and John David Spence and Kwok{-}Leung Chan and Bernard Chiu}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Vessel wall segmentation of common carotid artery via multi-branch light network}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131311}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549599}, doi = {10.1117/12.2549599}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/TanSLSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LiLL00LSX20, author = {Mingliang Li and Hao Lin and Cai Liu and Zhenhua Li and Feng Qian and Yunhao Liu and Nian Xiang Sun and Tianyin Xu}, title = {Experience: aging or glitching? why does android stop responding and what can we do about it?}, booktitle = {MobiCom '20: The 26th Annual International Conference on Mobile Computing and Networking, London, United Kingdom, September 21-25, 2020}, pages = {20:1--20:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3372224.3380897}, doi = {10.1145/3372224.3380897}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/LiLL00LSX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TanXWSLZZGFT30, author = {Hongxiu Tan and Yating Xiang and Hao Wu and Li Shen and Kangjie Li and Maoqi Zhang and Can Zhao and Lin Gan and Songnian Fu and Ming Tang}, title = {Training-Free Feature Extraction of {BOTDA} Based on Sparse Representation}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083585}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/TanXWSLZZGFT30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/HaoTLHHG20, author = {Mingzhe Hao and Levent Toksoz and Nanqinqin Li and Edward Edberg Halim and Henry Hoffmann and Haryadi S. Gunawi}, title = {LinnOS: Predictability on Unpredictable Flash Storage with a Light Neural Network}, booktitle = {14th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2020, Virtual Event, November 4-6, 2020}, pages = {173--190}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/osdi20/presentation/hao}, timestamp = {Tue, 02 Feb 2021 08:05:52 +0100}, biburl = {https://dblp.org/rec/conf/osdi/HaoTLHHG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/ZhuCWZTXD20, author = {Jing Zhu and Yutao Chen and Yahao Wang and Hao Zhang and Xuming Tang and Ming Xu and Erbao Dong}, title = {Target Measurement Method Based on Sparse Disparity for Live Power Lines Maintaining Robot}, booktitle = {2020 {IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2020, Asahikawa, Hokkaido, Japan, September 28-29, 2020}, pages = {416--420}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/RCAR49640.2020.9303329}, doi = {10.1109/RCAR49640.2020.9303329}, timestamp = {Thu, 14 Jan 2021 14:43:26 +0100}, biburl = {https://dblp.org/rec/conf/rcar/ZhuCWZTXD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/LinWKCLO20, author = {Liang{-}Han Lin and Hao{-}Kai Wen and Man{-}Hsin Kao and Evelyn Chen and Tse{-}Han Lin and Ming Ouhyoung}, title = {Label360: An Annotation Interface for Labeling Instance-Aware Semantic Labels on Panoramic Full Images}, booktitle = {{SIGGRAPH} Asia 2020 Posters, {SA} 2019, Virtual Event, Republic of Korea, December 4-13, 2020}, pages = {4:1--4:2}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3415264.3425437}, doi = {10.1145/3415264.3425437}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/LinWKCLO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/GaoJCQLWHW20, author = {Dehong Gao and Linbo Jin and Ben Chen and Minghui Qiu and Peng Li and Yi Wei and Yi Hu and Hao Wang}, editor = {Jimmy X. Huang and Yi Chang and Xueqi Cheng and Jaap Kamps and Vanessa Murdock and Ji{-}Rong Wen and Yiqun Liu}, title = {FashionBERT: Text and Image Matching with Adaptive Loss for Cross-modal Retrieval}, booktitle = {Proceedings of the 43rd International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} 2020, Virtual Event, China, July 25-30, 2020}, pages = {2251--2260}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3397271.3401430}, doi = {10.1145/3397271.3401430}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/GaoJCQLWHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/YeLSZHLL20, author = {Hongyi Ye and Haohong Lin and Zijun Song and Ming Zhang and Ruifen Hu and Nan Li and Guang Li}, title = {Attention Bidirectional {LSTM} Networks Based Mime Speech Recognition Using sEMG Data}, booktitle = {2020 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2020, Toronto, ON, Canada, October 11-14, 2020}, pages = {3162--3167}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SMC42975.2020.9282863}, doi = {10.1109/SMC42975.2020.9282863}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/YeLSZHLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsaiYYCWHCWHHCL20, author = {Ming{-}Da Tsai and Song{-}Yu Yang and Chi{-}Yao Yu and Ping{-}Yu Chen and Tzung{-}Han Wu and Mohammed Hassan and Chi{-}Tsan Chen and Chao{-}Wei Wang and Yen{-}Chuan Huang and Li{-}Han Huang and Wei{-}Hao Chiu and Anson Lin and Bo{-}Yu Lin and Arnaud Werquin and Chien{-}Cheng Lin and Yen{-}Horng Chen and Jen{-}Che Tsai and Yuan{-}Yu Fu and Bernard Tenbroek and Chinq{-}Shiun Chiu and Yi{-}Bin Lee and Guang{-}Kaai Dehng}, title = {{RFIC} and {RF} Module for 5G Applications}, booktitle = {2020 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2020, Hsinchu, Taiwan, August 10-13, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-DAT49148.2020.9196407}, doi = {10.1109/VLSI-DAT49148.2020.9196407}, timestamp = {Tue, 29 Sep 2020 11:35:15 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsaiYYCWHCWHHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-06353, author = {Huaishao Luo and Lei Ji and Botian Shi and Haoyang Huang and Nan Duan and Tianrui Li and Xilin Chen and Ming Zhou}, title = {UniViLM: {A} Unified Video and Language Pre-Training Model for Multimodal Understanding and Generation}, journal = {CoRR}, volume = {abs/2002.06353}, year = {2020}, url = {https://arxiv.org/abs/2002.06353}, eprinttype = {arXiv}, eprint = {2002.06353}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-06353.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-09801, author = {Dehong Gao and Linbo Jin and Ben Chen and Minghui Qiu and Peng Li and Yi Wei and Yi Hu and Hao Wang}, title = {FashionBERT: Text and Image Matching with Adaptive Loss for Cross-modal Retrieval}, journal = {CoRR}, volume = {abs/2005.09801}, year = {2020}, url = {https://arxiv.org/abs/2005.09801}, eprinttype = {arXiv}, eprint = {2005.09801}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-09801.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14090, author = {Ming Lin and Hesen Chen and Xiuyu Sun and Qi Qian and Hao Li and Rong Jin}, title = {Neural Architecture Design for GPU-Efficient Networks}, journal = {CoRR}, volume = {abs/2006.14090}, year = {2020}, url = {https://arxiv.org/abs/2006.14090}, eprinttype = {arXiv}, eprint = {2006.14090}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-00858, author = {Yilin Chen and Ming Li and Yongfei Wu and Xueyu Liu and Fang Hao and Daoxiang Zhou and Xiaoshuang Zhou and Chen Wang}, title = {{MSA-MIL:} {A} deep residual multiple instance learning model based on multi-scale annotation for classification and visualization of glomerular spikes}, journal = {CoRR}, volume = {abs/2007.00858}, year = {2020}, url = {https://arxiv.org/abs/2007.00858}, eprinttype = {arXiv}, eprint = {2007.00858}, timestamp = {Mon, 06 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-00858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-03966, author = {Xin{-}Yu Zhang and Hao{-}Lin Jia and Taihong Xiao and Ming{-}Ming Cheng and Ming{-}Hsuan Yang}, title = {Semi-Supervised Learning with Meta-Gradient}, journal = {CoRR}, volume = {abs/2007.03966}, year = {2020}, url = {https://arxiv.org/abs/2007.03966}, eprinttype = {arXiv}, eprint = {2007.03966}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-03966.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-07905, author = {Lihua Qian and Hao Zhou and Yu Bao and Mingxuan Wang and Lin Qiu and Weinan Zhang and Yong Yu and Lei Li}, title = {Glancing Transformer for Non-Autoregressive Neural Machine Translation}, journal = {CoRR}, volume = {abs/2008.07905}, year = {2020}, url = {https://arxiv.org/abs/2008.07905}, eprinttype = {arXiv}, eprint = {2008.07905}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-07905.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-01177, author = {Yuxuan Li and Mingcheng Chen and Yaojian Chen and Haitian Lu and Lin Gan and Chao{-}Yang Lu and Jian{-}Wei Pan and Haohuan Fu and Guangwen Yang}, title = {Benchmarking 50-Photon Gaussian Boson Sampling on the Sunway TaihuLight}, journal = {CoRR}, volume = {abs/2009.01177}, year = {2020}, url = {https://arxiv.org/abs/2009.01177}, eprinttype = {arXiv}, eprint = {2009.01177}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-01177.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-13701, author = {Haosen Wen and Wentao Cai and Mingzhe Du and Louis Jenkins and Benjamin Valpey and Michael L. Scott}, title = {Montage: {A} General System for Buffered Durably Linearizable Data Structures}, journal = {CoRR}, volume = {abs/2009.13701}, year = {2020}, url = {https://arxiv.org/abs/2009.13701}, eprinttype = {arXiv}, eprint = {2009.13701}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-13701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-01267, author = {Yi Xu and Asaf Noy and Ming Lin and Qi Qian and Hao Li and Rong Jin}, title = {WeMix: How to Better Utilize Data Augmentation}, journal = {CoRR}, volume = {abs/2010.01267}, year = {2020}, url = {https://arxiv.org/abs/2010.01267}, eprinttype = {arXiv}, eprint = {2010.01267}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-01267.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-03142, author = {Zehui Lin and Xiao Pan and Mingxuan Wang and Xipeng Qiu and Jiangtao Feng and Hao Zhou and Lei Li}, title = {Pre-training Multilingual Neural Machine Translation by Leveraging Alignment Information}, journal = {CoRR}, volume = {abs/2010.03142}, year = {2020}, url = {https://arxiv.org/abs/2010.03142}, eprinttype = {arXiv}, eprint = {2010.03142}, timestamp = {Tue, 13 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-03142.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06201, author = {Heliang Huang and Yuxuan Du and Ming Gong and Youwei Zhao and Yulin Wu and Chaoyue Wang and Shaowei Li and Futian Liang and Jin Lin and Yu Xu and Rui Yang and Tongliang Liu and Min{-}Hsiu Hsieh and Hui Deng and Hao Rong and Cheng{-}Zhi Peng and Chao{-}Yang Lu and Yu{-}Ao Chen and Dacheng Tao and Xiaobo Zhu and Jian{-}Wei Pan}, title = {Experimental Quantum Generative Adversarial Networks for Image Generation}, journal = {CoRR}, volume = {abs/2010.06201}, year = {2020}, url = {https://arxiv.org/abs/2010.06201}, eprinttype = {arXiv}, eprint = {2010.06201}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06217, author = {Lin Gao and Tong Wu and Yu{-}Jie Yuan and Ming{-}Xian Lin and Yu{-}Kun Lai and Hao Zhang}, title = {{TM-NET:} Deep Generative Networks for Textured Meshes}, journal = {CoRR}, volume = {abs/2010.06217}, year = {2020}, url = {https://arxiv.org/abs/2010.06217}, eprinttype = {arXiv}, eprint = {2010.06217}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-08321, author = {Yichen Qian and Zhiyu Tan and Xiuyu Sun and Ming Lin and Dongyang Li and Zhenhong Sun and Hao Li and Rong Jin}, title = {Learning Accurate Entropy Model with Global Reference for Image Compression}, journal = {CoRR}, volume = {abs/2010.08321}, year = {2020}, url = {https://arxiv.org/abs/2010.08321}, eprinttype = {arXiv}, eprint = {2010.08321}, timestamp = {Thu, 16 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-08321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-14150, author = {Yist Y. Lin and Chung{-}Ming Chien and Jheng{-}Hao Lin and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {FragmentVC: Any-to-Any Voice Conversion by End-to-End Extracting and Fusing Fine-Grained Voice Fragments With Attention}, journal = {CoRR}, volume = {abs/2010.14150}, year = {2020}, url = {https://arxiv.org/abs/2010.14150}, eprinttype = {arXiv}, eprint = {2010.14150}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-14150.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-04994, author = {Andrey Ignatov and Radu Timofte and Zhilu Zhang and Ming Liu and Haolin Wang and Wangmeng Zuo and Jiawei Zhang and Ruimao Zhang and Zhanglin Peng and Sijie Ren and Linhui Dai and Xiaohong Liu and Chengqi Li and Jun Chen and Yuichi Ito and Bhavya Vasudeva and Puneesh Deora and Umapada Pal and Zhenyu Guo and Yu Zhu and Tian Liang and Chenghua Li and Cong Leng and Zhihong Pan and Baopu Li and Byung{-}Hoon Kim and Joonyoung Song and Jong Chul Ye and JaeHyun Baek and Magauiya Zhussip and Yeskendir Koishekenov and Hwechul Cho and Xin Liu and Xueying Hu and Jun Jiang and Jinwei Gu and Kai Li and Pengliang Tang and Bingxin Hou}, title = {{AIM} 2020 Challenge on Learned Image Signal Processing Pipeline}, journal = {CoRR}, volume = {abs/2011.04994}, year = {2020}, url = {https://arxiv.org/abs/2011.04994}, eprinttype = {arXiv}, eprint = {2011.04994}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-04994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-08420, author = {Kaiwen Shen and Chuhan Wang and Minglei Guo and Xiaofeng Zheng and Chaoyi Lu and Baojun Liu and Yuxuan Zhao and Shuang Hao and Haixin Duan and Qingfeng Pan and Min Yang}, title = {Weak Links in Authentication Chains: {A} Large-scale Analysis of Email Sender Spoofing Attacks}, journal = {CoRR}, volume = {abs/2011.08420}, year = {2020}, url = {https://arxiv.org/abs/2011.08420}, eprinttype = {arXiv}, eprint = {2011.08420}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-08420.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-02975, author = {Minkai Xu and Mingxuan Wang and Zhouhan Lin and Hao Zhou and Weinan Zhang and Lei Li}, title = {Reciprocal Supervised Learning Improves Neural Machine Translation}, journal = {CoRR}, volume = {abs/2012.02975}, year = {2020}, url = {https://arxiv.org/abs/2012.02975}, eprinttype = {arXiv}, eprint = {2012.02975}, timestamp = {Sat, 05 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-02975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LinWW20, author = {Hao Lin and Yang Wang and Mingqiang Wang}, title = {Hardness of Module-LWE and Ring-LWE on General Entropic Distributions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1238}, year = {2020}, url = {https://eprint.iacr.org/2020/1238}, timestamp = {Fri, 30 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/LinWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaiSCYCHZYC19, author = {Ming Dai and Tong Sun and Xin Chen and Lingyao Yu and Mian Chen and Penghui Hao and Xin Zeng and Jiejie Yan and Siping Chen}, title = {A B-Scan Imaging Method of Conductivity Variation Detection for Magneto-Acousto- Electrical Tomography}, journal = {{IEEE} Access}, volume = {7}, pages = {26881--26891}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899164}, doi = {10.1109/ACCESS.2019.2899164}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DaiSCYCHZYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaoLWLXS19, author = {Jialu Hao and Jian Liu and Huimei Wang and Lingshuang Liu and Ming Xian and Xuemin Shen}, title = {Efficient Attribute-Based Access Control With Authorized Search in Cloud Storage}, journal = {{IEEE} Access}, volume = {7}, pages = {182772--182783}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2906726}, doi = {10.1109/ACCESS.2019.2906726}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HaoLWLXS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiGY19a, author = {Mingcong Li and Chen Guo and Haomiao Yu}, title = {Filtered Extended State Observer Based Line-of-Sight Guidance for Path Following of Unmanned Surface Vehicles With Unknown Dynamics and Disturbances}, journal = {{IEEE} Access}, volume = {7}, pages = {178401--178412}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2958855}, doi = {10.1109/ACCESS.2019.2958855}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiGY19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinLGZXKC19, author = {Jieqiong Lin and Hao Lu and Yan Gu and Xiaoqin Zhou and Chenglei Xin and Mingshuo Kang and Xinyu Cang}, title = {A New Vibration Device Applied for Two-Dimensional Ultrasonic Polishing of Biomaterials}, journal = {{IEEE} Access}, volume = {7}, pages = {92838--92849}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2927615}, doi = {10.1109/ACCESS.2019.2927615}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinLGZXKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinWFDCZ19, author = {Jerry Chun{-}Wei Lin and Jimmy Ming{-}Tai Wu and Philippe Fournier{-}Viger and Youcef Djenouri and Chun{-}Hao Chen and Yuyu Zhang}, title = {A Sanitization Approach to Secure Shared Data in an IoT Environment}, journal = {{IEEE} Access}, volume = {7}, pages = {25359--25368}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899831}, doi = {10.1109/ACCESS.2019.2899831}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinWFDCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuYCXT19, author = {Hao{-}Tian Wu and Zhiyuan Yang and Yiu{-}Ming Cheung and Lingling Xu and Shaohua Tang}, title = {High-Capacity Reversible Data Hiding in Encrypted Images by Bit Plane Partition and {MSB} Prediction}, journal = {{IEEE} Access}, volume = {7}, pages = {62361--62371}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2916355}, doi = {10.1109/ACCESS.2019.2916355}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuYCXT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChenWZLX19, author = {Ming{-}Puu Chen and Li{-}Chun Wang and Di Zou and Shu{-}Yuan Lin and Haoran Xie}, title = {Effects of caption and gender on junior high students' {EFL} learning from iMap-enhanced contextualized learning}, journal = {Comput. Educ.}, volume = {140}, year = {2019}, url = {https://doi.org/10.1016/j.compedu.2019.103602}, doi = {10.1016/J.COMPEDU.2019.103602}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/ChenWZLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/FengCLCL19, author = {Po{-}Hao Feng and Tzu{-}Tao Chen and Yin{-}Tzu Lin and Shang{-}Yu Chiang and Chung{-}Ming Lo}, title = {Corrigendum to "Classification of lung cancer subtypes based on autofluorescence bronchoscopic pattern recognition: {A} preliminary study" [Comput Methods Programs Biomed. 163 {(2018)} 33-38]}, journal = {Comput. Methods Programs Biomed.}, volume = {182}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.105095}, doi = {10.1016/J.CMPB.2019.105095}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/FengCLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeLHHTLLSHYYZH19, author = {Peisan Lee and Ju{-}Chi Liu and Ming{-}Hsiung Hsieh and Wen{-}Rui Hao and Yuan{-}Teng Tseng and Shuen{-}Hsin Liu and Yung{-}Kuo Lin and Li{-}Chin Sung and Jen{-}Hung Huang and Hung{-}Yu Yang and Jong{-}Shiuan Ye and He{-}Shun Zheng and Min{-}Huei Hsu and Shabbir Syed{-}Abdul and Richard Lu and Phung Anh Nguyen and Usman Iqbal and Chih{-}Wei Huang and Yu{-}Chuan (Jack) Li}, title = {Corrigendum to "Cloud-based {BP} system integrated with {CPOE} improves self-management of the hypertensive patients: {A} randomized controlled trial" Comput Methods Programs Biomed 2016;132: 105-113}, journal = {Comput. Methods Programs Biomed.}, volume = {176}, pages = {237--238}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.04.031}, doi = {10.1016/J.CMPB.2019.04.031}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeLHHTLLSHYYZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsr/ChenYLLC19, author = {Hao Chen and Liyu Yang and Ling Li and Ming Li and Zhen Chen}, title = {An efficient cervical disease diagnosis approach using segmented images and cytology reporting}, journal = {Cogn. Syst. Res.}, volume = {58}, pages = {265--277}, year = {2019}, url = {https://doi.org/10.1016/j.cogsys.2019.07.008}, doi = {10.1016/J.COGSYS.2019.07.008}, timestamp = {Tue, 07 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsr/ChenYLLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/HaoLXDZLHL19, author = {Huaqing Hao and Ming Liu and Peng Xiong and Haiman Du and Hong Zhang and Feng Lin and Zengguang Hou and Xiu{-}Ling Liu}, title = {Multi-lead model-based {ECG} signal denoising by guided filter}, journal = {Eng. Appl. Artif. Intell.}, volume = {79}, pages = {34--44}, year = {2019}, url = {https://doi.org/10.1016/j.engappai.2018.12.004}, doi = {10.1016/J.ENGAPPAI.2018.12.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/HaoLXDZLHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/HaoWDHZXL19, author = {Ming Hao and Donghui Wang and Chao Deng and Zhengwei He and Jianlong Zhang and Dongjian Xue and Xiaoming Ling}, title = {3D geological modeling and visualization of above-ground and underground integration - - taking the Unicorn Island in Tianfu new area as an example}, journal = {Earth Sci. Informatics}, volume = {12}, number = {4}, pages = {465--474}, year = {2019}, url = {https://doi.org/10.1007/s12145-019-00394-z}, doi = {10.1007/S12145-019-00394-Z}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esi/HaoWDHZXL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/ZhangLLDPELYLSR19, author = {Lin Zhang and Meilan Liu and Hongxu Long and Wei Dong and Asher Pasha and Eddi Esteban and Wenying Li and Xiaoming Yang and Ze Li and Aixia Song and Duo Ran and Guang Zhao and Yanling Zeng and Hao Chen and Ming Zou and Jingjing Li and Fan Liang and Meili Xie and Xiaofeng Tan}, title = {Tung Tree (\emph{Vernicia fordii}) Genome Provides {A} Resource for Understanding Genome Evolution and Improved Oil Production}, journal = {Genom. Proteom. Bioinform.}, volume = {17}, number = {6}, pages = {558--575}, year = {2019}, url = {https://doi.org/10.1016/j.gpb.2019.03.006}, doi = {10.1016/J.GPB.2019.03.006}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/ZhangLLDPELYLSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/KongPRH19, author = {Mingming Kong and Zheng Pei and Fangling Ren and Fei Hao}, title = {New Operations on Generalized Hesitant Fuzzy Linguistic Term Sets for Linguistic Decision Making}, journal = {Int. J. Fuzzy Syst.}, volume = {21}, number = {1}, pages = {243--262}, year = {2019}, url = {https://doi.org/10.1007/s40815-018-0540-1}, doi = {10.1007/S40815-018-0540-1}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/KongPRH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/MaCHZ19, author = {Linhong Ma and Tingwei Chen and Ming Hao and Lei Zhang}, title = {{\unicode{22810}}{\unicode{32447}}{\unicode{36335}}{\unicode{20449}}{\unicode{24687}}{\unicode{34701}}{\unicode{21512}}{\unicode{30340}}{\unicode{20844}}{\unicode{20132}}{\unicode{36710}}{\unicode{34892}}{\unicode{31243}}{\unicode{26102}}{\unicode{38388}}{\unicode{39044}}{\unicode{27979}}{\unicode{31639}}{\unicode{27861}} (Bus Travel Time Prediction Algorithm Based on Multi-line Information Fusion)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {11}, pages = {222--227}, year = {2019}, url = {https://doi.org/10.11896/jsjkx.180901764}, doi = {10.11896/JSJKX.180901764}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/MaCHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/GuoYHYSZ19, author = {Yi{-}zheng Guo and Ming Yan and Qiang Hao and Kang{-}wen Yang and Xu{-}ling Shen and He{-}ping Zeng}, title = {Rapid thermal sensors with high resolution based on an adaptive dual-comb system}, journal = {Frontiers Inf. Technol. Electron. Eng.}, volume = {20}, number = {5}, pages = {674--684}, year = {2019}, url = {https://doi.org/10.1631/fitee.1800347}, doi = {10.1631/FITEE.1800347}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/GuoYHYSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/WanDJLZLCCCWLYZ19, author = {Guo{-}bin Wan and Fu{-}hao Deng and Zijian Jiang and Sheng{-}zhao Lin and Cheng{-}lian Zhao and Boxun Li and Gong Chen and Shen{-}hong Chen and Xiao{-}hong Cai and Hao{-}bo Wang and Li{-}Ping Li and Ting Yan and Jia{-}ming Zhang}, title = {Attention shifting during child - robot interaction: a preliminary clinical study for children with autism spectrum disorder}, journal = {Frontiers Inf. Technol. Electron. Eng.}, volume = {20}, number = {3}, pages = {374--387}, year = {2019}, url = {https://doi.org/10.1631/FITEE.1800555}, doi = {10.1631/FITEE.1800555}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jzusc/WanDJLZLCCCWLYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/YangQLLLSL19, author = {Li Yang and Zhi Qi and Zeheng Liu and Hao Liu and Ming Ling and Longxing Shi and Xinning Liu}, title = {An embedded implementation of CNN-based hand detection and orientation estimation algorithm}, journal = {Mach. Vis. Appl.}, volume = {30}, number = {6}, pages = {1071--1082}, year = {2019}, url = {https://doi.org/10.1007/s00138-019-01038-4}, doi = {10.1007/S00138-019-01038-4}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/YangQLLLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/LiWRBSJYLLHGSBW19, author = {Can Li and Zhongrui Wang and Mingyi Rao and Daniel Belkin and Wenhao Song and Hao Jiang and Peng Yan and Yunning Li and Peng Lin and Miao Hu and Ning Ge and John Paul Strachan and Mark Barnell and Qing Wu and R. Stanley Williams and J. Joshua Yang and Qiangfei Xia}, title = {Long short-term memory networks in memristor crossbar arrays}, journal = {Nat. Mach. Intell.}, volume = {1}, number = {1}, pages = {49--57}, year = {2019}, url = {https://doi.org/10.1038/s42256-018-0001-4}, doi = {10.1038/S42256-018-0001-4}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/LiWRBSJYLLHGSBW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oir/TsaoCLM19, author = {Hsiu{-}Yuan Tsao and Ming{-}Yi Chen and Hao{-}Chiang Koong Lin and Yu Chun Ma}, title = {The asymmetric effect of review valence on numerical rating}, journal = {Online Inf. Rev.}, volume = {43}, number = {2}, pages = {283--300}, year = {2019}, url = {https://doi.org/10.1108/OIR-11-2017-0307}, doi = {10.1108/OIR-11-2017-0307}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oir/TsaoCLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/DongDLZLFLHKH19, author = {Liquan Dong and Haoyuan Du and Ming Liu and Yuejin Zhao and Xueyan Li and Shijia Feng and Xiaohua Liu and Mei Hui and Lingqin Kong and Qun Hao}, title = {Extended-depth-of-field object detection with wavefront coding imaging system}, journal = {Pattern Recognit. Lett.}, volume = {125}, pages = {597--603}, year = {2019}, url = {https://doi.org/10.1016/j.patrec.2019.06.011}, doi = {10.1016/J.PATREC.2019.06.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/DongDLZLFLHKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JiangLW19, author = {Chengfei Jiang and Mingsen Lin and Hao Wei}, title = {A Study of the Technology Used to Distinguish Sea Ice and Seawater on the Haiyang-2A/B {(HY-2A/B)} Altimeter Data}, journal = {Remote. Sens.}, volume = {11}, number = {12}, pages = {1490}, year = {2019}, url = {https://doi.org/10.3390/rs11121490}, doi = {10.3390/RS11121490}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JiangLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2901374}, doi = {10.1109/TBME.2019.2901374}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/QianWYCCCYLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiaoLZLCZD19, author = {Kaiyang Liao and Hao Lei and Yuanlin Zheng and Guangfeng Lin and Congjun Cao and Mingzhu Zhang and Jie Ding}, title = {{IR} Feature Embedded {BOF} Indexing Method for Near-Duplicate Video Retrieval}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {12}, pages = {3743--3753}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2884941}, doi = {10.1109/TCSVT.2018.2884941}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LiaoLZLCZD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/FengWJLXW19, author = {Lin Feng and Huibing Wang and Bo Jin and Haohao Li and Mingliang Xue and Le Wang}, title = {Learning a Distance Metric by Balancing KL-Divergence for Imbalanced Datasets}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {49}, number = {12}, pages = {2384--2395}, year = {2019}, url = {https://doi.org/10.1109/TSMC.2018.2790914}, doi = {10.1109/TSMC.2018.2790914}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/FengWJLXW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/YangDMLZL19, author = {Junnan Yang and Ming Ding and Guoqiang Mao and Zihuai Lin and De{-}gan Zhang and Tom H. Luan}, title = {Optimal Base Station Antenna Downtilt in Downlink Cellular Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {18}, number = {3}, pages = {1779--1791}, year = {2019}, url = {https://doi.org/10.1109/TWC.2019.2897296}, doi = {10.1109/TWC.2019.2897296}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/YangDMLZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/WeiWZLS19, author = {Bingzhen Wei and Mingxuan Wang and Hao Zhou and Junyang Lin and Xu Sun}, editor = {Anna Korhonen and David R. Traum and Llu{\'{\i}}s M{\`{a}}rquez}, title = {Imitation Learning for Non-Autoregressive Neural Machine Translation}, booktitle = {Proceedings of the 57th Conference of the Association for Computational Linguistics, {ACL} 2019, Florence, Italy, July 28- August 2, 2019, Volume 1: Long Papers}, pages = {1304--1312}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/p19-1125}, doi = {10.18653/V1/P19-1125}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/WeiWZLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/CalderonCLHC19, author = {Fernando H. Calderon and Li{-}Kai Cheng and Ming{-}Jen Lin and Yen{-}Hao Huang and Yi{-}Shin Chen}, editor = {Francesca Spezzano and Wei Chen and Xiaokui Xiao}, title = {Content-based echo chamber detection on social media platforms}, booktitle = {{ASONAM} '19: International Conference on Advances in Social Networks Analysis and Mining, Vancouver, British Columbia, Canada, 27-30 August, 2019}, pages = {597--600}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341161.3343689}, doi = {10.1145/3341161.3343689}, timestamp = {Sat, 09 Apr 2022 12:39:00 +0200}, biburl = {https://dblp.org/rec/conf/asunam/CalderonCLHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bic-ta/ChenWPWSX19, author = {Ming Chen and Jun Wen and Benjie Pi and Hao Wang and Yan{-}Jie Song and Lining Xing}, editor = {Linqiang Pan and Jing Liang and Boyang Qu}, title = {A Novel Genetic Algorithm with Population Perturbation and Elimination for Multi-satellite TT{\&}C Scheduling Problem}, booktitle = {Bio-inspired Computing: Theories and Applications - 14th International Conference, {BIC-TA} 2019, Zhengzhou, China, November 22-25, 2019, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1159}, pages = {558--568}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-3425-6\_44}, doi = {10.1007/978-981-15-3425-6\_44}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bic-ta/ChenWPWSX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ceec/ChangSHCCCL19, author = {Wan{-}Jung Chang and Jian{-}Ping Su and Chia{-}Hao Hsu and Liang{-}Bi Chen and Ming{-}Che Chen and Huang{-}Chih Chen and Chiu{-}Fa Lin}, title = {iCAP: An IoT-based Intelligent Liquid Waste Barrels Monitoring System}, booktitle = {11th Computer Science and Electronic Engineering Conference, {CEEC} 2019, Colchester, UK, September 18-20, 2019}, pages = {156--159}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CEEC47804.2019.8974314}, doi = {10.1109/CEEC47804.2019.8974314}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ceec/ChangSHCCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LinYCZWY19, author = {Jinpeng Lin and Hao Yang and Dong Chen and Ming Zeng and Fang Wen and Lu Yuan}, title = {Face Parsing With RoI Tanh-Warping}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {5654--5663}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Lin\_Face\_Parsing\_With\_RoI\_Tanh-Warping\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00580}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LinYCZWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/YueZYTZY19, author = {Lin Yue and Haonan Zhao and Yiqin Yang and Dongyuan Tian and Xiaowei Zhao and Minghao Yin}, editor = {Guoliang Li and Jun Yang and Jo{\~{a}}o Gama and Juggapong Natwichai and Yongxin Tong}, title = {A Mimic Learning Method for Disease Risk Prediction with Incomplete Initial Data}, booktitle = {Database Systems for Advanced Applications - 24th International Conference, {DASFAA} 2019, Chiang Mai, Thailand, April 22-25, 2019, Proceedings, Part III, and {DASFAA} 2019 International Workshops: BDMS, BDQM, and GDMA, Chiang Mai, Thailand, April 22-25, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11448}, pages = {392--396}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-18590-9\_52}, doi = {10.1007/978-3-030-18590-9\_52}, timestamp = {Sat, 06 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/YueZYTZY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/HuangLDGSJZ19, author = {Haoyang Huang and Yaobo Liang and Nan Duan and Ming Gong and Linjun Shou and Daxin Jiang and Ming Zhou}, editor = {Kentaro Inui and Jing Jiang and Vincent Ng and Xiaojun Wan}, title = {Unicoder: {A} Universal Language Encoder by Pre-training with Multiple Cross-lingual Tasks}, booktitle = {Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, {EMNLP-IJCNLP} 2019, Hong Kong, China, November 3-7, 2019}, pages = {2485--2494}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-1252}, doi = {10.18653/V1/D19-1252}, timestamp = {Thu, 07 Apr 2022 09:14:07 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/HuangLDGSJZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangSCHLYCO19, author = {Wan{-}Jung Chang and Jian{-}Ping Su and Liang{-}Bi Chen and Chia{-}Hao Hsu and Cheng{-}Pei Lin and Tzu{-}Chin Yang and Ming{-}Che Chen and Yang{-}Kun Ou}, title = {BodyTracker: {A} Deep Learning Based 3D Limb Trajectory Tracking System for Rehabilitation}, booktitle = {{IEEE} 8th Global Conference on Consumer Electronics, {GCCE} 2019, Osaka, Japan, October 15-18, 2019}, pages = {383--384}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GCCE46687.2019.9015359}, doi = {10.1109/GCCE46687.2019.9015359}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ChangSCHLYCO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/WangXYJWW19, author = {Lingfeng Wang and Li Xia and Hao Ye and Ming Jiang and Jia Wang and Yijing Wang}, title = {A Fast Optimization Method for Automatic Train Stop Control}, booktitle = {15th {IEEE} International Conference on Control and Automation, {ICCA} 2019, Edinburgh, United Kingdom, July 16-19, 2019}, pages = {1405--1410}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCA.2019.8899543}, doi = {10.1109/ICCA.2019.8899543}, timestamp = {Fri, 22 Nov 2019 16:47:22 +0100}, biburl = {https://dblp.org/rec/conf/icca/WangXYJWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiLHLC19, author = {Hao{-}Yu Chi and Zi{-}Jun Lin and Chia{-}Hao Hung and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, editor = {David Z. Pan}, title = {Achieving Routing Integrity in Analog Layout Migration via Cartesian Detection Lines}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942088}, doi = {10.1109/ICCAD45719.2019.8942088}, timestamp = {Wed, 19 Feb 2020 16:38:01 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChiLHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLLHCC19, author = {Wei{-}Chen Wang and Ping{-}Hsien Lin and Yung{-}Chun Li and Chien{-}Chung Ho and Yu{-}Ming Chang and Yuan{-}Hao Chang}, editor = {David Z. Pan}, title = {Toward Instantaneous Sanitization through Disturbance-induced Errors and Recycling Programming over 3D Flash Memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942084}, doi = {10.1109/ICCAD45719.2019.8942084}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangLLHCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinCLTH19, author = {Kuang{-}Hao Lin and Hou{-}Ming Chen and Guan{-}Jin Li and Jing{-}Chen Tu and Ssu{-}Shun Huang}, title = {Automatic Guided Vehicle with Artificial Intelligence Navigation}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991803}, doi = {10.1109/ICCE-TW46550.2019.8991803}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LinCLTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/ChenLSQLJ19, author = {Hesen Chen and Ming Lin and Xiuyu Sun and Qian Qi and Hao Li and Rong Jin}, title = {MuffNet: Multi-Layer Feature Federation for Mobile Deep Learning}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2943--2952}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00356}, doi = {10.1109/ICCVW.2019.00356}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/ChenLSQLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/LinYWT19, author = {Zenan Lin and Ming Yue and Xiangmin Wu and Haoyu Tian}, editor = {Haibin Yu and Jinguo Liu and Lianqing Liu and Zhaojie Ju and Yuwang Liu and Dalin Zhou}, title = {An Improved Artificial Potential Field Method for Path Planning of Mobile Robot with Subgoal Adaptive Selection}, booktitle = {Intelligent Robotics and Applications - 12th International Conference, {ICIRA} 2019, Shenyang, China, August 8-11, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11740}, pages = {211--220}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-27526-6\_19}, doi = {10.1007/978-3-030-27526-6\_19}, timestamp = {Sat, 19 Oct 2019 20:15:43 +0200}, biburl = {https://dblp.org/rec/conf/icira/LinYWT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/ZhuHWZZWYLSZCL19, author = {Mingxing Zhu and Zhen Huang and Xiaochen Wang and Jiashuo Zhuang and Haoshi Zhang and Xin Wang and Zijian Yang and Lin Lu and Peng Shang and Guoru Zhao and Shixiong Chen and Guanglin Li}, title = {Contraction Patterns of Facial and Neck Muscles in Speaking Tasks Using High-Density Electromyography}, booktitle = {13th International Conference on Sensing Technology, {ICST} 2019, Sydney, Australia, December 2-4, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICST46873.2019.9047731}, doi = {10.1109/ICST46873.2019.9047731}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/ZhuHWZZWYLSZCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/ZhuYZWLZHDSZYCL19, author = {Mingxing Zhu and Zijian Yang and Jiashuo Zhuang and Xiaochen Wang and Lin Lu and Haoshi Zhang and Jianping Huang and Hanjie Deng and Peng Shang and Guoru Zhao and Wanzhang Yang and Shixiong Chen and Guanglin Li}, title = {Comparison of English and Chinese Speech Recognition Using High-Density Electromyography}, booktitle = {13th International Conference on Sensing Technology, {ICST} 2019, Sydney, Australia, December 2-4, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICST46873.2019.9047706}, doi = {10.1109/ICST46873.2019.9047706}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/ZhuYZWLZHDSZYCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/ChenWLZXT19, author = {Ming{-}Puu Chen and Li{-}Chun Wang and Shu{-}Yuan Lin and Di Zou and Haoran Xie and Chin{-}Chung Tsai}, title = {Enhancing Contextualized Learning via {AR}}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {286--289}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00064}, doi = {10.1109/IIAI-AAI.2019.00064}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/ChenWLZXT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YehCSHLTTLCTTLL19, author = {Sung{-}Lin Yeh and Gao{-}Yi Chao and Bo{-}Hao Su and Yu{-}Lin Huang and Meng{-}Han Lin and Yin{-}Chun Tsai and Yu{-}Wen Tai and Zheng{-}Chi Lu and Chieh{-}Yu Chen and Tsung{-}Ming Tai and Chiu{-}Wang Tseng and Cheng{-}Kuang Lee and Chi{-}Chun Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Using Attention Networks and Adversarial Augmentation for Styrian Dialect Continuous Sleepiness and Baby Sound Recognition}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {2398--2402}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2110}, doi = {10.21437/INTERSPEECH.2019-2110}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YehCSHLTTLCTTLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HsiaoWLKLCL19, author = {Wei{-}Hao Hsiao and Nian{-}Jia Wang and Ming{-}Yi Lee and Li{-}Kuang Kuo and Ding{-}Jhang Lin and Yen{-}Hai Chao and Chih{-}Yuan Lu}, title = {Modeling of Apparent Activation Energy and Lifetime Estimation for Retention of 3D {SGVC} Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720463}, doi = {10.1109/IRPS.2019.8720463}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/HsiaoWLKLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/SongLZLPT19, author = {Haoyue Song and Weiyang Lin and Maoqiang Zhou and Gang Liu and Huihui Pan and Mingsi Tong}, title = {Robust {\(\mathscr{H}\)}{\(\infty\)} Control for Disturbance Rejection in a Magnetic Levitation Device}, booktitle = {28th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2019, Vancouver, BC, Canada, June 12-14, 2019}, pages = {2170--2174}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIE.2019.8781533}, doi = {10.1109/ISIE.2019.8781533}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/SongLZLPT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/PengLTW19, author = {Yan{-}Tsung Peng and Ming{-}Hao Lin and Chun{-}Lin Tang and Chin{-}Hsien Wu}, title = {Image Denoising Based on Overlapped and Adaptive Gaussian Smoothing and Convolutional Refinement Networks}, booktitle = {{IEEE} International Symposium on Multimedia, {ISM} 2019, San Diego, CA, USA, December 9-11, 2019}, pages = {136--139}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISM46123.2019.00032}, doi = {10.1109/ISM46123.2019.00032}, timestamp = {Fri, 31 Jan 2020 16:44:43 +0100}, biburl = {https://dblp.org/rec/conf/ism/PengLTW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/KuoWLL19, author = {Tien{-}Ying Kuo and Yu{-}Jen Wei and Ming{-}Jui Lee and Tzu{-}Hao Lin}, title = {Automatic Damage Recovery of Old Photos Based on Convolutional Neural Network}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986336}, doi = {10.1109/ISPACS48206.2019.8986336}, timestamp = {Wed, 19 Feb 2020 17:11:42 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/KuoWLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinLLHCCLLTTJ19, author = {Wei{-}Tin Lin and Zong{-}Yi Lin and Chia{-}Hao Liu and Chia{-}Ming Huang and Li{-}Cheng Chu and Ke{-}Horng Chen and Yin{-}Hsi Lin and Shian{-}Ru Lin and Tsung{-}Yen Tsai and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {Dynamic-Charging Current-Scaling Technique with Dual Accurate Current Control and Temperature Loops with Charging-Current Accuracy up to 99.6{\%} for 1.6{\texttimes} Faster Lithium-Ion Battery Charging}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {434--436}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662335}, doi = {10.1109/ISSCC.2019.8662335}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinLLHCCLLTTJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LinSQL0ZJ19, author = {Ming Lin and Xiaomin Song and Qi Qian and Hao Li and Liang Sun and Shenghuo Zhu and Rong Jin}, editor = {Ankur Teredesai and Vipin Kumar and Ying Li and R{\'{o}}mer Rosales and Evimaria Terzi and George Karypis}, title = {Robust Gaussian Process Regression for Real-Time High Precision {GPS} Signal Enhancement}, booktitle = {Proceedings of the 25th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2019, Anchorage, AK, USA, August 4-8, 2019}, pages = {2838--2847}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3292500.3330695}, doi = {10.1145/3292500.3330695}, timestamp = {Tue, 16 Aug 2022 23:04:27 +0200}, biburl = {https://dblp.org/rec/conf/kdd/LinSQL0ZJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangCCCYLCLLCCC19, author = {Hao{-}Jen Wang and Leng{-}Rong Chen and Li{-}Wei Chen and Yi{-}Chang Chen and Shun{-}Mao Yang and Mong{-}Wei Lin and Joseph Chang and Chia{-}Chen Li and Chia{-}Yen Lee and Jin{-}Shing Chen and Yeun{-}Chung Chang and Chung{-}Ming Chen}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Discrimination of benign and malignant pulmonary tumors in computed tomography: effective priori information of fast learning network architecture}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493B}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512846}, doi = {10.1117/12.2512846}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WangCCCYLCLLCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/Kong0Y0K019, author = {Hao Kong and Li Lu and Jiadi Yu and Yingying Chen and Linghe Kong and Minglu Li}, title = {FingerPass: Finger Gesture-based Continuous User Authentication for Smart Homes Using Commodity WiFi}, booktitle = {Proceedings of the Twentieth {ACM} International Symposium on Mobile Ad Hoc Networking and Computing, Mobihoc 2019, Catania, Italy, July 2-5, 2019}, pages = {201--210}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323679.3326518}, doi = {10.1145/3323679.3326518}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihoc/Kong0Y0K019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/JinLCLW19, author = {Canghong Jin and Haoqiang Liang and Dongkai Chen and Zhiwei Lin and Minghui Wu}, editor = {Qiang Yang and Zhi{-}Hua Zhou and Zhiguo Gong and Min{-}Ling Zhang and Sheng{-}Jun Huang}, title = {Identifying Mobility of Drug Addicts with Multilevel Spatial-Temporal Convolutional Neural Network}, booktitle = {Advances in Knowledge Discovery and Data Mining - 23rd Pacific-Asia Conference, {PAKDD} 2019, Macau, China, April 14-17, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11439}, pages = {477--488}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-16148-4\_37}, doi = {10.1007/978-3-030-16148-4\_37}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/JinLCLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/ZhuYWLZC19, author = {Xiao X. Zhu and Yuan Yu and Peng F. Wang and Ming J. Lin and Hao R. Zhang and Qi X. Cao}, title = {A Visual {SLAM} System Based on the Panoramic Camera}, booktitle = {2019 {IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2019, Irkutsk, Russia, August 4-9, 2019}, pages = {53--58}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/RCAR47638.2019.9044117}, doi = {10.1109/RCAR47638.2019.9044117}, timestamp = {Fri, 03 Apr 2020 10:39:30 +0200}, biburl = {https://dblp.org/rec/conf/rcar/ZhuYWLZC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChenLCYCWS19, author = {Shuo{-}Han Chen and Yong{-}Ching Lin and Yuan{-}Hao Chang and Ming{-}Chang Yang and Tseng{-}Yi Chen and Hsin{-}Wen Wei and Wei{-}Kuan Shih}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {A new sequential-write-constrained cache management to mitigate write amplification for {SMR} drives}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {599--606}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297336}, doi = {10.1145/3297280.3297336}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/ChenLCYCWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/ZhangLGSSZZDLGF19, author = {Tingjian Zhang and Yuxuan Li and Ping Gao and Qi Shao and Mingshan Shao and Meng Zhang and Jinxiao Zhang and Xiaohui Duan and Zhao Liu and Lin Gan and Haohuan Fu and Wei Xue and Weiguo Liu and Guangwen Yang}, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {SW{\_}GROMACS: accelerate {GROMACS} on Sunway TaihuLight}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {66:1--66:14}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500.3356190}, doi = {10.1145/3295500.3356190}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/ZhangLGSSZZDLGF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sice/WangWZLH19, author = {Wei{-}Jen Wang and Chien{-}Feng Wu and Zhi{-}Hao Zhang and Shun{-}You Lin and Tsung{-}Ming Hsu}, title = {Optimal Trajectory Planning with Dynamic Constraints for Autonomous Vehicle}, booktitle = {58th Annual Conference of the Society of Instrument and Control Engineers of Japan, {SICE} 2019, Hiroshima, Japan, September 10-13, 2019}, pages = {1462--1467}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/SICE.2019.8859824}, doi = {10.23919/SICE.2019.8859824}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/sice/WangWZLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/ChenCSLC19, author = {Yu{-}Hsien Chen and Hao{-}Yu Chi and Ling{-}Yen Song and Chien{-}Nan Jimmy Liu and Hung{-}Ming Chen}, title = {A Structure-Based Methodology for Analog Layout Generation}, booktitle = {16th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2019, Lausanne, Switzerland, July 15-18, 2019}, pages = {33--36}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMACD.2019.8795227}, doi = {10.1109/SMACD.2019.8795227}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/smacd/ChenCSLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/LinWFCL19, author = {Jerry Chun{-}Wei Lin and Jimmy Ming{-}Tai Wu and Philippe Fournier{-}Viger and Chun{-}Hao Chen and Ting Li}, title = {A Project-based PMiner Algorithm in Uncertain Databases}, booktitle = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TAAI48200.2019.8959890}, doi = {10.1109/TAAI48200.2019.8959890}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/LinWFCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07386, author = {Kong Aik Lee and Ville Hautam{\"{a}}ki and Tomi Kinnunen and Hitoshi Yamamoto and Koji Okabe and Ville Vestman and Jing Huang and Guohong Ding and Hanwu Sun and Anthony Larcher and Rohan Kumar Das and Haizhou Li and Mickael Rouvier and Pierre{-}Michel Bousquet and Wei Rao and Qing Wang and Chunlei Zhang and Fahimeh Bahmaninezhad and H{\'{e}}ctor Delgado and Jose Patino and Qiongqiong Wang and Ling Guo and Takafumi Koshinaka and Jiacen Zhang and Koichi Shinoda and Trung Ngo Trong and Md. Sahidullah and Fan Lu and Yun Tang and Ming Tu and Kah Kuan Teh and Tran Huy Dat and Kuruvachan K. George and Ivan Kukanov and Florent Desnous and Jichen Yang and Emre Yilmaz and Longting Xu and Jean{-}Fran{\c{c}}ois Bonastre and Chenglin Xu and Zhi Hao Lim and Eng Siong Chng and Shivesh Ranjan and John H. L. Hansen and Massimiliano Todisco and Nicholas W. D. Evans}, title = {{I4U} Submission to {NIST} {SRE} 2018: Leveraging from a Decade of Shared Experiences}, journal = {CoRR}, volume = {abs/1904.07386}, year = {2019}, url = {http://arxiv.org/abs/1904.07386}, eprinttype = {arXiv}, eprint = {1904.07386}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-10014, author = {Kuangen Zhang and Ming Hao and Jing Wang and Clarence W. de Silva and Chenglong Fu}, title = {Linked Dynamic Graph {CNN:} Learning on Point Cloud via Linking Hierarchical Features}, journal = {CoRR}, volume = {abs/1904.10014}, year = {2019}, url = {http://arxiv.org/abs/1904.10014}, eprinttype = {arXiv}, eprint = {1904.10014}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-10014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-01095, author = {Ming Lin and Xiaomin Song and Qi Qian and Hao Li and Liang Sun and Shenghuo Zhu and Rong Jin}, title = {Robust Gaussian Process Regression for Real-Time High Precision {GPS} Signal Enhancement}, journal = {CoRR}, volume = {abs/1906.01095}, year = {2019}, url = {http://arxiv.org/abs/1906.01095}, eprinttype = {arXiv}, eprint = {1906.01095}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-01095.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-01342, author = {Jinpeng Lin and Hao Yang and Dong Chen and Ming Zeng and Fang Wen and Lu Yuan}, title = {Face Parsing with RoI Tanh-Warping}, journal = {CoRR}, volume = {abs/1906.01342}, year = {2019}, url = {http://arxiv.org/abs/1906.01342}, eprinttype = {arXiv}, eprint = {1906.01342}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-01342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-02041, author = {Bingzhen Wei and Mingxuan Wang and Hao Zhou and Junyang Lin and Xu Sun}, title = {Imitation Learning for Non-Autoregressive Neural Machine Translation}, journal = {CoRR}, volume = {abs/1906.02041}, year = {2019}, url = {http://arxiv.org/abs/1906.02041}, eprinttype = {arXiv}, eprint = {1906.02041}, timestamp = {Wed, 22 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-02041.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-02233, author = {Chao Qin and Haoyang Ye and Christian E. Pranata and Jun Han and Ming Liu}, title = {{LINS:} {A} Lidar-Inerital State Estimator for Robust and Fast Navigation}, journal = {CoRR}, volume = {abs/1907.02233}, year = {2019}, url = {http://arxiv.org/abs/1907.02233}, eprinttype = {arXiv}, eprint = {1907.02233}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-02233.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-00964, author = {Haoyang Huang and Yaobo Liang and Nan Duan and Ming Gong and Linjun Shou and Daxin Jiang and Ming Zhou}, title = {Unicoder: {A} Universal Language Encoder by Pre-training with Multiple Cross-lingual Tasks}, journal = {CoRR}, volume = {abs/1909.00964}, year = {2019}, url = {http://arxiv.org/abs/1909.00964}, eprinttype = {arXiv}, eprint = {1909.00964}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-00964.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LinW19a, author = {Hao Lin and Mingqiang Wang}, title = {Repudiable Ring Signature: Stronger Security and Logarithmic-Size}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1269}, year = {2019}, url = {https://eprint.iacr.org/2019/1269}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/LinW19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaiCCLLC18, author = {Ming Dai and Xin Chen and Mian Chen and Haoming Lin and Fangfang Li and Siping Chen}, title = {A Novel Method to Detect Interface of Conductivity Changes in Magneto-Acousto-Electrical Tomography Using Chirp Signal Excitation Method}, journal = {{IEEE} Access}, volume = {6}, pages = {33503--33512}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2841991}, doi = {10.1109/ACCESS.2018.2841991}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DaiCCLLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/FengCLCL18, author = {Po{-}Hao Feng and Tzu{-}Tao Chen and Yin{-}Tzu Lin and Shang{-}Yu Chiang and Chung{-}Ming Lo}, title = {Classification of lung cancer subtypes based on autofluorescence bronchoscopic pattern recognition: {A} preliminary study}, journal = {Comput. Methods Programs Biomed.}, volume = {163}, pages = {33--38}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2018.05.016}, doi = {10.1016/J.CMPB.2018.05.016}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/FengCLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/HaoMDL18, author = {Yukai Hao and Ming Mu and Xiaodi Dai and Xiangdong Li}, title = {Schedulability test for {IMA} systems based on mixed integer linear programming formulation}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {26}, number = {2}, pages = {844--855}, year = {2018}, url = {https://doi.org/10.3906/elk-1706-175}, doi = {10.3906/ELK-1706-175}, timestamp = {Fri, 28 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/elektrik/HaoMDL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ZhangZYLL18, author = {Zhi{-}hao Zhang and Gary Zhang and Kai Yu and Jun{-}ming Lin and Zu{-}hua Liu}, title = {A 0.1 to 2.7-GHz {SOI} {SP8T} antenna switch adopting body self-adapting bias technique for low-loss high-power applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {46}, number = {4}, pages = {827--841}, year = {2018}, url = {https://doi.org/10.1002/cta.2437}, doi = {10.1002/CTA.2437}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcta/ZhangZYLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/XuCGLC18, author = {Zhenxing Xu and Ling Chen and Haodong Guo and Mingqi Lv and Gencai Chen}, title = {User similarity-based gender-aware travel location recommendation by mining geotagged photos}, journal = {Int. J. Embed. Syst.}, volume = {10}, number = {5}, pages = {356--365}, year = {2018}, url = {https://doi.org/10.1504/IJES.2018.10015748}, doi = {10.1504/IJES.2018.10015748}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/XuCGLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/YouLCLH0CCCHSTW18, author = {Chuang{-}Wen You and Ya{-}Fang Lin and Yaliang Chuang and Ya{-}Han Lee and Pei{-}Yi Hsu and Shih{-}Yao Lin and Chih{-}Chun Chang and Yi{-}Ju Chung and Yi{-}Ling Chen and Ming{-}Chyi Huang and Ping{-}Hsuan Shen and Hsin{-}Tung Tseng and Hao{-}Chuan Wang}, title = {SoberMotion: Leveraging the Force of Probation Officers to Reduce the Risk of {DUI} Recidivism}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {2}, number = {3}, pages = {146:1--146:34}, year = {2018}, url = {https://doi.org/10.1145/3264956}, doi = {10.1145/3264956}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/YouLCLH0CCCHSTW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KongRPHP18, author = {Mingming Kong and Fangling Ren and Doo{-}Soon Park and Fei Hao and Zheng Pei}, title = {An Induced Hesitant Linguistic Aggregation Operator and Its Application for Creating Fuzzy Ontology}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {10}, pages = {4952--4975}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.10.018}, doi = {10.3837/TIIS.2018.10.018}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/KongRPHP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/YaoWLZ18, author = {Shao{-}Jun Yao and Liang{-}Hao Wang and Cheng{-}Liang Lin and Ming Zhang}, title = {Real-time stereo to multi-view conversion system based on adaptive meshing}, journal = {J. Real Time Image Process.}, volume = {14}, number = {2}, pages = {481--499}, year = {2018}, url = {https://doi.org/10.1007/s11554-015-0490-x}, doi = {10.1007/S11554-015-0490-X}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/YaoWLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangWDLHC18, author = {Minghua Wang and Jiexian Wang and Danan Dong and Haojun Li and Ling Han and Wen Chen}, title = {Comparison of Three Methods for Estimating {GPS} Multipath Repeat Time}, journal = {Remote. Sens.}, volume = {10}, number = {2}, pages = {6}, year = {2018}, url = {https://doi.org/10.3390/rs10020006}, doi = {10.3390/RS10020006}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangWDLHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YinZNLLL18, author = {Guoan Yin and Hao Zheng and Fujun Niu and Jing Luo and Zhanju Lin and Minghao Liu}, title = {Numerical Mapping and Modeling Permafrost Thermal Dynamics across the Qinghai-Tibet Engineering Corridor, China Integrated with Remote Sensing}, journal = {Remote. Sens.}, volume = {10}, number = {12}, pages = {2069}, year = {2018}, url = {https://doi.org/10.3390/rs10122069}, doi = {10.3390/RS10122069}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YinZNLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DaiCSYCLC18, author = {Ming Dai and Xin Chen and Tong Sun and Lingyao Yu and Mian Chen and Haoming Lin and Siping Chen}, title = {A 2D Magneto-Acousto-Electrical Tomography Method to Detect Conductivity Variation Using Multifocus Image Method}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2373}, year = {2018}, url = {https://doi.org/10.3390/s18072373}, doi = {10.3390/S18072373}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/DaiCSYCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangWCZCY18, author = {Minghao Wang and Meiping Wu and Juliang Cao and Kaidong Zhang and Shaokun Cai and Ruihang Yu}, title = {Strapdown Airborne Gravimetry Quality Assessment Method Based on Single Survey Line Data: {A} Study by {SGA-WZ02} Gravimeter}, journal = {Sensors}, volume = {18}, number = {2}, pages = {360}, year = {2018}, url = {https://doi.org/10.3390/s18020360}, doi = {10.3390/S18020360}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangWCZCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/WangLLZ18, author = {Hao Wang and Mingjie Liao and Ping Lin and Lei Zhang}, title = {A Posteriori Error Estimation and Adaptive Algorithm for Atomistic/Continuum Coupling in Two Dimensions}, journal = {{SIAM} J. Sci. Comput.}, volume = {40}, number = {4}, pages = {A2087--A2119}, year = {2018}, url = {https://doi.org/10.1137/17M1131106}, doi = {10.1137/17M1131106}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamsc/WangLLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LiuLRGWYK18, author = {Jin Liu and Li Lin and Haoliang Ren and Minghao Gu and Jin Wang and Geumran Youn and Jeong{-}Uk Kim}, title = {Building neural network language model with POS-based negative sampling and stochastic conjugate gradient descent}, journal = {Soft Comput.}, volume = {22}, number = {20}, pages = {6705--6717}, year = {2018}, url = {https://doi.org/10.1007/s00500-018-3181-2}, doi = {10.1007/S00500-018-3181-2}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/LiuLRGWYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhangYW0L18, author = {Lanjie Zhang and Xiaobin Yin and Zhenzhan Wang and Hao Liu and Mingsen Lin}, title = {Preliminary Analysis of the Potential and Limitations of {MICAP} for the Retrieval of Sea Surface Salinity}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {11}, number = {9}, pages = {2979--2990}, year = {2018}, url = {https://doi.org/10.1109/JSTARS.2018.2849408}, doi = {10.1109/JSTARS.2018.2849408}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ZhangYW0L18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ShenDYP18, author = {Hao Shen and Mingcheng Dai and Huaicheng Yan and Ju H. Park}, title = {Quantized Output Feedback Control for Stochastic Semi-Markov Jump Systems With Unreliable Links}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {12}, pages = {1998--2002}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2801343}, doi = {10.1109/TCSII.2018.2801343}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ShenDYP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/XiaoLWXL18, author = {Yunpeng Xiao and Xixi Li and Haohan Wang and Ming Xu and Yanbing Liu}, title = {3-HBP: {A} Three-Level Hidden Bayesian Link Prediction Model in Social Networks}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {5}, number = {2}, pages = {430--443}, year = {2018}, url = {https://doi.org/10.1109/TCSS.2018.2812721}, doi = {10.1109/TCSS.2018.2812721}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/XiaoLWXL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/GunawiSSGSLESBM18, author = {Haryadi S. Gunawi and Riza O. Suminto and Russell Sears and Casey Golliher and Swaminathan Sundararaman and Xing Lin and Tim Emami and Weiguang Sheng and Nematollah Bidokhti and Caitie McCaffrey and Deepthi Srinivasan and Biswaranjan Panda and Andrew Baptist and Gary Grider and Parks M. Fields and Kevin Harms and Robert B. Ross and Andree Jacobson and Robert Ricci and Kirk Webb and Peter Alvaro and H. Birali Runesha and Mingzhe Hao and Huaicheng Li}, title = {Fail-Slow at Scale: Evidence of Hardware Performance Faults in Large Production Systems}, journal = {{ACM} Trans. Storage}, volume = {14}, number = {3}, pages = {23:1--23:26}, year = {2018}, url = {https://doi.org/10.1145/3242086}, doi = {10.1145/3242086}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/GunawiSSGSLESBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/YangWDQH18, author = {Ming Yang and Jianhui Wang and Haoran Diao and Junjian Qi and Xueshan Han}, title = {Interval Estimation for Conditional Failure Rates of Transmission Lines With Limited Samples}, journal = {{IEEE} Trans. Smart Grid}, volume = {9}, number = {4}, pages = {2752--2763}, year = {2018}, url = {https://doi.org/10.1109/TSG.2016.2618623}, doi = {10.1109/TSG.2016.2618623}, timestamp = {Wed, 05 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/YangWDQH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LiuCCCLWCF18, author = {Da{-}Wei Liu and Huang{-}Chih Chen and Kuang{-}Yao Chang and Meng{-}Hao Chou and Yi{-}Lin Liu and Jim{-}Wei Wu and Ming{-}Li Chiang and Li{-}Chen Fu}, title = {Design of a High-speed and High-precision Hybrid Scanner with a New Path Planning Strategy Based on Spatial Entropy}, booktitle = {2018 Annual American Control Conference, {ACC} 2018, Milwaukee, WI, USA, June 27-29, 2018}, pages = {2946--2951}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ACC.2018.8431289}, doi = {10.23919/ACC.2018.8431289}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LiuCCCLWCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LiCGS18, author = {Dianqi Li and Haoming Chen and Darren S. Goshi and Ming{-}Ting Sun}, title = {Improving Power Line Detection Based on Phase Difference in Radar Image}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2018, Honolulu, HI, USA, November 12-15, 2018}, pages = {2036--2040}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/APSIPA.2018.8659574}, doi = {10.23919/APSIPA.2018.8659574}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LiCGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangBZSRJLLKL18, author = {Norman Chang and Ajay Baranwal and Hao Zhuang and Ming{-}Chih Shih and Rahul Rajan and Yaowei Jia and Hui{-}Lun Liao and Ying{-}Shiun Li and Ting Ku and Rex Lin}, editor = {Youngsoo Shin}, title = {Machine learning based generic violation waiver system with application on electromigration sign-off}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {416--421}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297359}, doi = {10.1109/ASPDAC.2018.8297359}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangBZSRJLLKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HuangCYCYTO18, author = {Yu{-}Hsuan Huang and Hao{-}Yu Chang and Wan{-}ling Yang and Yu{-}Kai Chiu and Tzu{-}Chieh Yu and Pei{-}Hsuan Tsai and Ming Ouhyoung}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {CatAR: {A} Novel Stereoscopic Augmented Reality Cataract Surgery Training System with Dexterous Instruments Tracking Technology}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {465}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174039}, doi = {10.1145/3173574.3174039}, timestamp = {Fri, 12 Mar 2021 15:28:42 +0100}, biburl = {https://dblp.org/rec/conf/chi/HuangCYCYTO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/PengHTLLHCWCTC18, author = {Yi{-}Hao Peng and Ming{-}Wei Hsu and Paul Taele and Ting{-}Yu Lin and Po{-}En Lai and Leon Hsu and Tzu{-}Chuan Chen and Te{-}Yen Wu and Yu{-}An Chen and Hsien{-}Hui Tang and Mike Y. Chen}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {SpeechBubbles: Enhancing Captioning Experiences for Deaf and Hard-of-Hearing People in Group Conversations}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {293}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173867}, doi = {10.1145/3173574.3173867}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/PengHTLLHCWCTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/ZhouCLWSLGOY18, author = {Hao Zhou and Ming Chen and Qian Lin and Yong Wang and Xiaobin She and Sifan Liu and Rui Gu and Beng Chin Ooi and Junfeng Yang}, title = {Overload Control for Scaling WeChat Microservices}, booktitle = {Proceedings of the {ACM} Symposium on Cloud Computing, SoCC 2018, Carlsbad, CA, USA, October 11-13, 2018}, pages = {149--161}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3267809.3267823}, doi = {10.1145/3267809.3267823}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloud/ZhouCLWSLGOY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/WangLW18, author = {Chieh{-}Hao Wang and Jing{-}Yan Lin and Jen{-}Ming Wu}, title = {Resource Allocation and User Grouping for Sum Rate and Fairness Optimization in {NOMA} and IoT}, booktitle = {2018 {IEEE} Conference on Standards for Communications and Networking, {CSCN} 2018, Paris, France, October 29-31, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CSCN.2018.8581772}, doi = {10.1109/CSCN.2018.8581772}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cscn/WangLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dicta/DuDLZJLHKH18, author = {Haoyuan Du and Liquan Dong and Ming Liu and Yuejin Zhao and Wei Jia and Xiaohua Liu and Mei Hui and Lingqin Kong and Qun Hao}, title = {Image Restoration Based on Deep Convolutional Network in Wavefront Coding Imaging System}, booktitle = {2018 Digital Image Computing: Techniques and Applications, {DICTA} 2018, Canberra, Australia, December 10-13, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DICTA.2018.8615824}, doi = {10.1109/DICTA.2018.8615824}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/dicta/DuDLZJLHKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhuWDBLHWNCLLMW18, author = {Pengfei Zhu and Longyin Wen and Dawei Du and Xiao Bian and Haibin Ling and Qinghua Hu and Haotian Wu and Qinqin Nie and Hao Cheng and Chenfeng Liu and Xiaoyu Liu and Wenya Ma and Lianjie Wang and Arne Schumann and Dan Wang and Diego Ortego and Elena Luna and Emmanouil Michail and Erik Bochinski and Feng Ni and Filiz Bunyak and Gege Zhang and Guna Seetharaman and Guorong Li and Hongyang Yu and Ioannis Kompatsiaris and Jianfei Zhao and Jie Gao and Jos{\'{e}} M. Mart{\'{\i}}nez and Juan C. SanMiguel and Kannappan Palaniappan and Konstantinos Avgerinakis and Lars Wilko Sommer and Martin Lauer and Mengkun Liu and Noor M. Al{-}Shakarji and Oliver Acatay and Panagiotis Giannakeris and Qijie Zhao and Qinghua Ma and Qingming Huang and Stefanos Vrochidis and Thomas Sikora and Tobias Senst and Wei Song and Wei Tian and Wenhua Zhang and Yanyun Zhao and Yidong Bai and Yinan Wu and Yongtao Wang and Yuxuan Li and Zhaoliang Pi and Zhiming Ma}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {VisDrone-VDT2018: The Vision Meets Drone Video Detection and Tracking Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {496--518}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_29}, doi = {10.1007/978-3-030-11021-5\_29}, timestamp = {Mon, 29 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhuWDBLHWNCLLMW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/JiangYYPBHPL18, author = {Lin Jiang and Lianshan Yan and Anlin Yi and Yan Pan and Tianwai Bo and Ming Hao and Wei Pan and Bin Luo}, title = {Robust and Blind Modulation Format Identification for Elastic Optical Networks}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535367}, doi = {10.1109/ECOC.2018.8535367}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/JiangYYPBHPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/GunawiSSGSLESBM18, author = {Haryadi S. Gunawi and Riza O. Suminto and Russell Sears and Casey Golliher and Swaminathan Sundararaman and Xing Lin and Tim Emami and Weiguang Sheng and Nematollah Bidokhti and Caitie McCaffrey and Gary Grider and Parks M. Fields and Kevin Harms and Robert B. Ross and Andree Jacobson and Robert Ricci and Kirk Webb and Peter Alvaro and H. Birali Runesha and Mingzhe Hao and Huaicheng Li}, editor = {Nitin Agrawal and Raju Rangaswami}, title = {Fail-Slow at Scale: Evidence of Hardware Performance Faults in Large Production Systems}, booktitle = {16th {USENIX} Conference on File and Storage Technologies, {FAST} 2018, Oakland, CA, USA, February 12-15, 2018}, pages = {1--14}, publisher = {{USENIX} Association}, year = {2018}, url = {https://www.usenix.org/conference/fast18/presentation/gunawi}, timestamp = {Tue, 02 Feb 2021 08:06:35 +0100}, biburl = {https://dblp.org/rec/conf/fast/GunawiSSGSLESBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/JianXSY18a, author = {Ming{-}Shen Jian and Hao{-}Yi Xu and Jenn{-}Feng Sheen and Yi{-}Ling Ye}, title = {Cloud based agriculture safety inspection with multiple standard sources}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {201--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323696}, doi = {10.23919/ICACT.2018.8323696}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/JianXSY18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/WangWLLHZYSTZLK18, author = {Yihua Wang and Hongmin Wang and Mingyang Liu and Peiming Lin and Yida Hu and Ruixue Zhang and Hao Yan and Peixuan Shi and Jie Tang and Ye Zong and Wenyong Liu and Shaolong Kuang and Baiquan Su}, title = {A Soft Robotic Hand Pad with Active Balancing Contact Force of All Fingers}, booktitle = {14th {IEEE} International Conference on Control and Automation, {ICCA} 2018, Anchorage, AK, USA, June 12-15, 2018}, pages = {1156--1161}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCA.2018.8444333}, doi = {10.1109/ICCA.2018.8444333}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icca/WangWLLHZYSTZLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLWHC18, author = {Ping{-}Hsien Lin and Yu{-}Ming Chang and Yung{-}Chun Li and Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang}, editor = {Iris Bahar}, title = {Achieving fast sanitization with zero live data copy for {MLC} flash memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {41}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240773}, doi = {10.1145/3240765.3240773}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/LinMZC18, author = {Yunhan Lin and Huasong Min and Haotian Zhou and Mingyu Chen}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne and Phalguni Gupta}, title = {A Natural Language Interaction Based Automatic Operating System for Industrial Robot}, booktitle = {Intelligent Computing Theories and Application - 14th International Conference, {ICIC} 2018, Wuhan, China, August 15-18, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10954}, pages = {111--122}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-95930-6\_12}, doi = {10.1007/978-3-319-95930-6\_12}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/LinMZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/ChenCHY18, author = {Ming{-}Puu Chen and Yi{-}Husan Chen and Hao{-}Ling Huang and Teng{-}Chih Yang}, title = {Learning Health Concepts through Game-Play}, booktitle = {7th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2018, Yonago, Japan, July 8-13, 2018}, pages = {354--357}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IIAI-AAI.2018.00076}, doi = {10.1109/IIAI-AAI.2018.00076}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/ChenCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiki/CheZLL18, author = {Yongxing Che and Mingxing Zhou and Haotong Li and Lin Li}, editor = {Rongfang Bie and Yunchuan Sun and Jiguo Yu}, title = {Design and Test of an Absorber with a Transparent Window}, booktitle = {2018 International Conference on Identification, Information and Knowledge in the Internet of Things, {IIKI} 2018, Beijing, China, October 19-21, 2018}, series = {Procedia Computer Science}, volume = {147}, pages = {217--220}, publisher = {Elsevier}, year = {2018}, url = {https://doi.org/10.1016/j.procs.2019.01.227}, doi = {10.1016/J.PROCS.2019.01.227}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiki/CheZLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SuYKCZLL18, author = {Bo{-}Hao Su and Sung{-}Lin Yeh and Ming{-}Ya Ko and Huan{-}Yu Chen and Shun{-}Chang Zhong and Jeng{-}Lin Li and Chi{-}Chun Lee}, editor = {B. Yegnanarayana}, title = {Self-Assessed Affect Recognition Using Fusion of Attentional {BLSTM} and Static Acoustic Features}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {536--540}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-2261}, doi = {10.21437/INTERSPEECH.2018-2261}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SuYKCZLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiL0SLWYXHMZDGL18, author = {Can Li and Yunning Li and Hao Jiang and Wenhao Song and Peng Lin and Zhongrui Wang and J. Joshua Yang and Qiangfei Xia and Miao Hu and Eric Montgomery and Jiaming Zhang and Noraica D{\'{a}}vila and Catherine E. Graves and Zhiyong Li and John Paul Strachan and R. Stanley Williams and Ning Ge and Mark Barnell and Qing Wu}, title = {Large Memristor Crossbars for Analog Computing}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351877}, doi = {10.1109/ISCAS.2018.8351877}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiL0SLWYXHMZDGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangMJJLLSRLBWX18, author = {Zhongrui Wang and Rivu Midya and Saumil Joshi and Hao Jiang and Can Li and Peng Lin and Wenhao Song and Mingyi Rao and Yunning Li and Mark Barnell and Qing Wu and Qiangfei Xia and J. Joshua Yang}, title = {Unconventional computing with diffusive memristors}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351882}, doi = {10.1109/ISCAS.2018.8351882}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangMJJLLSRLBWX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuYCLHSWHCLYS18, author = {Meng{-}Yi Wu and Tsao{-}Hsin Yang and Lun{-}Chun Chen and Chi{-}Chang Lin and Hao{-}Chun Hu and Fang{-}Ying Su and Chih{-}Min Wang and James Po{-}Hao Huang and Hsin{-}Ming Chen and Chris Chun{-}Hung Lu and Evans Ching{-}Song Yang and Rick Shih{-}Jye Shen}, title = {A {PUF} scheme using competing oxide rupture with bit error rate approaching zero}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {130--132}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310218}, doi = {10.1109/ISSCC.2018.8310218}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuYCLHSWHCLYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/ChenYWLCHLC18, author = {Li{-}Wei Chen and Shun{-}Mao Yang and Hao{-}Jen Wang and Mong{-}Wei Lin and Leng{-}Rong Chen and Fu{-}Sheng Hsu and Chia{-}Chen Li and Chung{-}Ming Chen}, editor = {Barjor Gimi and Andrzej Kr{\'{o}}l}, title = {A competing round-robin prediction model for histologic subtype prediction of lung adenocarcinomas based on thoracic computed tomography}, booktitle = {Medical Imaging 2018: Biomedical Applications in Molecular, Structural, and Functional Imaging, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10578}, pages = {105782M}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2291968}, doi = {10.1117/12.2291968}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mibam/ChenYWLCHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/LinYCCC18, author = {Yi{-}Ling Lin and Ming{-}Chang Yang and Yuan{-}Hao Chang and Che{-}Wei Chang and Shuo{-}Han Chen}, title = {On Harmonizing Data Lifetime and Block Retention Time for Flash Devices}, booktitle = {{IEEE} 7th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2018, Hakodate, Sapporo, Japan, August 28-31, 2018}, pages = {73--78}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NVMSA.2018.00020}, doi = {10.1109/NVMSA.2018.00020}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/LinYCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChiTYMCLL18, author = {Ming{-}Te Chi and Hao{-}Hsuan Tang and Chih{-}Kuo Yeh and Charles C. Morace and Hui{-}Nieg Chou and Shih{-}Syun Lin and Tong{-}Yee Lee}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Alphabet collage art generation}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {56:1--56:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283311}, doi = {10.1145/3283289.3283311}, timestamp = {Sun, 02 Dec 2018 12:01:29 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChiTYMCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/LinZCWCH18, author = {Jerry Chun{-}Wei Lin and Yuyu Zhang and Chun{-}Hao Chen and Jimmy Ming{-}Tai Wu and Chien{-}Ming Chen and Tzung{-}Pei Hong}, title = {A Multiple Objective PSO-Based Approach for Data Sanitization}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2018, Taichung, Taiwan, November 30 - December 2, 2018}, pages = {148--151}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/TAAI.2018.00039}, doi = {10.1109/TAAI.2018.00039}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/LinZCWCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/YehLHCYL18, author = {Kun{-}Ying Yeh and Ting{-}Hao Lin and Yi{-}Yen Hsieh and Chia{-}Ming Chang and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {A cuffless wearable system for real-time cutaneous pressure monitoring with cloud computing assistance}, booktitle = {2018 International Symposium on {VLSI} Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-DAT.2018.8373251}, doi = {10.1109/VLSI-DAT.2018.8373251}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/YehLHCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-07479, author = {Junnan Yang and Ming Ding and Guoqiang Mao and Zihuai Lin and De{-}gan Zhang and Tom Hao Luan}, title = {Optimal Base Station Antenna Downtilt in Downlink Cellular Networks}, journal = {CoRR}, volume = {abs/1802.07479}, year = {2018}, url = {http://arxiv.org/abs/1802.07479}, eprinttype = {arXiv}, eprint = {1802.07479}, timestamp = {Wed, 18 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-07479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11801, author = {Can Li and Zhongrui Wang and Mingyi Rao and Daniel Belkin and Wenhao Song and Hao Jiang and Peng Yan and Yunning Li and Peng Lin and Miao Hu and Ning Ge and John Paul Strachan and Mark Barnell and Qing Wu and R. Stanley Williams and J. Joshua Yang and Qiangfei Xia}, title = {Long short-term memory networks in memristor crossbars}, journal = {CoRR}, volume = {abs/1805.11801}, year = {2018}, url = {http://arxiv.org/abs/1805.11801}, eprinttype = {arXiv}, eprint = {1805.11801}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11801.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-04075, author = {Hao Zhou and Ming Chen and Qian Lin and Yong Wang and Xiaobin She and Sifan Liu and Rui Gu and Junfeng Yang}, title = {Scalable Overload Control for Large-scale Microservice Architecture}, journal = {CoRR}, volume = {abs/1806.04075}, year = {2018}, url = {http://arxiv.org/abs/1806.04075}, eprinttype = {arXiv}, eprint = {1806.04075}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-04075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-05536, author = {Joris Van Kerrebrouck and Xiaodan Pang and Oskars Ozolins and Rui Lin and Aleksejs Udalcovs and Lu Zhang and Haolin Li and Silvia Spiga and Markus{-}Christian Amann and Lin Gan and Ming Tang and Songnian Fu and Richard Schatz and Gunnar Jacobsen and Sergei Popov and Deming Liu and Weijun Tong and Guy Torfs and Johan Bauwelinck and Jiajia Chen and Xin Yin}, title = {High-speed PAM4-based Optical {SDM} Interconnects with Directly Modulated Long-wavelength {VCSEL}}, journal = {CoRR}, volume = {abs/1812.05536}, year = {2018}, url = {http://arxiv.org/abs/1812.05536}, eprinttype = {arXiv}, eprint = {1812.05536}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-05536.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhouLXWW17, author = {Ming{-}Yang Zhou and Hao Liao and Wen{-}Man Xiong and Xiang{-}yang Wu and Zong{-}Wen Wei}, title = {Connecting Patterns Inspire Link Prediction in Complex Networks}, journal = {Complex.}, volume = {2017}, pages = {8581365:1--8581365:12}, year = {2017}, url = {https://doi.org/10.1155/2017/8581365}, doi = {10.1155/2017/8581365}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/ZhouLXWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HuangJYLYHZ17, author = {Changchun Huang and Quanliang Jiang and Ling Yao and Yunmei Li and Hao Yang and Tao Huang and Mingli Zhang}, title = {Spatiotemporal Variation in Particulate Organic Carbon Based on Long-Term {MODIS} Observations in Taihu Lake, China}, journal = {Remote. Sens.}, volume = {9}, number = {6}, pages = {624}, year = {2017}, url = {https://doi.org/10.3390/rs9060624}, doi = {10.3390/RS9060624}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HuangJYLYHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YuWZCCWW17, author = {Ruihang Yu and Meiping Wu and Kaidong Zhang and Shaokun Cai and Juliang Cao and Minghao Wang and Lin Wang}, title = {A New Method for Land Vehicle Gravimetry Using {SINS/VEL}}, journal = {Sensors}, volume = {17}, number = {4}, pages = {766}, year = {2017}, url = {https://doi.org/10.3390/s17040766}, doi = {10.3390/S17040766}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YuWZCCWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/YanJDLJ17, author = {Hao Yan and Lei Jiang and Lide Duan and Wei{-}Ming Lin and Eugene John}, title = {FlowPaP and FlowReR: Improving Energy Efficiency and Performance for STT-MRAM-Based Handheld Devices under Read Disturbance}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {16}, number = {5s}, pages = {132:1--132:20}, year = {2017}, url = {https://doi.org/10.1145/3126532}, doi = {10.1145/3126532}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/YanJDLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HungSLHHCCLC17, author = {Meng{-}Hsiung Hung and Yi{-}Shing Shih and Chin{-}Fu Li and Wei{-}Kai Hong and Ming{-}Yeh Hsu and Chih{-}Hao Chen and Yu{-}Lun Chen and Chun{-}Wei Lin and Yuan{-}Hung Chung}, title = {A reconfigurable dual-band WiFi/BT combo transceiver with integrated 2G/BT SP3T, {LNA/PA} achieving concurrent receiving and wide dynamic range transmitting in 40nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {177--180}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240245}, doi = {10.1109/ASSCC.2017.8240245}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HungSLHHCCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/besc/LaiYHLC17, author = {Kaisheng Lai and Haoshen Yang and Lingnan He and Weiming Lu and Hao Chen}, editor = {Yves Demazeau and Jianbo Gao and Guandong Xu and Jaroslaw Kozlak and Klaus M{\"{u}}ller and Imran Razzak and Hao Chen and Yanhui Gu}, title = {Who would prefer to mention you on the urban microblog mention network?: Evidence from Sina microblog data across 94 cities in China}, booktitle = {2017 International Conference on Behavioral, Economic, Socio-cultural Computing, {BESC} 2017, Krakow, Poland, October 16-18, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BESC.2017.8256401}, doi = {10.1109/BESC.2017.8256401}, timestamp = {Thu, 02 May 2024 20:50:55 +0200}, biburl = {https://dblp.org/rec/conf/besc/LaiYHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WangLXXX17, author = {Haohan Wang and Xiang Liu and Yunpeng Xiao and Ming Xu and Eric P. Xing}, editor = {Xiaohua Hu and Chi{-}Ren Shyu and Yana Bromberg and Jean Gao and Yang Gong and Dmitry Korkin and Illhoi Yoo and Huiru Jane Zheng}, title = {Multiplex confounding factor correction for genomic association mapping with squared sparse linear mixed model}, booktitle = {2017 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2017, Kansas City, MO, USA, November 13-16, 2017}, pages = {194--201}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BIBM.2017.8217649}, doi = {10.1109/BIBM.2017.8217649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/WangLXXX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LinLKHLWC17, author = {Ya{-}Fang Avon Lin and Kelvin Cheng{-}Yuan Li and Yanina Kalinicheva and Ming{-}Chyi Huang and Chao{-}Hui Lee and Hao{-}Chuan Wang and Hao{-}Hua Chu}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {Case Study of Adapting a Phone-based Support System to Enable Drug-dependent Patients to Develop Coping Skills}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {985--993}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3053333}, doi = {10.1145/3027063.3053333}, timestamp = {Tue, 06 Nov 2018 16:58:46 +0100}, biburl = {https://dblp.org/rec/conf/chi/LinLKHLWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LiangYCCCWS17, author = {Li{-}Zheng Liang and Ming{-}Chang Yang and Yuan{-}Hao Chang and Tseng{-}Yi Chen and Shuo{-}Han Chen and Hsin{-}Wen Wei and Wei{-}Kuan Shih}, editor = {Sorel Reisman and Sheikh Iqbal Ahamed and Claudio Demartini and Thomas M. Conte and Ling Liu and William R. Claycomb and Motonori Nakamura and Edmundo Tovar and Stelvio Cimato and Chung{-}Horng Lung and Hiroki Takakura and Ji{-}Jiang Yang and Toyokazu Akiyama and Zhiyong Zhang and Kamrul Hasan}, title = {xB+-Tree: Access-Pattern-Aware Cache-Line-Based Tree for Non-volatile Main Memory Architecture}, booktitle = {41st {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2017, Turin, Italy, July 4-8, 2017. Volume 1}, pages = {483--491}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/COMPSAC.2017.267}, doi = {10.1109/COMPSAC.2017.267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LiangYCCCWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/WangLW17, author = {Chieh{-}Hao Wang and Jing{-}Yan Lin and Jen{-}Ming Wu}, title = {Joint fairness and sum rate resource allocation for {NOMA} communications}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2017, Helsinki, Finland, September 18-20, 2017}, pages = {269--274}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CSCN.2017.8088633}, doi = {10.1109/CSCN.2017.8088633}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cscn/WangLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/HsuLHCLLYCHTW17, author = {Pei{-}Yi Hsu and Ya{-}Fang Lin and Jian{-}Lun Huang and Chih{-}Chun Chang and Shih{-}Yao Lin and Ya{-}Han Lee and Chuang{-}Wen You and Yaliang Chuang and Ming{-}Chyi Huang and Hsin{-}Tung Tseng and Hao{-}Chuan Wang}, editor = {Seungyon Claire Lee and Leila Takayama and Khai N. Truong}, title = {A mobile support system to assist {DUI} offenders on probation in reducing {DUI} relapse}, booktitle = {Adjunct Proceedings of the 2017 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2017 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2017, Maui, HI, USA, September 11-15, 2017}, pages = {77--80}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123024.3123154}, doi = {10.1145/3123024.3123154}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/HsuLHCLLYCHTW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LiuJHCYL17, author = {Tsu{-}Ming Liu and Chi{-}Cheng Ju and Yu{-}Hao Huang and Tsui{-}Shan Chang and Kai{-}Min Yang and Yi{-}Ting Lin}, title = {A 360-degree 4K{\texttimes}2K pan oramic video processing Over Smart-phones}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2017, Las Vegas, NV, USA, January 8-10, 2017}, pages = {247--249}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCE.2017.7889303}, doi = {10.1109/ICCE.2017.7889303}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LiuJHCYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChangLHLJ17, author = {Tsui{-}Shan Chang and Yi{-}Ting Lin and Yu{-}Hao Huang and Tsu{-}Ming Liu and Chi{-}Cheng Ju}, title = {Adaptive region of interest processing for panoramic system}, booktitle = {2017 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops, Hong Kong, China, July 10-14, 2017}, pages = {351--356}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICMEW.2017.8026232}, doi = {10.1109/ICMEW.2017.8026232}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChangLHLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/YangYZ17, author = {Haojun Yang and Ming Yu and Xuming Zeng}, editor = {Giancarlo Fortino and MengChu Zhou and Zofia Lukszo and Athanasios V. Vasilakos and Francesco Basile and Carlos Enrique Palau and Antonio Liotta and Maria Pia Fanti and Antonio Guerrieri and Andrea Vinci}, title = {Link available time prediction based {GPSR} for vehicular ad hoc networks}, booktitle = {14th {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2017, Calabria, Italy, May 16-18, 2017}, pages = {293--298}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICNSC.2017.8000107}, doi = {10.1109/ICNSC.2017.8000107}, timestamp = {Sat, 06 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/YangYZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/YouYYLK17, author = {Jia{-}Hao You and Zhe{-}Ming Yang and Cheng{-}Yeng Yang and Kuei{-}Huei Lin and Wen{-}Hsuan Kuan}, title = {Microwave mesh and wireless heterodyne sensing}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8234014}, doi = {10.1109/ICSENS.2017.8234014}, timestamp = {Thu, 15 Dec 2022 12:09:14 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/YouYYLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/LuWWLDW17, author = {Weiming Lu and Peng Wang and Huan Wang and Jiahui Liu and Hao Dai and Baogang Wei}, editor = {Xuanjing Huang and Jing Jiang and Dongyan Zhao and Yansong Feng and Yu Hong}, title = {Cross-Lingual Entity Matching for Heterogeneous Online Wikis}, booktitle = {Natural Language Processing and Chinese Computing - 6th {CCF} International Conference, {NLPCC} 2017, Dalian, China, November 8-12, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10619}, pages = {887--899}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-73618-1\_78}, doi = {10.1007/978-3-319-73618-1\_78}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/nlpcc/LuWWLDW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfid/HungCLTLWCCCHYL17, author = {Ming{-}Hao Hung and Chung{-}Hung Chen and Yi{-}Cheng Lai and Kuan{-}Wen Tung and Wei{-}Ting Lin and Hsiu{-}Hua Wang and Feng{-}Jui Chan and Chun{-}Cheng Cheng and Chin{-}Tang Chuang and Yu{-}Sheng Huang and Cheng{-}Nan Yeh and Chu{-}Yu Liu and Jen{-}Pei Tseng and Min{-}Feng Chiang and Yu{-}Chieh Lin}, title = {Ultra low voltage 1-V {RFID} tag implement in a-IGZO {TFT} technology on plastic}, booktitle = {2017 {IEEE} International Conference on RFID, {RFID} 2017, Phoenix, AZ, USA, May 9-11, 2017}, pages = {193--197}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RFID.2017.7945608}, doi = {10.1109/RFID.2017.7945608}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/rfid/HungCLTLWCCCHYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/Zhou0ERLLKN17, author = {Mingchuan Zhou and Kai Huang and Abouzar Eslami and Hessam Roodaki and Haotian Lin and Chris P. Lohmann and Alois C. Knoll and M. Ali Nasseri}, title = {Beveled needle position and pose estimation based on optical coherence tomography in ophthalmic microsurgery}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {308--313}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324435}, doi = {10.1109/ROBIO.2017.8324435}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/Zhou0ERLLKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/SungWL17, author = {Guo{-}Ming Sung and Hsin{-}Kwang Wang and Jhih{-}Hao Lin}, title = {Serial interface engine asic with usb physical transceiver based on fpga development board}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {410--413}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8122639}, doi = {10.1109/SMC.2017.8122639}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/SungWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spac/YangQXLDW17, author = {Ming Yang and Yeqiang Qian and Linji Xue and Hao Li and Liuyuan Deng and Chunxiang Wang}, title = {{G2P:} {A} new descriptor for pedestrian detection}, booktitle = {International Conference on Security, Pattern Analysis, and Cybernetics, {SPAC} 2017, Shenzhen, China, December 15-17, 2017}, pages = {411--416}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SPAC.2017.8304314}, doi = {10.1109/SPAC.2017.8304314}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spac/YangQXLDW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/WuSWCKHLLC17, author = {Te{-}Yen Wu and Hao{-}Ping Shen and Yu{-}Chian Wu and Yu{-}An Chen and Pin{-}Sung Ku and Ming{-}Wei Hsu and Jun{-}You Liu and Yu{-}Chih Lin and Mike Y. Chen}, editor = {Krzysztof Gajos and Jennifer Mankoff and Chris Harrison}, title = {CurrentViz: Sensing and Visualizing Electric Current Flows of Breadboarded Circuits}, booktitle = {Proceedings of the 30th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2017, Quebec City, QC, Canada, October 22 - 25, 2017}, pages = {343--349}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126594.3126646}, doi = {10.1145/3126594.3126646}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/WuSWCKHLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/WuWLSWCKHLC17, author = {Te{-}Yen Wu and Bryan Wang and Jiun{-}Yu Lee and Hao{-}Ping Shen and Yu{-}Chian Wu and Yu{-}An Chen and Pin{-}Sung Ku and Ming{-}Wei Hsu and Yu{-}Chih Lin and Mike Y. Chen}, editor = {Krzysztof Gajos and Jennifer Mankoff and Chris Harrison}, title = {CircuitSense: Automatic Sensing of Physical Circuits and Generation of Virtual Circuits to Support Software Tools}, booktitle = {Proceedings of the 30th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2017, Quebec City, QC, Canada, October 22 - 25, 2017}, pages = {311--319}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126594.3126634}, doi = {10.1145/3126594.3126634}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/WuWLSWCKHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TuYHLCCTSLCF17, author = {Yo{-}Hao Tu and Kai{-}Wen Yao and Minghao Huang and Yu{-}Yun Lin and Hao{-}Yu Chi and Po{-}Min Cheng and Pei{-}Yun Tsai and Muh{-}Tian Shiue and Chien{-}Nan Liu and Kuo{-}Hsing Cheng and Jia{-}Shiang Fu}, title = {A body sensor node SoC for {ECG/EMG} applications with compressed sensing and wireless powering}, booktitle = {2017 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-DAT.2017.7939668}, doi = {10.1109/VLSI-DAT.2017.7939668}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TuYHLCCTSLCF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/HsuLCFL17, author = {Chin{-}Chi Hsu and Yi{-}An Lai and Wen{-}Hao Chen and Ming{-}Han Feng and Shou{-}De Lin}, editor = {Maarten de Rijke and Milad Shokouhi and Andrew Tomkins and Min Zhang}, title = {Unsupervised Ranking using Graph Structures and Node Attributes}, booktitle = {Proceedings of the Tenth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2017, Cambridge, United Kingdom, February 6-10, 2017}, pages = {771--779}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3018661.3018668}, doi = {10.1145/3018661.3018668}, timestamp = {Mon, 20 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/HsuLCFL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiaoZWMVZ17, author = {Hao Liao and Ming{-}Yang Zhou and Zong{-}Wen Wei and Rui Mao and Alexandre Vidmer and Yi{-}Cheng Zhang}, title = {Hidden space reconstruction inspires link prediction in complex networks}, journal = {CoRR}, volume = {abs/1705.02199}, year = {2017}, url = {http://arxiv.org/abs/1705.02199}, eprinttype = {arXiv}, eprint = {1705.02199}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiaoZWMVZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-06104, author = {Li Yi and Lin Shao and Manolis Savva and Haibin Huang and Yang Zhou and Qirui Wang and Benjamin Graham and Martin Engelcke and Roman Klokov and Victor S. Lempitsky and Yuan Gan and Pengyu Wang and Kun Liu and Fenggen Yu and Panpan Shui and Bingyang Hu and Yan Zhang and Yangyan Li and Rui Bu and Mingchao Sun and Wei Wu and Minki Jeong and Jaehoon Choi and Changick Kim and Angom Geetchandra and Narasimha Murthy and Bhargava Ramu and Bharadwaj Manda and M. Ramanathan and Gautam Kumar and P. Preetham and Siddharth Srivastava and Swati Bhugra and Brejesh Lall and Christian H{\"{a}}ne and Shubham Tulsiani and Jitendra Malik and Jared Lafer and Ramsey Jones and Siyuan Li and Jie Lu and Shi Jin and Jingyi Yu and Qixing Huang and Evangelos Kalogerakis and Silvio Savarese and Pat Hanrahan and Thomas A. Funkhouser and Hao Su and Leonidas J. Guibas}, title = {Large-Scale 3D Shape Reconstruction and Segmentation from ShapeNet Core55}, journal = {CoRR}, volume = {abs/1710.06104}, year = {2017}, url = {http://arxiv.org/abs/1710.06104}, eprinttype = {arXiv}, eprint = {1710.06104}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-06104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-07104, author = {Haoyang Ye and Ming Liu}, title = {LiDAR and Inertial Fusion for Pose Estimation by Non-linear Optimization}, journal = {CoRR}, volume = {abs/1710.07104}, year = {2017}, url = {http://arxiv.org/abs/1710.07104}, eprinttype = {arXiv}, eprint = {1710.07104}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-07104.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeLHHTLLSHYYZH16, author = {Peisan Lee and Ju{-}Chi Liu and Ming{-}Hsiung Hsieh and Wen{-}Rui Hao and Yuan{-}Teng Tseng and Shuen{-}Hsin Liu and Yung{-}Kuo Lin and Li{-}Chin Sung and Jen{-}Hung Huang and Hung{-}Yu Yang and Jong{-}Shiuan Ye and He{-}Shun Zheng and Min{-}Huei Hsu and Syed Abdul Shabbir and Richard Lu and Phung Anh Nguyen and Usman Iqbal and Chih{-}Wei Huang and Wen{-}Shan Jian and Yu{-}Chuan (Jack) Li}, title = {Cloud-based {BP} system integrated with {CPOE} improves self-management of the hypertensive patients: {A} randomized controlled trial}, journal = {Comput. Methods Programs Biomed.}, volume = {132}, pages = {105--113}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.04.003}, doi = {10.1016/J.CMPB.2016.04.003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeLHHTLLSHYYZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinCCLW16, author = {Kuo{-}Ping Lin and Hao{-}Feng Chang and Tung{-}Liang Chen and Yu{-}Ming Lu and Ching{-}Hsin Wang}, title = {Intuitionistic fuzzy C-regression by using least squares support vector regression}, journal = {Expert Syst. Appl.}, volume = {64}, pages = {296--304}, year = {2016}, url = {https://doi.org/10.1016/j.eswa.2016.07.040}, doi = {10.1016/J.ESWA.2016.07.040}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LinCCLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinZZZLOL16, author = {Jun{-}ming Lin and Gary Zhang and Zhi{-}hao Zhang and Yao{-}hua Zheng and Jia{-}jin Li and Li{-}xiang Ou and Zu{-}hua Liu}, title = {A compact multi-mode multi-band power amplifier with harmonic-suppression matching networks for {GSM/TD-SCDMA/LTE} terminals}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {24}, pages = {20161033}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20161033}, doi = {10.1587/ELEX.13.20161033}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinZZZLOL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZYLHL16, author = {Zhi{-}hao Zhang and Gary Zhang and Kai Yu and Jun{-}ming Lin and Liang Huang and Zu{-}hua Liu}, title = {Dual {SPDT/SP3T} {SOI} {CMOS} switch adopting alternative bias strategy with enhanced performance compared to the conventional case}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {11}, pages = {20160322}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20160322}, doi = {10.1587/ELEX.13.20160322}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZYLHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/GuoCCL16, author = {Haodong Guo and Ling Chen and Gencai Chen and Mingqi Lv}, title = {Smartphone-based activity recognition independent of device orientation and placement}, journal = {Int. J. Commun. Syst.}, volume = {29}, number = {16}, pages = {2403--2415}, year = {2016}, url = {https://doi.org/10.1002/dac.3010}, doi = {10.1002/DAC.3010}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/GuoCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/XuLLHHZLZ16, author = {Mingliang Xu and Pei Lv and Mingyuan Li and Fang Hao and Hongling Zhao and Bing Zhou and Yusong Lin and Li{-}Wei Zhou}, title = {Medical image denoising by parallel non-local means}, journal = {Neurocomputing}, volume = {195}, pages = {117--122}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.08.117}, doi = {10.1016/J.NEUCOM.2015.08.117}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/XuLLHHZLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/AgrawalUKFCMBRB16, author = {Harshit Agrawal and Udayan Umapathi and Robert Kovacs and Johannes Frohnhofen and Hsiang{-}Ting Chen and Stefanie Mueller and Patrick Baudisch and Calvin Rubens and Sean Braley and Antonio Gomes and Daniel Goc and Xujing Zhang and Juan Pablo Carrascal and Roel Vertegaal and Yu{-}Hsuan Huang and Tzu{-}Chieh Yu and Pei{-}Hsuan Tsai and Yu{-}Xiang Wang and Wan{-}ling Yang and Hao{-}Yu Chang and Yu{-}Kai Chiu and Yu{-}Ju Tsai and Ming Ouhyoung and Munehiko Sato and Rohan S. Puri and Alex Olwal and Deepak Chandra and Ivan Poupyrev and Ramesh Raskar}, title = {Demo hour}, journal = {Interactions}, volume = {23}, number = {2}, pages = {8--11}, year = {2016}, url = {https://doi.org/10.1145/2878936}, doi = {10.1145/2878936}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interactions/AgrawalUKFCMBRB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/HuangYTWYCCTO16, author = {Yu{-}Hsuan Huang and Tzu{-}Chieh Yu and Pei{-}Hsuan Tsai and Yu{-}Xiang Wang and Wan{-}ling Yang and Hao{-}Yu Chang and Yu{-}Kai Chiu and Yu{-}Ju Tsai and Ming Ouhyoung}, title = {Scope+}, journal = {Interactions}, volume = {23}, number = {4}, pages = {16--17}, year = {2016}, url = {https://doi.org/10.1145/2929499}, doi = {10.1145/2929499}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interactions/HuangYTWYCCTO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JuLLCCWWLHCLCLC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen}, title = {A 0.5 nJ/Pixel 4 {K} {H.265/HEVC} Codec {LSI} for Multi-Format Smartphone Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {56--67}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2465857}, doi = {10.1109/JSSC.2015.2465857}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JuLLCCWWLHCLCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/WongJRLLKSYW16, author = {Chin Yeow Wong and Guannan Jiang and Md. Arifur Rahman and Shilong Liu and Stephen Ching{-}Feng Lin and Ngai Ming Kwok and Haiyan Shi and Ying{-}Hao Yu and Tonghai Wu}, title = {Histogram equalization and optimal profile compression based approach for colour image enhancement}, journal = {J. Vis. Commun. Image Represent.}, volume = {38}, pages = {802--813}, year = {2016}, url = {https://doi.org/10.1016/j.jvcir.2016.04.019}, doi = {10.1016/J.JVCIR.2016.04.019}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/WongJRLLKSYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YangJTML16, author = {Minghao Yang and Jinlin Jiang and Jianhua Tao and Kaihui Mu and Hao Li}, title = {Emotional head motion predicting from prosodic and linguistic features}, journal = {Multim. Tools Appl.}, volume = {75}, number = {9}, pages = {5125--5146}, year = {2016}, url = {https://doi.org/10.1007/s11042-016-3405-3}, doi = {10.1007/S11042-016-3405-3}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/YangJTML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TsaiLY16, author = {Hui Ping Tsai and Yu{-}Hao Lin and Ming{-}Der Yang}, title = {Exploring Long Term Spatial Vegetation Trends in Taiwan from {AVHRR} NDVI3g Dataset Using {RDA} and {HCA} Analyses}, journal = {Remote. Sens.}, volume = {8}, number = {4}, pages = {290}, year = {2016}, url = {https://doi.org/10.3390/rs8040290}, doi = {10.3390/RS8040290}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/TsaiLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChenTHLC16, author = {Ming{-}Hung Chen and Yu{-}Chih Tung and Shih{-}Hao Hung and Kate Ching{-}Ju Lin and Cheng{-}Fu Chou}, title = {Availability Is Not Enough: Minimizing Joint Response Time in Peer-Assisted Cloud Storage Systems}, journal = {{IEEE} Syst. J.}, volume = {10}, number = {4}, pages = {1424--1434}, year = {2016}, url = {https://doi.org/10.1109/JSYST.2014.2388223}, doi = {10.1109/JSYST.2014.2388223}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChenTHLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LinHYL16, author = {Yu{-}Shian Lin and Kai{-}Wei Hu and Tsu{-}Hao Yeh and Chang{-}Ming Liaw}, title = {An Electric-Vehicle {IPMSM} Drive With Interleaved Front-End {DC/DC} Converter}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {65}, number = {6}, pages = {4493--4504}, year = {2016}, url = {https://doi.org/10.1109/TVT.2015.2435040}, doi = {10.1109/TVT.2015.2435040}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LinHYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/HuangSLH16, author = {Li{-}Hong Huang and Kai{-}Ting Shr and Ming{-}Hung Lin and Yuan{-}Hao Huang}, title = {A Noise-Robust Convex-Optimized Positioning System Based on Code-Aided {RSS} Estimation and Virtual Base Station Transform}, journal = {J. Signal Process. Syst.}, volume = {84}, number = {3}, pages = {309--323}, year = {2016}, url = {https://doi.org/10.1007/s11265-015-1082-5}, doi = {10.1007/S11265-015-1082-5}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/HuangSLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/GongHL16, author = {Ming Gong and Pin{-}Han Ho and Chih{-}Hao Lin}, title = {A coordinated multi-point-based quality of service provision resource allocation scheme with inter-cell interference mitigation}, journal = {Wirel. Commun. Mob. Comput.}, volume = {16}, number = {6}, pages = {656--668}, year = {2016}, url = {https://doi.org/10.1002/wcm.2559}, doi = {10.1002/WCM.2559}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/GongHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/LinHLW16, author = {Yun{-}Te Lin and Yi{-}Hao Hsiao and Fang{-}Pang Lin and Chung{-}Ming Wang}, title = {A hybrid cache architecture of shared memory and meta-table used in big multimedia query}, booktitle = {15th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2016, Okayama, Japan, June 26-29, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICIS.2016.7550809}, doi = {10.1109/ICIS.2016.7550809}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/LinHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/LinHMC16, author = {Chih{-}Hsueh Lin and Wen{-}Shyong Hsieh and Fu Mo and Ming{-}Hao Chang}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Antonio J. Jara and Yann Bocchi}, title = {A {PTC} Scheme for Internet of Things: Private-Trust-Confidentiality}, booktitle = {30th International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2016 Workshops, Crans-Montana, Switzerland, March 23-25, 2016}, pages = {969--974}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/WAINA.2016.36}, doi = {10.1109/WAINA.2016.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/LinHMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuCCYLCTLCCTCL16, author = {Liang{-}Ying Lu and Ching{-}Yao Chang and Zhao{-}Hong Chen and Bo{-}Ting Yeh and Tai{-}Hua Lu and Peng{-}Yu Chen and Pin{-}Hao Tang and Kuen{-}Jong Lee and Lih{-}Yih Chiou and Soon{-}Jyh Chang and Chien{-}Hung Tsai and Chung{-}Ho Chen and Jai{-}Ming Lin}, title = {A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {17--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7427980}, doi = {10.1109/ASPDAC.2016.7427980}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuCCYLCTLCCTCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ZhangXZGX16, author = {Yue Zhang and Chun{-}Ming Xia and Hao Zhong and Xiao{-}Lin Gu and Tao Xie}, editor = {Yaoli Wang and Jiancheng An and Lipo Wang and Qingli Li and Gaowei Van and Qing Chang}, title = {The correlation analysis of muscle fatigue degree of flexor carpi radialis and mechanomyographic frequency-domain features}, booktitle = {9th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2016, Datong, China, October 15-17, 2016}, pages = {954--958}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CISP-BMEI.2016.7852849}, doi = {10.1109/CISP-BMEI.2016.7852849}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ZhangXZGX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/JiangYLLYCL16, author = {Yun{-}Zhi Jiang and Wei{-}Chang Yeh and Chyh{-}Ming Lai and Hsiu{-}Hao Liu and Che{-}Hou Yeh and Yuk Ying Chung and Jsen{-}Shung Lin}, title = {Integrated use of soft computing and clustering for capacitated clustering single-facility location problem with one-time delivery}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {2701--2705}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7744128}, doi = {10.1109/CEC.2016.7744128}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/JiangYLLYCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YouLLTHLWC16, author = {Chuang{-}Wen You and Ya{-}Fang Lin and Cheng{-}Yuan Li and Yu{-}Lun Tsai and Ming{-}Chyi Huang and Chao{-}Hui Lee and Hao{-}Chuan Wang and Hao{-}Hua Chu}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {KeDiary: Using Mobile Phones to Assist Patients in Recovering from Drug Addiction}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {5704--5709}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858185}, doi = {10.1145/2858036.2858185}, timestamp = {Wed, 01 Jun 2022 08:38:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/YouLLTHLWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ChangLLLC16, author = {Yu{-}Ming Chang and Yung{-}Chun Li and Ping{-}Hsien Lin and Hsiang{-}Pang Li and Yuan{-}Hao Chang}, title = {Realizing erase-free {SLC} flash memory with rewritable programming design}, booktitle = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES} 2016, Pittsburgh, Pennsylvania, USA, October 1-7, 2016}, pages = {7:1--7:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968456.2968462}, doi = {10.1145/2968456.2968462}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/ChangLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWCLCCCWHWCC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chia{-}Yun Cheng and Hue{-}Min Lin and Chun{-}Chia Chen and Min{-}Hao Chiu and Ping Chao and Ming{-}Long Wu and Meng{-}Jye Hu and Sheng{-}Jen Wang and Che{-}Hong Chen and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Fu{-}Chun Yeh and Chia{-}Hung Kao and Yi{-}Chang Chen and Chia{-}Lin Ho and Yenchieh Huang and Hsiao{-}En Chen and Chih{-}Wen Yang and Hsuan{-}Wen Peng}, title = {A 2.6mm\({}^{\mbox{2}}\) 0.19nJ/pixel {VP9} and multi-standard decoder {LSI} for Android 4K {TV} applications}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {109--112}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598254}, doi = {10.1109/ESSCIRC.2016.7598254}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWCLCCCWHWCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/PengLLHL16, author = {Wei{-}Hao Peng and Ming{-}Yang Lee and Tsung{-}Han Li and Chi{-}Hung Huang and Po{-}Chiang Lin}, title = {Performance comparison of image keypoint detection, description, and matching methods}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800416}, doi = {10.1109/GCCE.2016.7800416}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/PengLLHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZaoJCGWLLZLLCLH16, author = {John K. Zao and Tzyy{-}Ping Jung and Hung{-}Ming Chang and Tchin Tze Gan and Yu{-}Te Wang and Yuan{-}Pin Lin and Wen{-}Hao Liu and Guang{-}Yu Zheng and Chin{-}Kuo Lin and Chia{-}Hung Lin and Yu{-}Yi Chien and Fang{-}Cheng Lin and Yi{-}Pai Huang and Sergio Jose Rodriguez Mendez and Felipe A. Medeiros}, editor = {Dylan D. Schmorrow and Cali M. Fidopiastis}, title = {Augmenting {VR/AR} Applications with {EEG/EOG} Monitoring and Oculo-Vestibular Recoupling}, booktitle = {Foundations of Augmented Cognition: Neuroergonomics and Operational Neuroscience - 10th International Conference, {AC} 2016, Held as Part of {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9743}, pages = {121--131}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39955-3\_12}, doi = {10.1007/978-3-319-39955-3\_12}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZaoJCGWLLZLLCLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinTHHCYFZCLCKT16, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Kenny Cheng{-}Hsiang Hsieh and Wen{-}Hung Huang and Yu{-}Chi Chen and Shu{-}Chun Yang and Chin{-}Ming Fu and Hao{-}Jie Zhan and Jinn{-}Yeh Chien and Shao{-}Yu Li and Y.{-}H. Chen and C.{-}C. Kuo and Shih{-}Peng Tai and Kazuyoshi Yamada}, title = {A 16nm 256-bit wide 89.6GByte/s total bandwidth in-package interconnect with 0.3V swing and 0.062pJ/bit power in InFO package}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936211}, doi = {10.1109/HOTCHIPS.2016.7936211}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LinTHHCYFZCLCKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/LiYCLLHCC16, author = {Cheng{-}Yuan Li and Chuang{-}Wen You and Yaliang Chuang and Ya{-}Fang Lin and Ya{-}Han Lee and Ming{-}Chyi Huang and Hao{-}Hua Chu and Lin{-}Lin Chen}, editor = {Paul Lukowicz and Antonio Kr{\"{u}}ger and Andreas Bulling and Youn{-}Kyung Lim and Shwetak N. Patel}, title = {Challenges and opportunities for designing new technology to reveal early warning signs in acute psychiatric units}, booktitle = {Proceedings of the 2016 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2016 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC Adjunct 2016, Heidelberg, Germany, September 12-16, 2016}, pages = {145--148}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2968219.2971436}, doi = {10.1145/2968219.2971436}, timestamp = {Tue, 26 Mar 2024 12:15:04 +0100}, biburl = {https://dblp.org/rec/conf/huc/LiYCLLHCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenLJWHH16, author = {Hou{-}Ming Chen and Kuang{-}Hao Lin and Shih{-}Han Jheng and Tai{-}Jhen Wang and Yi{-}Rong Huang and Xian{-}Ji Huang}, title = {An accuracy temperature sensor for 3D printing technology}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7520992}, doi = {10.1109/ICCE-TW.2016.7520992}, timestamp = {Mon, 29 Nov 2021 08:26:42 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenLJWHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinSDWCT16, author = {Kuang{-}Hao Lin and Che{-}Ying Shen and Jin{-}Lin Du and Guo{-}Yi Wang and Hou{-}Ming Chen and Jan{-}Dong Tseng}, title = {A design of constant temperature control system in 3D printer}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7520991}, doi = {10.1109/ICCE-TW.2016.7520991}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LinSDWCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/TsaiCLL16, author = {Ting{-}Hao Tsai and Wen{-}Cheng Chou and Wen{-}Yen Lin and Ming{-}Yih Lee}, title = {The design of a tilt sensing companion chip for accelerometers}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7521003}, doi = {10.1109/ICCE-TW.2016.7521003}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/TsaiCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WeiCWLC16, author = {Ming{-}Fan Wei and Robert Chen{-}Hao Chang and Chia{-}Yu Wang and Chih{-}Hung Lin and Hung{-}Lieh Chen}, title = {Design of a flexible {PPG} signal processing wireless device}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2016, Nantou County, Taiwan, May 27-29, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-TW.2016.7521007}, doi = {10.1109/ICCE-TW.2016.7521007}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/WeiCWLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/HuangCLL16, author = {Ming{-}Shi Huang and Pro{-}Hao Chen and Faa{-}Jeng Lin and Chi{-}Chia Liao}, title = {A novel small signal modeling of series resonant converter based on peak value of resonant current}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2016, Taipei, Taiwan, March 14-17, 2016}, pages = {240--244}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIT.2016.7474758}, doi = {10.1109/ICIT.2016.7474758}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icit2/HuangCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuJHCYL16, author = {Tsu{-}Ming Liu and Chi{-}Cheng Ju and Yu{-}Hao Huang and Tsui{-}Shan Chang and Kai{-}Min Yang and Yi{-}Ting Lin}, title = {A 360-degree 4K{\texttimes}2K panoramic video recording over smart-phones}, booktitle = {2016 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2016, Seattle, WA, USA, July 11-15, 2016}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICMEW.2016.7574658}, doi = {10.1109/ICMEW.2016.7574658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiuJHCYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiki/LiangHLZ16, author = {Hongliang Liang and Qichen Hao and Mingyu Li and Yini Zhang}, title = {Semantics-Based Anomaly Detection of Processes in Linux Containers}, booktitle = {International Conference on Identification, Information and Knowledge in the Internet of Things, {IIKI} 2016, Beijing, China, October 20-21, 2016}, pages = {60--63}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IIKI.2016.99}, doi = {10.1109/IIKI.2016.99}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiki/LiangHLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/XuLZYWZ16, author = {Bo Xu and Hongfei Lin and Mingzhen Zhao and Zhihao Yang and Jian Wang and Shaowu Zhang}, editor = {Chin{-}Yew Lin and Nianwen Xue and Dongyan Zhao and Xuanjing Huang and Yansong Feng}, title = {Detecting Potential Adverse Drug Reactions from Health-Related Social Networks}, booktitle = {Natural Language Understanding and Intelligent Applications - 5th {CCF} Conference on Natural Language Processing and Chinese Computing, {NLPCC} 2016, and 24th International Conference on Computer Processing of Oriental Languages, {ICCPOL} 2016, Kunming, China, December 2-6, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10102}, pages = {523--530}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-50496-4\_45}, doi = {10.1007/978-3-319-50496-4\_45}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/nlpcc/XuLZYWZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/HuangYKCHCO16, author = {Yu{-}Hsuan Huang and Wan{-}Ling Yang and Yi{-}Lung Kao and Yu{-}Kai Chiu and Ya{-}Bo Huang and Hao{-}Yu Chang and Ming Ouhyoung}, editor = {Eric Liu and Juan Miguel de Joya}, title = {A novel dexterous instrument tracking system for augmented reality cataract surgery training system}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - {VR} Showcase}, pages = {14:1--14:2}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2996376.2996388}, doi = {10.1145/2996376.2996388}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/HuangYKCHCO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ChiuCYHM16, author = {Yu{-}Kai Chiu and Hao{-}Yu Chang and Wan{-}ling Yang and Yu{-}Hsuan Huang and Ouhyoung Ming}, editor = {Jun Rekimoto and Takeo Igarashi and Jacob O. Wobbrock and Daniel Avrahami}, title = {A Novel Real Time Monitor System of 3D Printing Layers for Better Slicing Parameter Setting}, booktitle = {Proceedings of the 29th Annual Symposium on User Interface Software and Technology, {UIST} 2016 Adjunct Volume, Tokyo, Japan, October 16 - 19, 2016}, pages = {209--210}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984751.2984773}, doi = {10.1145/2984751.2984773}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/ChiuCYHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/LinWRJLKSYW15, author = {Stephen Ching{-}Feng Lin and Chin Yeow Wong and Md. Arifur Rahman and Guannan Jiang and Shilong Liu and Ngai Ming Kwok and Haiyan Shi and Ying{-}Hao Yu and Tonghai Wu}, title = {Image enhancement using the averaging histogram equalization {(AVHEQ)} approach for contrast improvement and brightness preservation}, journal = {Comput. Electr. Eng.}, volume = {46}, pages = {356--370}, year = {2015}, url = {https://doi.org/10.1016/j.compeleceng.2015.06.001}, doi = {10.1016/J.COMPELECENG.2015.06.001}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/LinWRJLKSYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChangWLWLLCLLHW15, author = {Hsin{-}Yi Chang and Chia{-}Yu Wang and Ming{-}Hsien Lee and Hsin{-}Kai Wu and Jyh{-}Chong Liang and Silvia Wen{-}Yu Lee and Guo{-}Li Chiou and Hao{-}Chang Lo and Jing{-}Wen Lin and Chung{-}Yuan Hsu and Ying{-}Tien Wu and Sufen Chen and Fu{-}Kwun Hwang and Chin{-}Chung Tsai}, title = {A review of features of technology-supported learning environments based on participants' perceptions}, journal = {Comput. Hum. Behav.}, volume = {53}, pages = {223--237}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2015.06.042}, doi = {10.1016/J.CHB.2015.06.042}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ChangWLWLLCLLHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZhangHHGS15, author = {Mingxi Zhang and Hao Hu and Zhenying He and Liping Gao and Liujie Sun}, title = {Efficient link-based similarity search in web networks}, journal = {Expert Syst. Appl.}, volume = {42}, number = {22}, pages = {8868--8880}, year = {2015}, url = {https://doi.org/10.1016/j.eswa.2015.07.042}, doi = {10.1016/J.ESWA.2015.07.042}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ZhangHHGS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/JianGTB15, author = {Jin{-}Bao Jian and Chuan{-}Hao Guo and Chun{-}Ming Tang and Yan{-}Qin Bai}, title = {A new superlinearly convergent algorithm of combining {QP} subproblem with system of linear equations for nonlinear optimization}, journal = {J. Comput. Appl. Math.}, volume = {273}, pages = {88--102}, year = {2015}, url = {https://doi.org/10.1016/j.cam.2014.06.009}, doi = {10.1016/J.CAM.2014.06.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcam/JianGTB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/LiSLTCHKLLLYCCJ15, author = {Chun{-}Liang Li and Yu{-}Chuan Su and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Chun{-}Pai Yang and Cheng{-}Xia Chang and Wei{-}Sheng Chin and Yu{-}Chin Juan and Hsiao{-}Yu Tung and Jui{-}Pin Wang and Cheng{-}Kuang Wei and Felix Wu and Tu{-}Chun Yin and Tong Yu and Yong Zhuang and Shou{-}De Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Combination of feature engineering and ranking models for paper-author identification in {KDD} cup 2013}, journal = {J. Mach. Learn. Res.}, volume = {16}, pages = {2921--2947}, year = {2015}, url = {https://dl.acm.org/doi/10.5555/2789272.2912094}, doi = {10.5555/2789272.2912094}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/LiSLTCHKLLLYCCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/Yu0Z0HZCX0PJY15, author = {Yiming Yu and Jie Zhang and Yongli Zhao and Yi Lin and Jianrui Han and Haomian Zheng and Yadi Cui and Minglu Xiao and Hui Li and Yang Peng and Yuefeng Ji and Haifeng Yang}, title = {Field Demonstration of Multi-Domain Software-Defined Transport Networking With Multi-Controller Collaboration for Data Center Interconnection [Invited]}, journal = {{JOCN}}, volume = {7}, number = {2}, pages = {A301--A308}, year = {2015}, url = {https://doi.org/10.1364/jocn.7.00a301}, doi = {10.1364/JOCN.7.00A301}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/Yu0Z0HZCX0PJY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YangTCLZCGL15, author = {Minghao Yang and Jianhua Tao and Linlin Chao and Hao Li and Dawei Zhang and Hao Che and Tingli Gao and Bin Liu}, title = {User behavior fusion in dialog management with multi-modal history cues}, journal = {Multim. Tools Appl.}, volume = {74}, number = {22}, pages = {10025--10051}, year = {2015}, url = {https://doi.org/10.1007/s11042-014-2161-5}, doi = {10.1007/S11042-014-2161-5}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/YangTCLZCGL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/SorzanoKHURHLWC15, author = {Carlos Oscar S{\'{a}}nchez Sorzano and Anik Khan and Forsad Al Hossain and Tawab Ullash and Abu Raihan and Mohammed Ariful Haque and Kai{-}Wen Liang and Yen{-}Chen Wu and Guan{-}Lin Chao and Kuan{-}Hao Huang and Shao{-}Hua Sun and Ming{-}Jen Yang and Po{-}Wen Hsiao and Ti{-}Fen Pan and Yi{-}Ching Chiu and Wei{-}Chih Tu and Shao{-}Yi Chien and Emroz Khan and Shiekh Zia Uddin and Mukhlasur Rhaman Tanvir and Md. Kamrul Hasan and Jes{\'{u}}s Cuenca Alba}, title = {Undergraduate Students Compete in the {IEEE} Signal Processing Cup: Part 2 [sp Education]}, journal = {{IEEE} Signal Process. Mag.}, volume = {32}, number = {5}, pages = {109--111}, year = {2015}, url = {https://doi.org/10.1109/MSP.2015.2435816}, doi = {10.1109/MSP.2015.2435816}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/SorzanoKHURHLWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeHHLCL15, author = {Shuenn{-}Yuh Lee and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Ming{-}Chun Liang and Shih{-}Yu Chang Chien and Kuang{-}Hao Lin}, title = {Low-Power Wireless {ECG} Acquisition and Classification System for Body Sensor Networks}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {19}, number = {1}, pages = {236--246}, year = {2015}, url = {https://doi.org/10.1109/JBHI.2014.2310354}, doi = {10.1109/JBHI.2014.2310354}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LeeHHLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChouHCYTLCJC15, author = {Hsuan{-}Ming Chou and Ming{-}Yi Hsiao and Yi{-}Chiao Chen and Keng{-}Hao Yang and Jean Tsao and Chiao{-}Ling Lung and Shih{-}Chieh Chang and Wen{-}Ben Jone and Tien{-}Fu Chen}, title = {Soft-Error-Tolerant Design Methodology for Balancing Performance, Power, and Reliability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {9}, pages = {1628--1639}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2348872}, doi = {10.1109/TVLSI.2014.2348872}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChouHCYTLCJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinHFCS15, author = {Jing{-}Shiun Lin and Yin{-}Tsung Hwang and Shih{-}Hao Fang and Po{-}Han Chu and Ming{-}Der Shieh}, title = {Low-Complexity High-Throughput {QR} Decomposition Design for {MIMO} Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {10}, pages = {2342--2346}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2361906}, doi = {10.1109/TVLSI.2014.2361906}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinHFCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ZhouYWSCLN15, author = {Zimu Zhou and Zheng Yang and Chenshu Wu and Longfei Shangguan and Haibin Cai and Yunhao Liu and Lionel M. Ni}, title = {WiFi-Based Indoor Line-of-Sight Identification}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {14}, number = {11}, pages = {6125--6136}, year = {2015}, url = {https://doi.org/10.1109/TWC.2015.2448540}, doi = {10.1109/TWC.2015.2448540}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ZhouYWSCLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdva/KuanTKHWLC15, author = {Yen{-}Ting Kuan and Ming{-}Hung Tai and Hsuan{-}Hao Kuan and Tan{-}Chi Ho and Yu{-}Shuen Wang and Wen{-}Chieh Lin and Jung{-}Hong Chuang}, title = {Interactive Visual Analysis of Browsing and Authoring Behaviors in Web Media}, booktitle = {Big Data Visual Analytics, {BDVA} 2015, Hobart, Australia, September 22-25, 2015}, pages = {88--95}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BDVA.2015.7314298}, doi = {10.1109/BDVA.2015.7314298}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/bdva/KuanTKHWLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhaoXLYW15, author = {Mingzhen Zhao and Bo Xu and Hongfei Lin and Zhihao Yang and Jian Wang}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Discover potential adverse drug reactions using the skip-gram model}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {1765--1767}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359955}, doi = {10.1109/BIBM.2015.7359955}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhaoXLYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbd/QuanWHZL15, author = {Xue{-}sen Quan and Pei{-}hong Wang and Yong{-}sheng Hao and Ming Zhao and Meng{-}yang Li}, title = {Analysis of Line Loss Based on Matlab and Oracle Database}, booktitle = {Third International Conference on Advanced Cloud and Big Data, {CBD} 2015, Yangzhou, Jiangsu, China, October 30 - Nov. 1, 2015}, pages = {327--331}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CBD.2015.59}, doi = {10.1109/CBD.2015.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbd/QuanWHZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YouWHCLHWHC15, author = {Chuang{-}Wen You and Kuo{-}Cheng Wang and Ming{-}Chyi Huang and Yen{-}Chang Chen and Cheng{-}Lin Lin and Po{-}Shiun Ho and Hao{-}Chuan Wang and Polly Huang and Hao{-}Hua Chu}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {SoberDiary: {A} Phone-based Support System for Assisting Recovery from Alcohol Dependence}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {3839--3848}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702289}, doi = {10.1145/2702123.2702289}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/YouWHCLHWHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/HeTHTYL15, author = {Ling He and Jie Tan and HuaQing Hao and Ming Tang and Heng Yin and Margaret Lech}, title = {Automatic evaluation of resonance and articulation disorders in cleft palate speech}, booktitle = {{IEEE} China Summit and International Conference on Signal and Information Processing, ChinaSIP 2015, Chengdu, China, July 12-15, 2015}, pages = {358--362}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ChinaSIP.2015.7230424}, doi = {10.1109/CHINASIP.2015.7230424}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/HeTHTYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChungLLSLHLWHHC15, author = {Yuan{-}Hung Chung and Che{-}Hung Liao and Chun{-}Wei Lin and Yi{-}Shing Shih and Chin{-}Fu Li and Meng{-}Hsiung Hung and Ming{-}Chung Liu and Pi{-}An Wu and Jui{-}Lin Hsu and Ming{-}Yeh Hsu and Sheng{-}Hao Chen and Po{-}Yu Chang and Chih{-}Hao Chen and Yu{-}Hsien Chang and Jun{-}Yu Chen and Tao{-}Yao Chang and George Chien}, title = {A dual-band 802.11abgn/ac transceiver with integrated {PA} and {T/R} switch in a digital noise controlled SoC}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338361}, doi = {10.1109/CICC.2015.7338361}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChungLLSLHLWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/WuYXXMWLDZ15, author = {Ming Wu and Fan Yang and Jilong Xue and Wencong Xiao and Youshan Miao and Lan Wei and Haoxiang Lin and Yafei Dai and Lidong Zhou}, editor = {Shahram Ghandeharizadeh and Sumita Barahmand and Magdalena Balazinska and Michael J. Freedman}, title = {GraM: scaling graph computation to the trillions}, booktitle = {Proceedings of the Sixth {ACM} Symposium on Cloud Computing, SoCC 2015, Kohala Coast, Hawaii, USA, August 27-29, 2015}, pages = {408--421}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2806777.2806849}, doi = {10.1145/2806777.2806849}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloud/WuYXXMWLDZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DengWLW15, author = {Hao Deng and Huiming Wang and Chaowen Liu and Wenjie Wang}, title = {Performance Analysis of Linear Precoding for Secure Multiuser {MIMO} Systems with a Multiple-Antenna Eavesdropper}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417657}, doi = {10.1109/GLOCOM.2014.7417657}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/DengWLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/YouLCTLHLWC15, author = {Chuang{-}Wen You and Cheng{-}Yuan Li and Yen{-}Chang Chen and Yu{-}Lun Tsai and Cheng{-}Lin Lin and Ming{-}Chyi Huang and Chao{-}Hui Lee and Hao{-}Chuan Wang and Hao{-}Hua Chu}, editor = {Kenji Mase and Marc Langheinrich and Daniel Gatica{-}Perez and Hans Gellersen and Tanzeem Choudhury and Koji Yatani}, title = {Using mobile phones to assist patients in recovering from ketamine addiction}, booktitle = {Proceedings of the 2015 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2015 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC Adjunct 2015, Osaka, Japan, September 7-11, 2015}, pages = {113--116}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2800835.2800885}, doi = {10.1145/2800835.2800885}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/YouLCTLHLWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ZhouYWLN15, author = {Zimu Zhou and Zheng Yang and Chenshu Wu and Yunhao Liu and Lionel M. Ni}, title = {On Multipath Link Characterization and Adaptation for Device-Free Human Detection}, booktitle = {35th {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2015, Columbus, OH, USA, June 29 - July 2, 2015}, pages = {389--398}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICDCS.2015.47}, doi = {10.1109/ICDCS.2015.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/ZhouYWLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuCWLCCCWCHYCL15, author = {Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chia{-}Lin Ho and Chi{-}Cheng Ju}, title = {Energy and area efficient hardware implementation of 4K Main-10 {HEVC} decoder in Ultra-HD Blu-ray player and {TV} systems}, booktitle = {2015 {IEEE} International Conference on Multimedia and Expo, {ICME} 2015, Turin, Italy, June 29 - July 3, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICME.2015.7177399}, doi = {10.1109/ICME.2015.7177399}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiuCWLCCCWCHYCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiki/0006FLD15, author = {Peng Shi and Mingzhe Fang and Hao Lin and Lianhong Ding}, title = {A Method for Information Source Locating with Incomplete Observation of Online Social Network}, booktitle = {International Conference on Identification, Information, and Knowledge in the Internet of Things, {IIKI} 2015, Beijing, China, October 22-23, 2015}, pages = {98--99}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IIKI.2015.29}, doi = {10.1109/IIKI.2015.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiki/0006FLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LinCHC15, author = {Chi{-}Hsuan Lin and Yu{-}Ming Chang and Pi{-}Cheng Hsiu and Yuan{-}Hao Chang}, title = {Energy stealing - an exploration into unperceived activities on mobile systems}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {261--266}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273524}, doi = {10.1109/ISLPED.2015.7273524}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LinCHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLLCCWWLHCLCLC15, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Fu{-}Chun Yeh and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Ryan Chen and H. Y. Hsu and Kevin Jou}, title = {18.6 {A} 0.5nJ/pixel 4K {H.265/HEVC} codec {LSI} for multi-format smartphone applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063063}, doi = {10.1109/ISSCC.2015.7063063}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLLCCWWLHCLCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LinCWHSC15, author = {Chun{-}Ting Lin and Ching{-}Hao Chen and Chien{-}Ting Wu and Chien{-}Nan Hsiao and Ming{-}Hua Shiao and Mao{-}Nan Chang}, title = {A gold nano-dot modified silicon tip apex for scanning Kelvin probe microscopy}, booktitle = {10th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015}, pages = {613--616}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/NEMS.2015.7147504}, doi = {10.1109/NEMS.2015.7147504}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/LinCWHSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChenMQGCLQL15, author = {Bing Chen and Hao Ma and Lai{-}Yin Qin and Xiao Guan and Kai{-}Ming Chan and Sheung{-}Wai Law and Ling Qin and Wei{-}Hsin Liao}, title = {Design of a lower extremity exoskeleton for motion assistance in paralyzed individuals}, booktitle = {2015 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2015, Zhuhai, China, December 6-9, 2015}, pages = {144--149}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ROBIO.2015.7418758}, doi = {10.1109/ROBIO.2015.7418758}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChenMQGCLQL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/GuoCCL15, author = {Haodong Guo and Ling Chen and Gencai Chen and Mingqi Lv}, title = {An Interpretable Orientation and Placement Invariant Approach for Smartphone Based Activity Recognition}, booktitle = {2015 {IEEE} 12th Intl Conf on Ubiquitous Intelligence and Computing and 2015 {IEEE} 12th Intl Conf on Autonomic and Trusted Computing and 2015 {IEEE} 15th Intl Conf on Scalable Computing and Communications and Its Associated Workshops (UIC-ATC-ScalCom), Beijing, China, August 10-14, 2015}, pages = {143--150}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/UIC-ATC-ScalCom-CBDCom-IoP.2015.44}, doi = {10.1109/UIC-ATC-SCALCOM-CBDCOM-IOP.2015.44}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uic/GuoCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FangCLSH15, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Jing{-}Shiun Lin and Ming{-}Der Shieh and Jen{-}Yuan Hsu}, title = {Blind Channel Estimation for CP/CP-Free {OFDM} Systems Using Subspace Approach}, booktitle = {{IEEE} 81st Vehicular Technology Conference, {VTC} Spring 2015, Glasgow, United Kingdom, 11-14 May, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VTCSpring.2015.7145717}, doi = {10.1109/VTCSPRING.2015.7145717}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FangCLSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ChinCWHKL14, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Hsin{-}Hung Wu and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {cytoHubba: identifying hub objects and sub-networks from complex interactome}, journal = {{BMC} Syst. Biol.}, volume = {8}, number = {{S-4}}, pages = {S11}, year = {2014}, url = {https://doi.org/10.1186/1752-0509-8-S4-S11}, doi = {10.1186/1752-0509-8-S4-S11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/ChinCWHKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiZXLP14, author = {Ziqiang Li and Mingtian Zhou and Lijia Xu and Hao Lin and Haibo Pu}, title = {Training sparse {SVM} on the core sets of fitting-planes}, journal = {Neurocomputing}, volume = {130}, pages = {20--27}, year = {2014}, url = {https://doi.org/10.1016/j.neucom.2013.04.046}, doi = {10.1016/J.NEUCOM.2013.04.046}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LiZXLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/ChinZJWTYWCYCHKLLLSWYLLTLLL14, author = {Wei{-}Sheng Chin and Yong Zhuang and Yu{-}Chin Juan and Felix Wu and Hsiao{-}Yu Tung and Tong Yu and Jui{-}Pin Wang and Cheng{-}Xia Chang and Chun{-}Pai Yang and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Yu{-}Chuan Su and Cheng{-}Kuang Wei and Tu{-}Chun Yin and Chun{-}Liang Li and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Shou{-}De Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Effective string processing and matching for author disambiguation}, journal = {J. Mach. Learn. Res.}, volume = {15}, number = {1}, pages = {3037--3064}, year = {2014}, url = {https://dl.acm.org/doi/10.5555/2627435.2697062}, doi = {10.5555/2627435.2697062}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ChinZJWTYWCYCHKLLLSWYLLTLLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChanNGCCGCLDLTRPKPJ14, author = {Wai Pan Chan and Margarita Narducci and Yuan Gao and Ming{-}Yuan Cheng and Jia Hao Cheong and Arup K. George and Daw Don Cheam and Siew Chong Leong and Maria Ramona B. Damalerio and Ruiqi Lim and Ming{-}Ling Tsai and Abdur R. A. Rahman and Mi Kyoung Park and Zhi{-}Hui Kong and Rao Jai Prashanth and Minkyu Je}, title = {A Monolithically Integrated Pressure/Oxygen/Temperature Sensing SoC for Multimodality Intracranial Neuromonitoring}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {11}, pages = {2449--2461}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2014.2345754}, doi = {10.1109/JSSC.2014.2345754}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChanNGCCGCLDLTRPKPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/misq/HaoF14, author = {Lin Hao and Ming Fan}, title = {An Analysis of Pricing Models in the Electronic Book Market}, journal = {{MIS} Q.}, volume = {38}, number = {4}, pages = {1017--1032}, year = {2014}, url = {https://doi.org/10.25300/misq/2014/38.4.04}, doi = {10.25300/MISQ/2014/38.4.04}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/misq/HaoF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/LiZLP14, author = {Ziqiang Li and Mingtian Zhou and Hao Lin and Haibo Pu}, title = {A two stages sparse {SVM} training}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {5}, number = {3}, pages = {425--434}, year = {2014}, url = {https://doi.org/10.1007/s13042-013-0181-5}, doi = {10.1007/S13042-013-0181-5}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mlc/LiZLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ZhangSMWWHZLLHTXWWXDCHY14, author = {Zhang Zhang and Jian Sang and Lina Ma and Gang Wu and Hao Wu and Dawei Huang and Dong Zou and Siqi Liu and Ang Li and Lili Hao and Ming Tian and Chao Xu and Xumin Wang and Jiayan Wu and Jing{-}Fa Xiao and Lin Dai and Ling{-}Ling Chen and Songnian Hu and Jun Yu}, title = {RiceWiki: a wiki-based database for community curation of rice genes}, journal = {Nucleic Acids Res.}, volume = {42}, number = {Database-Issue}, pages = {1222--1228}, year = {2014}, url = {https://doi.org/10.1093/nar/gkt926}, doi = {10.1093/NAR/GKT926}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/ZhangSMWWHZLLHTXWWXDCHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TanLXKJZYWXLZ14, author = {Qiu{-}lin Tan and Tao Luo and Jijun Xiong and Hao Kang and Xiaxia Ji and Yang Zhang and Mingliang Yang and Xiaolong Wang and Chenyang Xue and Jun Liu and Wendong Zhang}, title = {A Harsh Environment-Oriented Wireless Passive Temperature Sensor Realized by {LTCC} Technology}, journal = {Sensors}, volume = {14}, number = {3}, pages = {4154--4166}, year = {2014}, url = {https://doi.org/10.3390/s140304154}, doi = {10.3390/S140304154}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TanLXKJZYWXLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/WangZWBL14, author = {Pan Wang and Haoshen Zhu and Marzena Wilamowska{-}Korsak and Zhuming Bi and Ling Li}, title = {Determination of Weights for Multiobjective Decision Making or Machine Learning}, journal = {{IEEE} Syst. J.}, volume = {8}, number = {1}, pages = {63--72}, year = {2014}, url = {https://doi.org/10.1109/JSYST.2013.2265663}, doi = {10.1109/JSYST.2013.2265663}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/WangZWBL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LinYS14, author = {Wen{-}Ching Lin and Jheng{-}Hao Ye and Ming{-}Der Shieh}, title = {Scalable Montgomery Modular Multiplication Architecture with Low-Latency and Low-Memory Bandwidth Requirement}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {2}, pages = {475--483}, year = {2014}, url = {https://doi.org/10.1109/TC.2012.218}, doi = {10.1109/TC.2012.218}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LinYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YuLHHKC14, author = {Cody Hao Yu and Chiao{-}Ling Lung and Yi{-}Lun Ho and Ruei{-}Siang Hsu and Ding{-}Ming Kwai and Shih{-}Chieh Chang}, title = {Thermal-Aware On-Line Scheduler for 3-D Many-Core Processor Throughput Optimization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {5}, pages = {763--773}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2013.2293476}, doi = {10.1109/TCAD.2013.2293476}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YuLHHKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangWCLCGL14, author = {Robert Chen{-}Hao Chang and Ming{-}Fan Wei and Hung{-}Lieh Chen and Kuang{-}Hao Lin and Hou{-}Ming Chen and Yu{-}Ya Gao and Shih{-}Chun Lin}, title = {Implementation of a High-Throughput Modified Merge Sort in {MIMO} Detection Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {9}, pages = {2730--2737}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2312492}, doi = {10.1109/TCSI.2014.2312492}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ChangWCLCGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LienCCYTKHCJH14, author = {Nan{-}Chun Lien and Li{-}Wei Chu and Chien{-}Hen Chen and Hao{-}I Yang and Ming{-}Hsien Tu and Paul{-}Sen Kan and Yong{-}Jyun Hu and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang}, title = {A 40 nm 512 kb Cross-Point 8 {T} Pipeline {SRAM} With Binary Word-Line Boosting Control, Ripple Bit-Line and Adaptive Data-Aware Write-Assist}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {12}, pages = {3416--3425}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2336531}, doi = {10.1109/TCSI.2014.2336531}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LienCCYTKHCJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HsuCWPWLWW14, author = {Yu{-}Liang Hsu and Pau{-}Choo Chung and Wei{-}Hsin Wang and Ming{-}Chyi Pai and Chun{-}Yao Wang and Chien{-}Wen Lin and Hao{-}Li Wu and Jeen{-}Shing Wang}, title = {Gait and Balance Analysis for Patients With Alzheimer's Disease Using an Inertial-Sensor-Based Wearable Instrument}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {6}, pages = {1822--1830}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2014.2325413}, doi = {10.1109/JBHI.2014.2325413}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HsuCWPWLWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/FuLYHL14, author = {Huai{-}Lei Fu and Phone Lin and Hao Yue and Guan{-}Ming Huang and Chia{-}Peng Lee}, title = {Group Mobility Management for Large-Scale Machine-to-Machine Mobile Networking}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {3}, pages = {1296--1305}, year = {2014}, url = {https://doi.org/10.1109/TVT.2013.2284596}, doi = {10.1109/TVT.2013.2284596}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/FuLYHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LuanCCSB14, author = {Tom H. Luan and Lin X. Cai and Jiming Chen and Xuemin (Sherman) Shen and Fan Bai}, title = {Engineering a Distributed Infrastructure for Large-Scale Cost-Effective Content Dissemination over Urban Vehicular Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {3}, pages = {1419--1435}, year = {2014}, url = {https://doi.org/10.1109/TVT.2013.2251924}, doi = {10.1109/TVT.2013.2251924}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/LuanCCSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChangLWLC14, author = {Robert Chen{-}Hao Chang and Chih{-}Hung Lin and Ming{-}Fan Wei and Kuang{-}Hao Lin and Shiue{-}Ru Chen}, title = {High-Precision Real-Time Premature Ventricular Contraction {(PVC)} Detection System Based on Wavelet Transform}, journal = {J. Signal Process. Syst.}, volume = {77}, number = {3}, pages = {289--296}, year = {2014}, url = {https://doi.org/10.1007/s11265-013-0823-6}, doi = {10.1007/S11265-013-0823-6}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChangLWLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenTCC14, author = {Meng{-}Ling Chen and Tu{-}Hsiung Tsai and Hung{-}Ming Chen and Shi{-}Hao Chen}, title = {Routability-driven bump assignment for chip-package co-design}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {519--524}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742944}, doi = {10.1109/ASPDAC.2014.6742944}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenTCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsaa/LinHHCCWLW14, author = {Yun{-}Te Lin and Yung{-}Hsiang Huang and Yi{-}Hao Hsiao and Yu{-}Jung Cheng and Jih{-}Sheng Chang and Sheng{-}Wen Wang and Fang{-}Pang Lin and Chung{-}Ming Wang}, title = {An implementation of the efficient huge amount of pseudo-random unique numbers generator and the acceleration analysis of parallelization}, booktitle = {International Conference on Data Science and Advanced Analytics, {DSAA} 2014, Shanghai, China, October 30 - November 1, 2014}, pages = {600--606}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DSAA.2014.7058133}, doi = {10.1109/DSAA.2014.7058133}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dsaa/LinHHCCWLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWLCCCWCHYCLWCT14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chung{-}Hung Tsai}, title = {A 0.2nJ/pixel 4K 60fps Main-10 {HEVC} decoder with multi-format capabilities for {UHD-TV} applications}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {195--198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942055}, doi = {10.1109/ESSCIRC.2014.6942055}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWLCCCWCHYCLWCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/HaoPWZZ14, author = {Jiangling Hao and Mingyang Pan and Deqiang Wang and Lining Zhao and Depeng Zhao}, editor = {Xian{-}He Sun and Wenyu Qu and Ivan Stojmenovic and Wanlei Zhou and Zhiyang Li and Hua Guo and Geyong Min and Tingting Yang and Yulei Wu and Lei (Chris) Liu}, title = {On Key Techniques of a Radar Remote Telemetry and Monitoring System}, booktitle = {Algorithms and Architectures for Parallel Processing - 14th International Conference, {ICA3PP} 2014, Dalian, China, August 24-27, 2014. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8631}, pages = {417--424}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11194-0\_34}, doi = {10.1007/978-3-319-11194-0\_34}, timestamp = {Fri, 22 Apr 2022 17:07:03 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/HaoPWZZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/LinLLYZ14, author = {Hao Lin and Zi{-}ze Liang and En Li and Mingbo Yang and Bo Zhai}, title = {Analysis and design of an improved light interference methane sensor}, booktitle = {11th {IEEE} International Conference on Control {\&} Automation, {ICCA} 2014, Taichung, Taiwan, June 18-20, 2014}, pages = {404--409}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCA.2014.6870954}, doi = {10.1109/ICCA.2014.6870954}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icca/LinLLYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WangHPWWLWC14, author = {Wei{-}Hsin Wang and Yu{-}Liang Hsu and Ming{-}Chyi Pai and Cheng{-}Hsiung Wang and Chun{-}Yao Wang and Chien{-}Wen Lin and Hao{-}Li Wu and Pau{-}Choo Chung}, title = {Alzheimer's disease classification based on gait information}, booktitle = {2014 International Joint Conference on Neural Networks, {IJCNN} 2014, Beijing, China, July 6-11, 2014}, pages = {3251--3257}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IJCNN.2014.6889762}, doi = {10.1109/IJCNN.2014.6889762}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/WangHPWWLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCCW14, author = {Yu{-}Min Lin and Yu{-}Hao Chen and Ming{-}Han Chung and An{-}Yeu Wu}, title = {High-throughput {QC-LDPC} decoder with cost-effective early termination scheme for non-volatile memory systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2732--2735}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865738}, doi = {10.1109/ISCAS.2014.6865738}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/FuLH0CC14, author = {Lingkun Fu and Hao Liu and Liang He and Yu Gu and Peng Cheng and Jiming Chen}, editor = {Jie Wu and Xiuzhen Cheng and Xiang{-}Yang Li and Saswati Sarkar}, title = {Demo: an energy synchronized charging protocol for rechargeable wireless sensor networks}, booktitle = {The Fifteenth {ACM} International Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc'14, Philadelphia, PA, USA, August 11-14, 2014}, pages = {411--412}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2632951.2636057}, doi = {10.1145/2632951.2636057}, timestamp = {Thu, 10 Mar 2022 09:31:34 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/FuLH0CC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msm/ChangHMLW14, author = {Ming{-}Wei Chang and Bo{-}June Paul Hsu and Hao Ma and Ricky Loynd and Kuansan Wang}, editor = {Matthew Rowe and Milan Stankovic and Aba{-}Sah Dadzie}, title = {{E2E:} An End-to-End Entity Linking System for Short and Noisy Text}, booktitle = {Proceedings of the the 4th Workshop on Making Sense of Microposts co-located with the 23rd International World Wide Web Conference {(WWW} 2014), Seoul, Korea, April 7th, 2014}, series = {{CEUR} Workshop Proceedings}, volume = {1141}, pages = {62--63}, publisher = {CEUR-WS.org}, year = {2014}, url = {https://ceur-ws.org/Vol-1141/paper\_18.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:18 +0100}, biburl = {https://dblp.org/rec/conf/msm/ChangHMLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/Yu0ZZHZCXLPJY14, author = {Yiming Yu and Yi Lin and Jie Zhang and Yongli Zhao and Jianrui Han and Haomian Zheng and Yadi Cui and Minglu Xiao and Hui Li and Yang Peng and Yuefeng Ji and Haifeng Yang}, title = {Field demonstration of datacenter resource migration via multi-domain software defined transport networks with multi-controller collaboration}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.W1E.2}, doi = {10.1364/OFC.2014.W1E.2}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/Yu0ZZHZCXLPJY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/HuangSLH14, author = {Li{-}Hong Huang and Kai{-}Ting Shr and Ming{-}Hung Lin and Yuan{-}Hao Huang}, title = {Design and implementation of a convex-optimized positioning system on wireless {RF/FPGA} platform}, booktitle = {2014 {IEEE} Workshop on Signal Processing Systems, SiPS 2014, Belfast, United Kingdom, October 20-22, 2014}, pages = {186--191}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SiPS.2014.6986084}, doi = {10.1109/SIPS.2014.6986084}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/HuangSLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungLCJCTCHKHLK14, author = {Chao{-}Kuei Chung and Chien{-}Yu Lu and Zhi{-}Hao Chang and Shyh{-}Jye Jou and Ching{-}Te Chuang and Ming{-}Hsien Tu and Yu{-}Hsian Chen and Yong{-}Jyun Hu and Paul{-}Sen Kan and Huan{-}Shun Huang and Kuen{-}Di Lee and Yung{-}Shin Kao}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {A 40nm 256kb 6T {SRAM} with threshold power-gating, low-swing global read bit-line, and charge-sharing write with Vtrip-tracking and negative source-line write-assists}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {455--462}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948972}, doi = {10.1109/SOCC.2014.6948972}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungLCJCTCHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JuLWCWHLLCCCWCH14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Huaide Wang and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Lin Hsieh and Brian Liu and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Ryan Yeh and Ted Chuang and Hsiu{-}Yi Lin and Chung{-}Hung Tsai}, title = {A 4K{\texttimes}2K@60fps multi-standard {TV} SoC processor with integrated {HDMI/MHL} receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858389}, doi = {10.1109/VLSIC.2014.6858389}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JuLWCWHLLCCCWCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FangCLSH14, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Jing{-}Shiun Lin and Ming{-}Der Shieh and Jen{-}Yuan Hsu}, title = {Subspace-Based Blind Channel Estimation for {MIMO-OFDM} Systems with New Signal Permutation Method}, booktitle = {{IEEE} 79th Vehicular Technology Conference, {VTC} Spring 2014, Seoul, South Korea, May 18-21, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCSpring.2014.7023113}, doi = {10.1109/VTCSPRING.2014.7023113}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FangCLSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/LiuHC13, author = {Xin Liu and Chunlin Hao and Minghou Cheng}, title = {A Sequential Subspace Projection Method for Linear Symmetric Eigenvalue Problem}, journal = {Asia Pac. J. Oper. Res.}, volume = {30}, number = {3}, year = {2013}, url = {https://doi.org/10.1142/S0217595913400034}, doi = {10.1142/S0217595913400034}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/LiuHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/HongHCLHCL13, author = {Jon{-}Chao Hong and Ming{-}Yueh Hwang and Yu{-}Ju Chen and Pei{-}Hsin Lin and Yao{-}Tien Huang and Hao{-}Yueh Cheng and Chih{-}Chin Lee}, title = {Using the saliency-based model to design a digital archaeological game to motivate players' intention to visit the digital archives of Taiwan's natural science museum}, journal = {Comput. Educ.}, volume = {66}, pages = {74--82}, year = {2013}, url = {https://doi.org/10.1016/j.compedu.2013.02.007}, doi = {10.1016/J.COMPEDU.2013.02.007}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/HongHCLHCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChangCLW13, author = {Robert Chen{-}Hao Chang and Hung{-}Lieh Chen and Kuang{-}Hao Lin and Ming{-}Fan Wei}, title = {Recursive {QR} Decomposition Architecture for {MIMO-OFDM} Detection Systems}, journal = {J. Circuits Syst. Comput.}, volume = {22}, number = {2}, year = {2013}, url = {https://doi.org/10.1142/S0218126612500788}, doi = {10.1142/S0218126612500788}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/ChangCLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenYLWCWWPHCCLCL13, author = {Lichin Chen and Hui{-}Chu Yu and Hao{-}Chun Li and Yi{-}Van Wang and Huang{-}Jen Chen and I{-}Ching Wang and Chiou{-}Shiang Wang and Hui{-}Yu Peng and Yu{-}Ling Hsu and Chi{-}Huang Chen and Lee{-}Ming Chuang and Hung{-}Chang Lee and Yu{-}Fang Chung and Feipei Lai}, title = {An Architecture Model for Multiple Disease Management Information Systems}, journal = {J. Medical Syst.}, volume = {37}, number = {2}, pages = {9931}, year = {2013}, url = {https://doi.org/10.1007/s10916-013-9931-x}, doi = {10.1007/S10916-013-9931-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenYLWCWWPHCCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TanKXQZLDZY13, author = {Qiulin Tan and Hao Kang and Jijun Xiong and Li Qin and Wendong Zhang and Chen Li and Liqiong Ding and Xiansheng Zhang and Mingliang Yang}, title = {A Wireless Passive Pressure Microsensor Fabricated in {HTCC} {MEMS} Technology for Harsh Environments}, journal = {Sensors}, volume = {13}, number = {8}, pages = {9896--9908}, year = {2013}, url = {https://doi.org/10.3390/s130809896}, doi = {10.3390/S130809896}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TanKXQZLDZY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/FangCSL13, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {Subspace-Based Blind Channel Estimation by Separating Real and Imaginary Symbols for Cyclic-Prefixed Single-Carrier Systems}, journal = {{IEEE} Trans. Broadcast.}, volume = {59}, number = {4}, pages = {698--704}, year = {2013}, url = {https://doi.org/10.1109/TBC.2013.2281950}, doi = {10.1109/TBC.2013.2281950}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/FangCSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuCCL13, author = {Chih{-}Wei Liu and Kuo{-}Chiang Chang and Ming{-}Hsun Chuang and Ching{-}Hao Lin}, title = {10-ms 18-Band Quasi-ANSI {S1.11} 1/3-Octave Filter Bank for Digital Hearing Aids}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {3}, pages = {638--649}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2012.2209731}, doi = {10.1109/TCSI.2012.2209731}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiuCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZouLCYLCGRDCJ13, author = {Xiaodan Zou and Lei Liu and Jia Hao Cheong and Lei Yao and Peng Li and Ming{-}Yuan Cheng and Wang Ling Goh and Ramamoorthy Rajkumar and Gavin Stewart Dawe and Kuang{-}Wei Cheng and Minkyu Je}, title = {A 100-Channel 1-mW Implantable Neural Recording {IC}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {10}, pages = {2584--2596}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2249175}, doi = {10.1109/TCSI.2013.2249175}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ZouLCYLCGRDCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/WuLCCC13, author = {Shan{-}Hung Wu and Keng{-}Pei Lin and Hao{-}Heng Chien and Chung{-}Min Chen and Ming{-}Syan Chen}, title = {On Generalizable Low False-Positive Learning Using Asymmetric Support Vector Machines}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {25}, number = {5}, pages = {1083--1096}, year = {2013}, url = {https://doi.org/10.1109/TKDE.2012.46}, doi = {10.1109/TKDE.2012.46}, timestamp = {Thu, 08 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/WuLCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChiuHLWHLCKH13, author = {Ching{-}Te Chiu and Yu{-}Hao Hsu and Wei{-}Chih Lai and Jen{-}Ming Wu and Shawn S. H. Hsu and Yang{-}Syu Lin and Fanta Chen and Min{-}Sheng Kao and Yarsun Hsu}, title = {Low Propagation Delay Load-Balanced 4 {\texttimes} 4 Switch Fabric {IC} in 0.13-{\(\mathrm{\mu}\)}m {CMOS} Technology}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {8}, pages = {1481--1495}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2212618}, doi = {10.1109/TVLSI.2012.2212618}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChiuHLWHLCKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/ChenTLL13, author = {Cheng{-}Hao Chen and Ming{-}Han Tsai and I{-}Chen Lin and Pin{-}Hua Lu}, title = {Skeleton-driven surface deformation through lattices for real-time character animation}, journal = {Vis. Comput.}, volume = {29}, number = {4}, pages = {241--251}, year = {2013}, url = {https://doi.org/10.1007/s00371-012-0759-z}, doi = {10.1007/S00371-012-0759-Z}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/ChenTLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsi/ChenCL13, author = {Hou{-}Ming Chen and Robert C. Chang and Kuang{-}Hao Lin}, title = {A High-Efficiency Monolithic {DC-DC} {PFM} Boost Converter with Parallel Power {MOS} Technique}, journal = {{VLSI} Design}, volume = {2013}, pages = {643293:1--643293:7}, year = {2013}, url = {https://doi.org/10.1155/2013/643293}, doi = {10.1155/2013/643293}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsi/ChenCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/TsengLCW13, author = {Shu{-}Ming Tseng and Hung{-}Pin Lin and Chih{-}Hao Chen and Yung{-}Chung Wang}, title = {Throughput Analysis of {DS} CDMA/Unslotted {ALOHA} Wireless Networks with Fixed Packet Length in Rayleigh Fading Finite-State Markov Channel Model}, journal = {Wirel. Pers. Commun.}, volume = {71}, number = {4}, pages = {3091--3104}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0992-9}, doi = {10.1007/S11277-012-0992-9}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/TsengLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicics/HuangCLL13, author = {Chung{-}Hao Huang and Chun{-}Kai Chen and Lun{-}Hui Lee and Ming{-}Shing Lin}, title = {T-slot High-Impedance Surface structures for {EMC} of wireless products}, booktitle = {9th International Conference on Information, Communications {\&} Signal Processing, {ICICS} 2013, Tainan, Taiwan, December 10-13, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICICS.2013.6782808}, doi = {10.1109/ICICS.2013.6782808}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/IEEEicics/HuangCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LiuLWZWL13, author = {Xiaohua Liu and Yitong Li and Haocheng Wu and Ming Zhou and Furu Wei and Yi Lu}, title = {Entity Linking for Tweets}, booktitle = {Proceedings of the 51st Annual Meeting of the Association for Computational Linguistics, {ACL} 2013, 4-9 August 2013, Sofia, Bulgaria, Volume 1: Long Papers}, pages = {1304--1311}, publisher = {The Association for Computer Linguistics}, year = {2013}, url = {https://aclanthology.org/P13-1128/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LiuLWZWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/LinTCYWNW13, author = {Yung{-}Chi Lin and Sheng{-}Hao Tseng and Pau{-}Choo Chung and Ching{-}Fang Yang and Ming{-}Han Wu and Shoko Nioka and Yong{-}Kie Wong}, title = {Non-invasive tumor detection using {NIR} light}, booktitle = {2013 {IEEE} Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31 - Nov. 2, 2013}, pages = {122--125}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BioCAS.2013.6679654}, doi = {10.1109/BIOCAS.2013.6679654}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/LinTCYWNW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangHKLWYPHLC13, author = {Kuo{-}Chen Wang and Ming{-}Tung Hong and Hsin{-}Liu Cindy Kao and Allen C. Lin and Chi{-}An Wang and Chuang{-}Wen You and Chun{-}Hung Pan and Ming{-}Chyi Huang and Chun{-}Mao Liang and Hao{-}Hua Chu}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {A phone-based support system to assist alcohol recovery}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {529--534}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2468449}, doi = {10.1145/2468356.2468449}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/WangHKLWYPHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LuLCW13, author = {Ting{-}Yun Lu and Mi Lin and Chih{-}Ming Chen and Jhih{-}Hao Wu}, title = {Forecasting Reading Anxiety to Promote Reading Performance Based on Annotation Behavior}, booktitle = {{IEEE} 37th Annual Computer Software and Applications Conference, {COMPSAC} Workshops 2013, Kyoto, Japan, July 22-26, 2013}, pages = {427--432}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/COMPSACW.2013.132}, doi = {10.1109/COMPSACW.2013.132}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/LuLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/LeeHCCWY13, author = {Tsung{-}Han Lee and Ming{-}Chun Hsieh and Lin{-}Huang Chang and Hung{-}Shiou Chiang and Chih{-}Hao Wen and Kian Meng Yap}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Avoiding Collisions Between {IEEE} 802.11 and {IEEE} 802.15.4 Using Coexistence Inter-Frame Space}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1185--1193}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_135}, doi = {10.1007/978-94-007-7262-5\_135}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/LeeHCCWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/LeeWCCH13, author = {Tsung{-}Han Lee and Chih{-}Hao Wen and Lin{-}Huang Chang and Hung{-}Shiou Chiang and Ming{-}Chun Hsieh}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {A Lightweight Intrusion Detection Scheme Based on Energy Consumption Analysis in 6LowPAN}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1205--1213}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_137}, doi = {10.1007/978-94-007-7262-5\_137}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/LeeWCCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/WuLLLL13, author = {Ming{-}Zhi Wu and Yu{-}Chang Lin and Wei{-}Tsong Lee and Yu{-}Sun Lin and Fong{-}Hao Liu}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Green Master Based on MapReduce Cluster}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {557--565}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_63}, doi = {10.1007/978-94-007-7262-5\_63}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/WuLLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/HeTSLSLLT13, author = {Jin{-}Hone He and Pei{-}Tsung Tsai and Hao{-}Jan Sheng and Ming{-}Shin Lin and Hai{-}Tao Sun and Wen{-}Fung Liu and Guei{-}Ru Lin and Meng{-}Fu Tsai}, title = {A rotational angle sensor based on fiber bragg gratings}, booktitle = {6th {IEEE} International Conference on Advanced Infocomm Technology, {ICAIT} 2013, Hsinchu, Taiwan, July 6-9, 2013}, pages = {111--112}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICAIT.2013.6621522}, doi = {10.1109/ICAIT.2013.6621522}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/icait/HeTSLSLLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYLLLCCHJTHHKCWWLCS13, author = {Chi{-}Shin Chang and Hao{-}I Yang and Wei{-}Nan Liao and Yi{-}Wei Lin and Nan{-}Chun Lien and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Ming{-}Hsien Tu and Huan{-}Shun Huang and Yong{-}Jyun Hu and Paul{-}Sen Kan and Cheng{-}Yo Cheng and Wei{-}Chang Wang and Jian{-}Hao Wang and Kuen{-}Di Lee and Chia{-}Cheng Chen and Wei{-}Chiang Shih}, title = {A 40nm 1.0Mb pipeline 6T {SRAM} with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-Assist}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572134}, doi = {10.1109/ISCAS.2013.6572134}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYLLLCCHJTHHKCWWLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoLCL13, author = {Jing{-}Ming Guo and Chen{-}Chi Lin and Che{-}Hao Chang and Yun{-}Fu Liu}, title = {Face gender recognition with halftoning-based adaboost classifiers}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {2497--2500}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572386}, doi = {10.1109/ISCAS.2013.6572386}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/YangYLLL13, author = {Mingbo Yang and Guodong Yang and En Li and Zize Liang and Hao Lin}, title = {Modeling and analysis of wireless power transmission system for inspection robot}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563633}, doi = {10.1109/ISIE.2013.6563633}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/YangYLLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ChinJZWTYWCYCHK13, author = {Wei{-}Sheng Chin and Yu{-}Chin Juan and Yong Zhuang and Felix Wu and Hsiao{-}Yu Tung and Tong Yu and Jui{-}Pin Wang and Cheng{-}Xia Chang and Chun{-}Pai Yang and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Yu{-}Chuan Su and Cheng{-}Kuang Wei and Tu{-}Chun Yin and Chun{-}Liang Li and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Shou{-}De Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Effective string processing and matching for author disambiguation}, booktitle = {Proceedings of the 2013 {KDD} Cup 2013 Workshop, {KDD} Cup 2013, Chicago, Illinois, USA, August 11-14, 2013}, pages = {7:1--7:9}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2517288.2517295}, doi = {10.1145/2517288.2517295}, timestamp = {Sun, 24 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/ChinJZWTYWCYCHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LiSLTCHKLLLYCCJ13, author = {Chun{-}Liang Li and Yu{-}Chuan Su and Ting{-}Wei Lin and Cheng{-}Hao Tsai and Wei{-}Cheng Chang and Kuan{-}Hao Huang and Tzu{-}Ming Kuo and Shan{-}Wei Lin and Young{-}San Lin and Yu{-}Chen Lu and Chun{-}Pai Yang and Cheng{-}Xia Chang and Wei{-}Sheng Chin and Yu{-}Chin Juan and Hsiao{-}Yu Tung and Jui{-}Pin Wang and Cheng{-}Kuang Wei and Felix Wu and Tu{-}Chun Yin and Tong Yu and Yong Zhuang and Shou{-}de Lin and Hsuan{-}Tien Lin and Chih{-}Jen Lin}, title = {Combination of feature engineering and ranking models for paper-author identification in {KDD} Cup 2013}, booktitle = {Proceedings of the 2013 {KDD} Cup 2013 Workshop, {KDD} Cup 2013, Chicago, Illinois, USA, August 11-14, 2013}, pages = {2:1--2:7}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2517288.2517290}, doi = {10.1145/2517288.2517290}, timestamp = {Sun, 24 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/LiSLTCHKLLLYCCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/TaiKL13, author = {Shen{-}Chuan Tai and Tse{-}Ming Kuo and Kuo{-}Hao Li}, title = {An Efficient Super Resolution Algorithm Using Simple Linear Regression}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {287--290}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.71}, doi = {10.1109/RVSP.2013.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/TaiKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChouLCC13, author = {Nien{-}Tsu Chou and Shih{-}Hao Lin and Shin{-}Ming Cheng and Shih{-}Hao Chang}, title = {Performance Evaluation of Self-Configured Two-Tier Heterogeneous Cellular Networks}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {2968--2972}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.506}, doi = {10.1109/SMC.2013.506}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChouLCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeCCHWY13, author = {Tsung{-}Han Lee and Hung{-}Shiou Chiang and Lin{-}Huang Chang and Ming{-}Chun Hsieh and Chih{-}Hao Wen and Kian Meng Yap}, title = {Modeling and Performance Analysis of Route-Over and Mesh-Under Routing Schemes in 6LoWPAN}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {3802--3806}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.649}, doi = {10.1109/SMC.2013.649}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/LeeCCHWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LiaoLCCYCJHTHWKH13, author = {Wei{-}Nan Liao and Nan{-}Chun Lien and Chi{-}Shin Chang and Li{-}Wei Chu and Hao{-}I Yang and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang and Ming{-}Hsien Tu and Huan{-}Shun Huang and Jian{-}Hao Wang and Paul{-}Sen Kan and Yong{-}Jyun Hu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {A 40nm 1.0Mb 6T pipeline {SRAM} with digital-based Bit-Line Under-Drive, Three-Step-Up Word-Line, Adaptive Data-Aware Write-Assist with {VCS} tracking and Adaptive Voltage Detector for boosting control}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {110--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749670}, doi = {10.1109/SOCC.2013.6749670}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LiaoLCCYCJHTHWKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FangCLSHH13, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Jing{-}Shiun Lin and Ming{-}Der Shieh and Dung{-}Rung Hsieh and Jen{-}Yuan Hsu}, title = {Subspace-Based Blind Channel Estimation for {MIMO-OFDM} Systems with Repetition Index}, booktitle = {Proceedings of the 78th {IEEE} Vehicular Technology Conference, {VTC} Fall 2013, Las Vegas, NV, USA, September 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCFall.2013.6692426}, doi = {10.1109/VTCFALL.2013.6692426}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FangCLSHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1305-4429, author = {Youfang Lin and Xuguang Jia and Mingjie Lin and Steve Gregory and Huaiyu Wan and Zhihao Wu}, title = {Inferring High Quality Co-Travel Networks}, journal = {CoRR}, volume = {abs/1305.4429}, year = {2013}, url = {http://arxiv.org/abs/1305.4429}, eprinttype = {arXiv}, eprint = {1305.4429}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1305-4429.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/HsiaoCLL12, author = {Hao{-}Ming Hsiao and Yi{-}Hsiang Chiu and Kuang{-}Huei Lee and Chien{-}Han Lin}, title = {Computational modeling of effects of intravascular stent design on key mechanical and hemodynamic behavior}, journal = {Comput. Aided Des.}, volume = {44}, number = {8}, pages = {757--765}, year = {2012}, url = {https://doi.org/10.1016/j.cad.2012.03.009}, doi = {10.1016/J.CAD.2012.03.009}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/HsiaoCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/LiuLQZY12, author = {Huiyu Liu and Zhenshan Lin and Xiangzhen Qi and Mingyang Zhang and Hao Yang}, title = {Interactive effects of habitat destruction and competition on exotic invasion}, journal = {Ecol. Informatics}, volume = {9}, pages = {69--75}, year = {2012}, url = {https://doi.org/10.1016/j.ecoinf.2012.03.006}, doi = {10.1016/J.ECOINF.2012.03.006}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/LiuLQZY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/FangCSL12, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {Blind channel estimation for cyclic prefix-free orthogonal frequency-division multiplexing systems with particular input symbols}, journal = {{IET} Commun.}, volume = {6}, number = {16}, pages = {2654--2660}, year = {2012}, url = {https://doi.org/10.1049/iet-com.2011.0946}, doi = {10.1049/IET-COM.2011.0946}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/FangCSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhangYWHLL12, author = {Yong Zhang and Boyuan Yan and Mingchao Wang and Jingzhen Hu and Haokai Lu and Peng Li}, title = {Linking brain behavior to underlying cellular mechanisms via large-scale brain modeling and simulation}, journal = {Neurocomputing}, volume = {97}, pages = {317--331}, year = {2012}, url = {https://doi.org/10.1016/j.neucom.2012.05.020}, doi = {10.1016/J.NEUCOM.2012.05.020}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhangYWHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HuangHLLW12, author = {Mei{-}Ling Huang and Yung{-}Hsiang Hung and Wen{-}Ming Lee and R. K. Li and Tzu{-}Hao Wang}, title = {Usage of Case-Based Reasoning, Neural Network and Adaptive Neuro-Fuzzy Inference System Classification Techniques in Breast Cancer Dataset Classification Diagnosis}, journal = {J. Medical Syst.}, volume = {36}, number = {2}, pages = {407--414}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9485-0}, doi = {10.1007/S10916-010-9485-0}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HuangHLLW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenSLHL12, author = {Ming{-}Shuan Chen and Yu{-}Nan Shih and Chen{-}Lun Lin and Hao{-}Wei Hung and Jri Lee}, title = {A Fully-Integrated 40-Gb/s Transceiver in 65-nm {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {3}, pages = {627--640}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2176635}, doi = {10.1109/JSSC.2011.2176635}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenSLHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/HuangKCLC12, author = {Chung{-}Ming Huang and Hao{-}Hsiang Ku and Yu{-}Chang Chao and Cheng{-}Wei Lin and Yen{-}Wen Chen}, title = {Design and implementation of an adaptive Web2.0 QoS-based home appliance control service platform}, journal = {Softw. Pract. Exp.}, volume = {42}, number = {1}, pages = {57--87}, year = {2012}, url = {https://doi.org/10.1002/spe.1049}, doi = {10.1002/SPE.1049}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/HuangKCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LuTYWHLLKCJH12, author = {Chien{-}Yu Lu and Ming{-}Hsien Tu and Hao{-}I Yang and Ya{-}Ping Wu and Huan{-}Shun Huang and Yuh{-}Jiun Lin and Kuen{-}Di Lee and Yung{-}Shin Kao and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang}, title = {A 0.33-V, 500-kHz, 3.94-{\(\mathrm{\mu}\)}W 40-nm 72-Kb 9T Subthreshold {SRAM} With Ripple Bit-Line Structure and Negative Bit-Line Write-Assist}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {12}, pages = {863--867}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2231017}, doi = {10.1109/TCSII.2012.2231017}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LuTYWHLLKCJH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/DuZLT12, author = {Xiong Du and Luowei Zhou and Hao Lu and Heng{-}Ming Tai}, title = {{DC} Link Active Power Filter for Three-Phase Diode Rectifier}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {3}, pages = {1430--1442}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2167112}, doi = {10.1109/TIE.2011.2167112}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/DuZLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ZhouCPRLXDSC12, author = {Mingyuan Zhou and Haojun Chen and John W. Paisley and Lu Ren and Lingbo Li and Zhengming Xing and David B. Dunson and Guillermo Sapiro and Lawrence Carin}, title = {Nonparametric Bayesian Dictionary Learning for Analysis of Noisy and Incomplete Images}, journal = {{IEEE} Trans. Image Process.}, volume = {21}, number = {1}, pages = {130--144}, year = {2012}, url = {https://doi.org/10.1109/TIP.2011.2160072}, doi = {10.1109/TIP.2011.2160072}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/ZhouCPRLXDSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/FangLL12, author = {Haoran Fang and Xingqin Lin and Tat M. Lok}, title = {Power Allocation for Multiuser Cooperative Communication Networks Under Relay-Selection Degree Bounds}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {61}, number = {7}, pages = {2991--3001}, year = {2012}, url = {https://doi.org/10.1109/TVT.2012.2200705}, doi = {10.1109/TVT.2012.2200705}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/FangLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChiuHWHKTDYLCLH12, author = {Ching{-}Te Chiu and Yu{-}Hao Hsu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Min{-}Sheng Kao and Hou{-}Cheng Tzeng and Ming{-}Chang Du and Ping{-}Ling Yang and Ming{-}Hao Lu and Fanta Chen and Hung{-}Yu Lin and Yarsun Hsu}, title = {An 8 {\texttimes} 8 20 Gbps Reconfigurable Load Balanced {TDM} Switch {IC} for High-Speed Networking}, journal = {J. Signal Process. Syst.}, volume = {66}, number = {1}, pages = {57--73}, year = {2012}, url = {https://doi.org/10.1007/s11265-010-0518-1}, doi = {10.1007/S11265-010-0518-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChiuHWHKTDYLCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FangCLSHH12, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Jing{-}Shiun Lin and Ming{-}Der Shieh and Wei{-}Chieh Huang and Jen{-}Yuan Hsu}, title = {Blind channel estimation for {MIMO-OFDM} systems with repeated time-domain symbols}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {37--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6418965}, doi = {10.1109/APCCAS.2012.6418965}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FangCLSHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinYWCT12, author = {Kuang{-}Hao Lin and Tai{-}Hsuan Yang and Ren{-}Hao Wu and Hou{-}Ming Chen and Jan{-}Dong Tseng}, title = {A multimedia game development system with an intelligent mobile and embedded platform}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {651--654}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6419119}, doi = {10.1109/APCCAS.2012.6419119}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinYWCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/YangLFLS12, author = {Der{-}Wei Yang and Jing{-}Shiun Lin and Shih{-}Hao Fang and Chia{-}Fen Lin and Ming{-}Der Shieh}, title = {High-performance turbo-MIMO system design with iterative soft-detection and decoding}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6411910/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/YangLFLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsuCCLC12, author = {Hsin{-}Wu Hsu and Meng{-}Ling Chen and Hung{-}Ming Chen and Hung{-}Chun Li and Shi{-}Hao Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On effective flip-chip routing via pseudo single redistribution layer}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1597--1602}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176727}, doi = {10.1109/DATE.2012.6176727}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsuCCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JiangLLZLSSHR12, author = {Hao Jiang and Di Lan and Dahsien Lin and Junmin Zhang and Shyshenq Liou and Hamid Shahnasser and Ming Shen and Michael R. Harrison and Shuvo Roy}, title = {A feed-forward controlled {AC-DC} boost converter for biomedical implants}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {1675--1678}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346269}, doi = {10.1109/EMBC.2012.6346269}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/JiangLLZLSSHR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ChengLLSW12, author = {Shaoyi Cheng and Mingjie Lin and Hao Jun Liu and Simon Scott and John Wawrzynek}, title = {Exploiting Memory-Level Parallelism in Reconfigurable Accelerators}, booktitle = {2012 {IEEE} 20th Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2012, 29 April - 1 May 2012, Toronto, Ontario, Canada}, pages = {157--160}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/FCCM.2012.35}, doi = {10.1109/FCCM.2012.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/ChengLLSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/YehLLCY12, author = {Ming{-}Hsien Yeh and Hao{-}Feng Luo and Nai{-}Wei Lin and Zen{-}Yi Chen and Chia{-}Chou Yeh}, title = {A study on electrical properties of acupuncture points in allergic rhinitis}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {82--87}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6380071}, doi = {10.1109/HEALTHCOM.2012.6380071}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/YehLLCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/FanHST12, author = {Ming Fan and Lin Hao and Zhenzhong Sheng and Yong Tan}, title = {A Hidden Markov Model For Conversion Rate Dynamics In Online Retail}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2012, Orlando, Florida, USA, December 16-19, 2012}, publisher = {Association for Information Systems}, year = {2012}, url = {http://aisel.aisnet.org/icis2012/proceedings/EconomicsValue/16}, timestamp = {Tue, 29 Jan 2013 19:04:31 +0100}, biburl = {https://dblp.org/rec/conf/icis/FanHST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JuLCCTHCHWCLCCWWLCT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yeh{-}Lin Chu and Chuang{-}Chi Chiou and Bin{-}Jung Tsai and Te{-}Chi Hsiao and Ginny Chen and Pin{-}Huan Hsu and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Jiun{-}Yuan Wu and Yuan{-}Chun Lin and Yung{-}Chang Chang and Chung{-}Hung Tsai}, title = {Area and Memory Efficient Architectures for 3D Blu-ray-compliant Multimedia Processors}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, pages = {776--781}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICME.2012.81}, doi = {10.1109/ICME.2012.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JuLCCTHCHWCLCCWWLCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip12/HouHSYWCX12, author = {Manping Hou and Jinmin Hao and Ying Shi and Jun Yang and Qian Wen and Mingzhu Cha and Lingkun Xiong}, editor = {Daoliang Li and Yingyi Chen}, title = {A Study of Agricultural Zoning of Huang-Huai-Hai Plain Based on {GIS}}, booktitle = {Computer and Computing Technologies in Agriculture {VI} - 6th {IFIP} {WG} 5.14 International Conference, {CCTA} 2012, Zhangjiajie, China, October 19-21, 2012, Revised Selected Papers, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {393}, pages = {84--91}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36137-1\_11}, doi = {10.1007/978-3-642-36137-1\_11}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip12/HouHSYWCX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JuLCWCLCCWCHLT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Hao{-}Wei Li and Chung{-}Hung Tsai}, title = {A 775-{\(\mathrm{\mu}\)}W/fps/view {H.264/MVC} decoder chip compliant with 3D Blu-ray specifications}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271516}, doi = {10.1109/ISCAS.2012.6271516}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JuLCWCLCCWCHLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHCSF12, author = {Jing{-}Shiun Lin and Yin{-}Tsung Hwang and Po{-}Han Chu and Ming{-}Der Shieh and Shih{-}Hao Fang}, title = {An efficient {QR} decomposition design for {MIMO} systems}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1508--1511}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271535}, doi = {10.1109/ISCAS.2012.6271535}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHCSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYYHSW12, author = {Wen{-}Ching Lin and Jheng{-}Hao Ye and Der{-}Wei Yang and Si{-}Yu Huang and Ming{-}Der Shieh and Jonas Wang}, title = {Efficient scissoring scheme for scanline-based rendering of 2D vector graphics}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {766--769}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272150}, doi = {10.1109/ISCAS.2012.6272150}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYYHSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLYS12, author = {Sheng{-}Hong Wang and Wen{-}Ching Lin and Jheng{-}Hao Ye and Ming{-}Der Shieh}, title = {Fast scalable radix-4 Montgomery modular multiplier}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {3049--3052}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271962}, doi = {10.1109/ISCAS.2012.6271962}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiLWCLYHW12, author = {Shih{-}An Li and Yi{-}Chun Lin and Chung{-}Wei Weng and Yi{-}Hong Chen and Chia{-}Hung Lo and Min{-}Hao Yang and Ming{-}Hua Hsieh and Ching{-}Chang Wong}, title = {Circle object recognition based on monocular vision for home security robot}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {258--261}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473491}, doi = {10.1109/ISPACS.2012.6473491}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LiLWCLYHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LiWCLYLHW12, author = {Shih{-}An Li and Chung{-}Wei Weng and Yi{-}Hong Chen and Chia{-}Hung Lo and Min{-}Hao Yang and Yi{-}Chun Lin and Ming{-}Hua Hsieh and Ching{-}Chang Wong}, title = {Servo motor controller design for robotic manipulator}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {254--257}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473490}, doi = {10.1109/ISPACS.2012.6473490}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LiWCLYLHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/WangCLS12, author = {Hao Chun Wang and Wei Ming Chen and Yen Pin Lin and Wei{-}Chih Shen}, title = {Tumor detecting in colonoscopic narrow-band imaging data}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {564--568}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473553}, doi = {10.1109/ISPACS.2012.6473553}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/WangCLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12, author = {Yuan{-}Hung Chung and Min Chen and Wei{-}Kai Hong and Jie{-}Wei Lai and Sheng{-}Jau Wong and Chien{-}Wei Kuan and Hong{-}Lin Chu and Chihun Lee and Chih{-}Fan Liao and Hsuan{-}Yu Liu and Hong{-}Kai Hsu and Li{-}Chun Ko and Kuo{-}Hao Chen and Chao{-}Hsin Lu and Tsung{-}Ming Chen and YuLi Hsueh and Chunwei Chang and Yi{-}Hsien Cho and Chih{-}Hsien Shen and Yuan Sun and Eng{-}Chuan Low and Xudong Jiang and Deyong Hu and Weimin Shu and Jhy{-}Rong Chen and Jui{-}Lin Hsu and Chia{-}Jui Hsu and Jing{-}Hong Conan Zhan and Osama Shana'a and Guang{-}Kaai Dehng and George Chien}, title = {A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {172--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176964}, doi = {10.1109/ISSCC.2012.6176964}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangLCCTJC12, author = {Hao{-}Yu Yang and Chen{-}Wei Lin and Hung{-}Hsin Chen and Mango Chia{-}Tso Chao and Ming{-}Hsien Tu and Shyh{-}Jye Jou and Ching{-}Te Chuang}, title = {Testing strategies for a 9T sub-threshold {SRAM}}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401577}, doi = {10.1109/TEST.2012.6401577}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangLCCTJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/HuangLWH12, author = {Chung{-}Ming Huang and Shih Yang Lin and Shih{-}Hao Wang and Shih{-}Chin Hsu}, title = {A farthest-first forwarding algorithm in VANETs}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {817--821}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425296}, doi = {10.1109/ITST.2012.6425296}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/HuangLWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/ChenHLXL12, author = {Xin Chen and Qiao{-}lin Hu and Hao Lu and Ge{-}Ming Xia and Jun Long}, editor = {Geyong Min and Yulei Wu and Lei (Chris) Liu and Xiaolong Jin and Stephen A. Jarvis and Ahmed Yassin Al{-}Dubai}, title = {{ILACF:} An Incentive-Based Low-Latency Anonymous Communication Framework}, booktitle = {11th {IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {964--969}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TrustCom.2012.180}, doi = {10.1109/TRUSTCOM.2012.180}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/ChenHLXL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LinCCL12, author = {Ching{-}Hao Lin and Kuo{-}Chiang Chang and Ming{-}Hsun Chuang and Chih{-}Wei Liu}, title = {Design and implementation of 18-band Quasi-ANSI {S1.11} 1/3-octave filter bank for digital hearing aids}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212620}, doi = {10.1109/VLSI-DAT.2012.6212620}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LinCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LinTYLWCJHLLS12, author = {Yi{-}Wei Lin and Ming{-}Chien Tsai and Hao{-}I Yang and Geng{-}Cing Lin and Shao{-}Cheng Wang and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang and Nan{-}Chun Lien and Kuen{-}Di Lee and Wei{-}Chiang Shih}, title = {An all-digital Read Stability and Write Margin characterization scheme for {CMOS} 6T {SRAM} array}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212589}, doi = {10.1109/VLSI-DAT.2012.6212589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LinTYLWCJHLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsaiLYTSLLJCH12, author = {Ming{-}Chien Tsai and Yi{-}Wei Lin and Hao{-}I Yang and Ming{-}Hsien Tu and Wei{-}Chiang Shih and Nan{-}Chun Lien and Kuen{-}Di Lee and Shyh{-}Jye Jou and Ching{-}Te Chuang and Wei Hwang}, title = {Embedded {SRAM} ring oscillator for in-situ measurement of {NBTI} and {PBTI} degradation in {CMOS} 6T {SRAM} array}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212587}, doi = {10.1109/VLSI-DAT.2012.6212587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsaiLYTSLLJCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/WangLZWLDFZ11, author = {Jing{-}xiao Wang and Shenghui Liao and Xing{-}Hao Zhu and Ying Wang and Chong{-}xiang Ling and Xi Ding and Yi{-}ming Fang and Xiu{-}hua Zhang}, title = {Real time 3D simulation for nose surgery and automatic individual prosthesis design}, journal = {Comput. Methods Programs Biomed.}, volume = {104}, number = {3}, pages = {472--479}, year = {2011}, url = {https://doi.org/10.1016/j.cmpb.2010.09.001}, doi = {10.1016/J.CMPB.2010.09.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/WangLZWLDFZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnis/TarngOHDLYHC11, author = {Wernhuar Tarng and Kuo{-}Liang Ou and Kun{-}Jie Huang and Li{-}Zhong Deng and Hao{-}Wei Lin and Chang Wu Yu and Kuen{-}Rong Hsieh and Mingteh Chen}, title = {Applying Cluster Merging and Dynamic Routing Mechanisms to Extend the Lifetime of Wireless Sensor Networks}, journal = {Int. J. Commun. Networks Inf. Secur.}, volume = {3}, number = {1}, year = {2011}, url = {http://www.ijcnis.org/index.php/ijcnis/article/view/64}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcnis/TarngOHDLYHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/HaoLWYZLY11, author = {Ming Hao and Yan Li and Yonghua Wang and Yulian Yan and Shuwei Zhang and Guohui Li and Ling Yang}, title = {Combined 3D-QSAR, Molecular Docking, and Molecular Dynamics Study on Piperazinyl-Glutamate-Pyridines/Pyrimidines as Potent P2Y\({}_{\mbox{12}}\) Antagonists for Inhibition of Platelet Aggregation}, journal = {J. Chem. Inf. Model.}, volume = {51}, number = {11}, pages = {3027}, year = {2011}, url = {https://doi.org/10.1021/ci2005143}, doi = {10.1021/CI2005143}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/HaoLWYZLY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pervasive/YouWCCC11, author = {Chuang{-}Wen You and Chih{-}Chiang Wei and Yi{-}Ling Chen and Hao{-}Hua Chu and Ming{-}Syan Chen}, title = {Using Mobile Phones to Monitor Shopping Time at Physical Stores}, journal = {{IEEE} Pervasive Comput.}, volume = {10}, number = {2}, pages = {37--43}, year = {2011}, url = {https://doi.org/10.1109/MPRV.2011.14}, doi = {10.1109/MPRV.2011.14}, timestamp = {Mon, 12 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pervasive/YouWCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/GuoLWCL11, author = {Jing{-}Ming Guo and Chen{-}Chi Lin and Min{-}Feng Wu and Che{-}Hao Chang and Hua Lee}, title = {Complexity Reduced Face Detection Using Probability-Based Face Mask Prefiltering and Pixel-Based Hierarchical-Feature Adaboosting}, journal = {{IEEE} Signal Process. Lett.}, volume = {18}, number = {8}, pages = {447--450}, year = {2011}, url = {https://doi.org/10.1109/LSP.2011.2146772}, doi = {10.1109/LSP.2011.2146772}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/GuoLWCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-bucc/SnoverLLCTGLLLA11, author = {Matthew G. Snover and Xiang Li and Wen{-}Pin Lin and Zheng Chen and Suzanne Tamang and Mingmin Ge and Adam Lee and Qi Li and Hao Li and Sam Anzaroot and Heng Ji}, editor = {Pierre Zweigenbaum and Reinhard Rapp and Serge Sharoff}, title = {Cross-lingual Slot Filling from Comparable Corpora}, booktitle = {Proceedings of the 4th Workshop on Building and Using Comparable Corpora: Comparable Corpora and the Web, BUCC@ACL 2011, Portland, OR, USA, June 24, 2011}, pages = {110--119}, publisher = {Association for Computational Linguistics}, year = {2011}, url = {https://aclanthology.org/W11-1215/}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-bucc/SnoverLLCTGLLLA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HsuLCWHCKLH11, author = {Yu{-}Hao Hsu and Yang{-}Syu Lin and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Fanta Chen and Min{-}Sheng Kao and Wei{-}Chih Lai and Yarsun Hsu}, title = {A 32Gbps low propagation delay 4{\texttimes}4 switch {IC} for feedback-based system in 0.13{\(\mu\)}m {CMOS} technology}, booktitle = {Proceedings of the 16th Asia South Pacific Design Automation Conference, {ASP-DAC} 2011, Yokohama, Japan, January 25-27, 2011}, pages = {105--106}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ASPDAC.2011.5722160}, doi = {10.1109/ASPDAC.2011.5722160}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HsuLCWHCKLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cadgraphics/ChenLTL11, author = {Cheng{-}Hao Chen and I{-}Chen Lin and Ming{-}Han Tsai and Pin{-}Hua Lu}, title = {Lattice-Based Skinning and Deformation for Real-Time Skeleton-Driven Animation}, booktitle = {12th International Conference on Computer-Aided Design and Computer Graphics, CAD/Graphics 2011, Jinan, China, September 15-17, 2011}, pages = {306--312}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CAD/Graphics.2011.41}, doi = {10.1109/CAD/GRAPHICS.2011.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cadgraphics/ChenLTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fase/ShiZXL11, author = {Lin Shi and Hao Zhong and Tao Xie and Mingshu Li}, editor = {Dimitra Giannakopoulou and Fernando Orejas}, title = {An Empirical Study on Evolution of {API} Documentation}, booktitle = {Fundamental Approaches to Software Engineering - 14th International Conference, {FASE} 2011, Held as Part of the Joint European Conferences on Theory and Practice of Software, {ETAPS} 2011, Saarbr{\"{u}}cken, Germany, March 26-April 3, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6603}, pages = {416--431}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19811-3\_29}, doi = {10.1007/978-3-642-19811-3\_29}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fase/ShiZXL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/PanCLTLC11, author = {Ying{-}Shiuan Pan and Jui{-}Hao Chiang and Han{-}Lin Li and Po{-}Jui Tsao and Ming{-}Fen Lin and Tzi{-}cker Chiueh}, title = {Hypervisor Support for Efficient Memory De-duplication}, booktitle = {17th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2011, Tainan, Taiwan, December 7-9, 2011}, pages = {33--39}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPADS.2011.71}, doi = {10.1109/ICPADS.2011.71}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/PanCLTLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenKHLWCH11, author = {Fanta Chen and Min{-}Sheng Kao and Yu{-}Hao Hsu and Chih{-}Hsing Lin and Jen{-}Ming Wu and Ching{-}Te Chiu and Shuo{-}Hung Hsu}, title = {A 10 to 11.5GHz rotational phase and frequency detector for clock recovery circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {185--188}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937532}, doi = {10.1109/ISCAS.2011.5937532}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenKHLWCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenSLHL11, author = {Ming{-}Shuan Chen and Yu{-}Nan Shih and Chen{-}Lun Lin and Hao{-}Wei Hung and Jri Lee}, title = {A 40Gb/s {TX} and {RX} chip set in 65nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {146--148}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746257}, doi = {10.1109/ISSCC.2011.5746257}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenSLHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PanCYYHLLYLLCCCJYLCKWHLSTL11, author = {Jyh{-}Shin Pan and Ming{-}Yang Chao and Eric Yeh and Wen{-}Wei Yang and Ching{-}Wen Hsueh and Shyuan Liao and Jian{-}Bang Lin and Shun{-}An Yang and Chin{-}Tai Liu and Tsai{-}Pao Lee and Jin{-}Ru Chen and Chia{-}Hua Chou and Min Chen and Den{-}Kai Juang and Jen{-}Hao Yeh and Chieh{-}Wei Liao and Po{-}Hung Chen and Kaipon Kao and Chia{-}Hsin Wu and Wen{-}Tso Huang and Shih{-}Hsien Liao and Chih{-}Heng Shih and Chien{-}Hsun Tung and Yen{-}Po Lee}, title = {A 70Mb/s -100.5dBm sensitivity 65nm {LP} {MIMO} chipset for WiMAX portable router}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {136--138}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746253}, doi = {10.1109/ISSCC.2011.5746253}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PanCYYHLLYLLCCCJYLCKWHLSTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/LuanCCSB11, author = {Tom H. Luan and Lin X. Cai and Jiming Chen and Xuemin Shen and Fan Bai}, title = {VTube: Towards the media rich city life with autonomous vehicular content distribution}, booktitle = {Proceedings of the 8th Annual {IEEE} Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, {SECON} 2011, June 27-30, 2011, Salt Lake City, UT, {USA}}, pages = {359--367}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SAHCN.2011.5984918}, doi = {10.1109/SAHCN.2011.5984918}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/secon/LuanCCSB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TsaiCLML11, author = {Meng{-}Han Tsai and Kai{-}Chi Chang and Chang{-}Cheng Lin and Ching{-}Hao Mao and Huey{-}Ming Lee}, title = {C{\&}C tracer: Botnet command and control behavior tracing}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Anchorage, Alaska, USA, October 9-12, 2011}, pages = {1859--1864}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSMC.2011.6083942}, doi = {10.1109/ICSMC.2011.6083942}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/TsaiCLML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChinCHKL10, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {A hub-attachment based method to detect functional modules from confidence-scored protein interactions and expression profiles}, journal = {{BMC} Bioinform.}, volume = {11}, number = {{S-1}}, pages = {25}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-S1-S25}, doi = {10.1186/1471-2105-11-S1-S25}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChinCHKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/JiangZSSL10, author = {Yixin Jiang and Haojin Zhu and Minghui Shi and Xuemin (Sherman) Shen and Chuang Lin}, title = {An efficient dynamic-identity based signature scheme for secure network coding}, journal = {Comput. Networks}, volume = {54}, number = {1}, pages = {28--40}, year = {2010}, url = {https://doi.org/10.1016/j.comnet.2009.08.006}, doi = {10.1016/J.COMNET.2009.08.006}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/JiangZSSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/LiLL10, author = {Yung{-}Ming Li and Chia{-}Hao Lin and Cheng{-}Yang Lai}, title = {Identifying influential reviewers for word-of-mouth marketing}, journal = {Electron. Commer. Res. Appl.}, volume = {9}, number = {4}, pages = {294--304}, year = {2010}, url = {https://doi.org/10.1016/j.elerap.2010.02.004}, doi = {10.1016/J.ELERAP.2010.02.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecra/LiLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/LiuFKS10, author = {Haowei Liu and Rog{\'{e}}rio Schmidt Feris and Volker Kr{\"{u}}ger and Ming{-}Ting Sun}, title = {Unsupervised Action Classification Using Space-Time Link Analysis}, journal = {{EURASIP} J. Image Video Process.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/626324}, doi = {10.1155/2010/626324}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/LiuFKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/FangCSL10, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {Blind Channel Estimation for {SIMO-OFDM} Systems without Cyclic Prefix}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {1}, pages = {339--343}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.339}, doi = {10.1587/TRANSFUN.E93.A.339}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/FangCSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/YangLYC10, author = {Chao{-}Tung Yang and Chih{-}Hao Lin and Ming{-}Feng Yang and Wen{-}Chung Chiang}, title = {A heuristic QoS measurement with domain-based network information model for grid computing environments}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {5}, number = {4}, pages = {235--243}, year = {2010}, url = {https://doi.org/10.1504/IJAHUC.2010.032998}, doi = {10.1504/IJAHUC.2010.032998}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/YangLYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LinLCLCLKFW10, author = {Ray{-}Ming Lin and Yung{-}Hsiang Lin and Chung{-}Hao Chiang and Mu{-}Jen Lai and Yi{-}Lun Chou and Yuan{-}Chieh Lu and Shou{-}Yi Kuo and Bor{-}Ren Fang and Meng{-}Chyi Wu}, title = {Inserting a low-temperature n-GaN underlying layer to separate nonradiative recombination centers improves the luminescence efficiency of blue InGaN/GaN LEDs}, journal = {Microelectron. Reliab.}, volume = {50}, number = {5}, pages = {679--682}, year = {2010}, url = {https://doi.org/10.1016/j.microrel.2010.01.017}, doi = {10.1016/J.MICROREL.2010.01.017}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LinLCLCLKFW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/LinCWWWHC10, author = {Wei{-}Liang Lin and Wang{-}Chuan Cheng and Chen{-}Hao Wu and Hai{-}Ming Wu and Chang{-}Yu Wu and Kuan{-}Hsuan Ho and Chueh{-}An Chan}, title = {A Novel Analog Integrated Circuit Design Course Covering Design, Layout, and Resulting Chip Measurement}, journal = {{IEEE} Trans. Educ.}, volume = {53}, number = {2}, pages = {282--287}, year = {2010}, url = {https://doi.org/10.1109/TE.2009.2015654}, doi = {10.1109/TE.2009.2015654}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/LinCWWWHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/HauptmannCCLY10, author = {Alexander G. Hauptmann and Ming{-}Yu Chen and Michael G. Christel and Wei{-}Hao Lin and Jie Yang}, title = {A Multi-Pronged Approach to Improving Semantic Extraction of News Video}, journal = {J. Signal Process. Syst.}, volume = {58}, number = {3}, pages = {373--385}, year = {2010}, url = {https://doi.org/10.1007/s11265-009-0382-z}, doi = {10.1007/S11265-009-0382-Z}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/HauptmannCCLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/HaoZL10, author = {Jie Hao and Wenxiang Zhang and Mingqing Ling}, title = {Research on {PID} Control Algorithm of {BP} Network based on Two Axis Digital Control Turntable}, booktitle = {10th {IEEE} International Conference on Computer and Information Technology, {CIT} 2010, Bradford, West Yorkshire, UK, June 29-July 1, 2010}, pages = {2233--2238}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CIT.2010.385}, doi = {10.1109/CIT.2010.385}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/HaoZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/FangCSL10, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {A signal permutation method for cyclic-prefix-free {OFDM} channel estimation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {656--659}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774830}, doi = {10.1109/APCCAS.2010.5774830}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/FangCSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ChenWCH10, author = {Wei{-}Ming Chen and I{-}Lin Wu and Jung{-}Hsien Chiang and Pei{-}Yi Hao}, title = {Prediction of subcelluar localization using maximal-margin spherical support vector machine}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2010, Qingdao, China, July 11-14, 2010, Proceedings}, pages = {1476--1481}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICMLC.2010.5580840}, doi = {10.1109/ICMLC.2010.5580840}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ChenWCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLCWHCKH10, author = {Yu{-}Hao Hsu and Yang{-}Syu Lin and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Fanta Chen and Min{-}Sheng Kao and Yarsun Hsu}, title = {A 32Gbps low propagation delay 4{\texttimes}4 switch {IC} for feedback-based system in 0.13{\(\mu\)}m {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {581--584}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537531}, doi = {10.1109/ISCAS.2010.5537531}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLCWHCKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuFKS10, author = {Haowei Liu and Rog{\'{e}}rio Schmidt Feris and Volker Kr{\"{u}}ger and Ming{-}Ting Sun}, title = {Unsupervised action classification using space-time link analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3437--3440}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537852}, doi = {10.1109/ISCAS.2010.5537852}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuFKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isita/ChungULL10, author = {Cha{-}Hao Chung and Yeong{-}Luh Ueng and Ming{-}Che Lu and Mao{-}Chao Lin}, title = {Adaptive quantization for low-density-parity-check decoders}, booktitle = {Proceedings of the International Symposium on Information Theory and its Applications, {ISITA} 2010, 17-20 October 2010, Taichung, Taiwan}, pages = {13--18}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISITA.2010.5649830}, doi = {10.1109/ISITA.2010.5649830}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isita/ChungULL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuCHLHHBT10, author = {Chun{-}Cheng Liu and Soon{-}Jyh Chang and Guan{-}Ying Huang and Ying{-}Zu Lin and Chung{-}Ming Huang and Chih{-}Hao Huang and Linkai Bu and Chih{-}Chung Tsai}, title = {A 10b 100MS/s 1.13mW {SAR} {ADC} with binary-scaled error compensation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {386--387}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433970}, doi = {10.1109/ISSCC.2010.5433970}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiuCHLHHBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/WuLWXLLGGZZ10, author = {Ming Wu and Fan Long and Xi Wang and Zhilei Xu and Haoxiang Lin and Xuezheng Liu and Zhenyu Guo and Huayang Guo and Lidong Zhou and Zheng Zhang}, editor = {Gruia{-}Catalin Roman and Andr{\'{e}} van der Hoek}, title = {Language-based replay via data flow cut}, booktitle = {Proceedings of the 18th {ACM} {SIGSOFT} International Symposium on Foundations of Software Engineering, 2010, Santa Fe, NM, USA, November 7-11, 2010}, pages = {197--206}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1882291.1882322}, doi = {10.1145/1882291.1882322}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigsoft/WuLWXLLGGZZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FangCSL10, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {Subspace-Based Blind Channel Estimation for {OFDM} Systems with Conjugate-Symmetric Property}, booktitle = {Proceedings of the 71st {IEEE} Vehicular Technology Conference, {VTC} Spring 2010, 16-19 May 2010, Taipei, Taiwan}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VETECS.2010.5493810}, doi = {10.1109/VETECS.2010.5493810}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FangCSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/LiL09, author = {Yung{-}Ming Li and Chia{-}Hao Lin}, title = {Pricing schemes for digital content with {DRM} mechanisms}, journal = {Decis. Support Syst.}, volume = {47}, number = {4}, pages = {528--539}, year = {2009}, url = {https://doi.org/10.1016/j.dss.2009.05.015}, doi = {10.1016/J.DSS.2009.05.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/LiL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChangCCCLL09, author = {Robert Chen{-}Hao Chang and Hou{-}Ming Chen and Wang{-}Chuan Cheng and Chu{-}Hsiang Chia and Pui{-}Sun Lei and Zong{-}Yui Lin}, title = {Adaptive Sense Current Control for {DC-DC} Boost Converters to Get Accurate Voltage}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {8}, pages = {1066--1072}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.1066}, doi = {10.1587/TRANSELE.E92.C.1066}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChangCCCLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChenHHLCYLYLWCL09, author = {Chun{-}Hao Chen and Rong{-}Zhang Hwang and Long{-}Sun Huang and Shi{-}Ming Lin and Hsiao{-}Chin Chen and Yu{-}Che Yang and Yu{-}Tso Lin and Shih{-}An Yu and Yo{-}Sheng Lin and Yiao{-}Hong Wang and Nai{-}Kuan Chou and Shey{-}Shi Lu}, title = {A Wireless Bio-MEMS Sensor for C-Reactive Protein Detection Based on Nanomechanics}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {56}, number = {2}, pages = {462--470}, year = {2009}, url = {https://doi.org/10.1109/TBME.2008.2003262}, doi = {10.1109/TBME.2008.2003262}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChenHHLCYLYLWCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ShiehCLW09, author = {Ming{-}Der Shieh and Jun{-}Hong Chen and Wen{-}Ching Lin and Hao{-}Hsuan Wu}, title = {A New Algorithm for High-Speed Modular Multiplication Design}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {56-I}, number = {9}, pages = {2009--2019}, year = {2009}, url = {https://doi.org/10.1109/TCSI.2008.2011585}, doi = {10.1109/TCSI.2008.2011585}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ShiehCLW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/TsaiBWL09, author = {Cheng{-}Hung Tsai and Ying{-}Wen Bai and Hao{-}Yuan Wang and Ming{-}Bo Lin}, title = {Design and implementation of a socket with low standby power}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {3}, pages = {1558--1565}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5278027}, doi = {10.1109/TCE.2009.5278027}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/TsaiBWL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JiangYM09, author = {Geng{-}Ming Jiang and Hao Yan and Lingling Ma}, title = {Intercalibration of {SVISSR/FY-2C} Infrared Channels Against MODIS/Terra and AIRS/Aqua Channels}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {47}, number = {5}, pages = {1548--1558}, year = {2009}, url = {https://doi.org/10.1109/TGRS.2008.2005200}, doi = {10.1109/TGRS.2008.2005200}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/JiangYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhuLSHS09, author = {Haojin Zhu and Xiaodong Lin and Minghui Shi and Pin{-}Han Ho and Xuemin Shen}, title = {{PPAB:} {A} Privacy-Preserving Authentication and Billing Architecture for Metropolitan Area Sharing Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {58}, number = {5}, pages = {2529--2543}, year = {2009}, url = {https://doi.org/10.1109/TVT.2008.2007983}, doi = {10.1109/TVT.2008.2007983}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ZhuLSHS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccsa/HuangLYCK09, author = {Chung{-}Ming Huang and Chung{-}Wei Lin and Chia{-}Ching Yang and Chung{-}Heng Chang and Hao{-}Hsiang Ku}, editor = {El Mostapha Aboulhamid and Jos{\'{e}} Luis Sevillano}, title = {An {SVC-MDC} video coding scheme using the multi-core parallel programming paradigm for {P2P} video streaming}, booktitle = {The 7th {IEEE/ACS} International Conference on Computer Systems and Applications, {AICCSA} 2009, Rabat, Morocco, May 10-13, 2009}, pages = {919--926}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/AICCSA.2009.5069442}, doi = {10.1109/AICCSA.2009.5069442}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiccsa/HuangLYCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ZhuLYS09, author = {Jun{-}Jie Zhu and Wen{-}Ching Lin and Jheng{-}Hao Ye and Ming{-}Der Shieh}, title = {Efficient Software-Based Self-Test Methods for Embedded Digital Signal Processors}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.30}, doi = {10.1109/ATS.2009.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ZhuLYS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ZhangLZ09, author = {Jianjie Zhang and Hao Lin and Mingguo Zhao}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {A Fast Algorithm for Hand Gesture Recognition Using Relief}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {8--12}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.210}, doi = {10.1109/FSKD.2009.210}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/ZhangLZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LiLL09, author = {Yung{-}Ming Li and Cheng{-}Yang Lai and Chia{-}Hao Lin}, title = {Discovering Influential Nodes for Viral Marketing}, booktitle = {42st Hawaii International International Conference on Systems Science {(HICSS-42} 2009), Proceedings {(CD-ROM} and online), 5-8 January 2009, Waikoloa, Big Island, HI, {USA}}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HICSS.2009.163}, doi = {10.1109/HICSS.2009.163}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/LiLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ChangLFLL09, author = {Pei{-}Chann Chang and Chen{-}Hao Liu and Chin{-}Yuan Fan and Jun{-}Lin Lin and Chih{-}Ming Lai}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Hong{-}Hee Lee and Hee{-}Jun Kang and Vitoantonio Bevilacqua}, title = {An Ensemble of Neural Networks for Stock Trading Decision Making}, booktitle = {Emerging Intelligent Computing Technology and Applications. With Aspects of Artificial Intelligence, 5th International Conference on Intelligent Computing, {ICIC} 2009, Ulsan, South Korea, September 16-19, 2009, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5755}, pages = {1--10}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04020-7\_1}, doi = {10.1007/978-3-642-04020-7\_1}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ChangLFLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/TsaiLLY09, author = {Chin{-}Fu Tsai and Lung{-}Tsai Li and Chin{-}Hao Li and Ming{-}Shing Young}, editor = {Jeng{-}Shyang Pan and Yen{-}Wei Chen and Lakhmi C. Jain}, title = {Implementation of Thermistor Linearization Using LabVIEW}, booktitle = {Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings}, pages = {530--533}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IIH-MSP.2009.98}, doi = {10.1109/IIH-MSP.2009.98}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/TsaiLLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangCSL09, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {A Generalized Blind Channel Estimation Algorithm for {OFDM} Systems with Cyclic Prefix}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {2469--2472}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118301}, doi = {10.1109/ISCAS.2009.5118301}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangCSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/HuangKL09, author = {Chung{-}Ming Huang and Hao{-}Hsiang Ku and Cheng{-}Wei Lin}, title = {Design and Implementation of a Web2.0-Based Home-Appliances Control Service Platform}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {110--115}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/I-SPAN.2009.72}, doi = {10.1109/I-SPAN.2009.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/HuangKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Chih{-}Chieh Yang and Shih{-}Hung Lin and Kuo{-}Pin Lan and Chien{-}Hua Wu and Ting{-}Hsun Wei and Chi{-}Chin Lien and Jiun{-}Yuan Wu and Chih{-}Hao Hsiao and Te{-}Wei Chen and Yeh{-}Lin Chu and Guan{-}Yi Lin and Yung{-}Chang Chang and Kung{-}Sheng Lin and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Chien{-}Hung Lin and Yung{-}Teng Lin and Shang{-}Ming Lee and Ya{-}Ching Yang and Yu{-}Lun Cheng and Chen{-}Chia Lee and Ming{-}Shiang Lai and Wen{-}Hua Wu and Ted Hu and Chao{-}Wei Tseng and Chen{-}Yu Hsiao and Wei{-}Liang Lee and Bo{-}Jiun Chen and Pao{-}Cheng Chiu and Shang{-}Ping Chen and Kun{-}Hsien Li and Kuan{-}Hua Chao and Chien{-}Ming Chen and Chuan{-}Cheng Hsiao and Jeffrey Ju and Wei{-}Hung Huang and Chi{-}Hui Wang and Hung{-}Sung Li and Evan Su and Joe Chen}, title = {A multi-format Blu-ray player SoC in 90nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {152--153}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977353}, doi = {10.1109/ISSCC.2009.4977353}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JuLYLLWWLWHCCLCLWLCCLLLYCLLWHTHLCCCLCCHJHWLSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/YangCWXLLYLZZ09, author = {Junfeng Yang and Tisheng Chen and Ming Wu and Zhilei Xu and Xuezheng Liu and Haoxiang Lin and Mao Yang and Fan Long and Lintao Zhang and Lidong Zhou}, editor = {Jennifer Rexford and Emin G{\"{u}}n Sirer}, title = {{MODIST:} Transparent Model Checking of Unmodified Distributed Systems}, booktitle = {Proceedings of the 6th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2009, April 22-24, 2009, Boston, MA, {USA}}, pages = {213--228}, publisher = {{USENIX} Association}, year = {2009}, url = {http://www.usenix.org/events/nsdi09/tech/full\_papers/yang/yang.pdf}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nsdi/YangCWXLLYLZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/ChenHZCA09, author = {Bin Bin Chen and Shuai Hao and Mingze Zhang and Mun Choon Chan and Akkihebbal L. Ananda}, title = {{DEAL:} Discover and Exploit Asymmetric Links in Dense Wireless Sensor Networks}, booktitle = {Proceedings of the Sixth Annual {IEEE} Communications Society Conference on Sensor, Mesh and Ad Hoc Communications and Networks, {SECON} 2009, June 22-26, 2009, Rome, Italy}, pages = {1--9}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SAHCN.2009.5168924}, doi = {10.1109/SAHCN.2009.5168924}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/secon/ChenHZCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChenCCKLYLLC09, author = {Bing{-}Yu Chen and Kai{-}Yin Cheng and Hao{-}Hua Chu and Sy{-}Yen Kuo and Rong{-}Hao Liang and Ming{-}Yang Yu and Rung{-}Huei Liang and Hung{-}Jung Lin and Yu{-}Ming Chu}, editor = {Yuko Oda and Mariko Tanaka}, title = {MemoIcon: using everyday objects as physical icons}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} {ASIA} 2009, Yokohama, Japan, December 16-19, 2009, Art Gallery {\&} Emerging Technologies: Adaptation}, pages = {78}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1665137.1665197}, doi = {10.1145/1665137.1665197}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/ChenCCKLYLLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpar/LinYLLX09, author = {Zheng{-}Kui Lin and Weiguo Yi and Mingyu Lu and Zhi Liu and Hao Xu}, editor = {Ajith Abraham and Azah Kamilah Muda and Nanna Suryana Herman and Siti Mariyam Shamsuddin and Yun{-}Huoy Choo}, title = {Correlation Research of Association Rules and Application in the Data about Coronary Heart Disease}, booktitle = {First International Conference of Soft Computing and Pattern Recognition, SoCPaR 2009, Malacca, Malaysia, December 4-7, 2009}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SoCPaR.2009.39}, doi = {10.1109/SOCPAR.2009.39}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socpar/LinYLLX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/FangCSL09, author = {Shih{-}Hao Fang and Ju{-}Ya Chen and Ming{-}Der Shieh and Jing{-}Shiun Lin}, title = {Modified Subspace Based Channel Estimation Algorithm for {OFDM} Systems}, booktitle = {Proceedings of the 69th {IEEE} Vehicular Technology Conference, {VTC} Spring 2009, 26-29 April 2009, Hilton Diagonal Mar, Barcelona, Spain}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECS.2009.5073690}, doi = {10.1109/VETECS.2009.5073690}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/FangCSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LinZZML08, author = {Hao Lin and Zefeng Zhang and Michael Q. Zhang and Bin Ma and Ming Li}, title = {ZOOM! Zillions of oligos mapped}, journal = {Bioinform.}, volume = {24}, number = {21}, pages = {2431--2437}, year = {2008}, url = {https://doi.org/10.1093/bioinformatics/btn416}, doi = {10.1093/BIOINFORMATICS/BTN416}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LinZZML08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcb/ZhangLL08, author = {Zefeng Zhang and Hao Lin and Ming Li}, title = {Mango: Multiple Alignment with n Gapped Oligos}, journal = {J. Bioinform. Comput. Biol.}, volume = {6}, number = {3}, pages = {521--541}, year = {2008}, url = {https://doi.org/10.1142/S0219720008003527}, doi = {10.1142/S0219720008003527}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcb/ZhangLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LinCWCHK08, author = {Chung{-}Yen Lin and Chia{-}Hao Chin and Hsin{-}Hung Wu and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko}, title = {Hubba: hub objects analyzer - a framework of interactome hubs identification for network biology}, journal = {Nucleic Acids Res.}, volume = {36}, number = {Web-Server-Issue}, pages = {438--443}, year = {2008}, url = {https://doi.org/10.1093/nar/gkn257}, doi = {10.1093/NAR/GKN257}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LinCWCHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ShiehCWL08, author = {Ming{-}Der Shieh and Jun{-}Hong Chen and Hao{-}Hsuan Wu and Wen{-}Ching Lin}, title = {A New Modular Exponentiation Architecture for Efficient Design of {RSA} Cryptosystem}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {9}, pages = {1151--1161}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000524}, doi = {10.1109/TVLSI.2008.2000524}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ShiehCWL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/TongTLLHL08, author = {Chia{-}Chang Tong and Yau{-}Jeng Tsai and Shih{-}Fan Li and Jhih{-}Yu Lin and Ming{-}Han Ho and Yu{-}Hao Lin}, title = {PSoC implementing vehicle auxiliary vacuum brake system with Kalman filter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {77--81}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4745964}, doi = {10.1109/APCCAS.2008.4745964}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/TongTLLHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLWS08, author = {Jun{-}Hong Chen and Wen{-}Ching Lin and Hao{-}Hsuan Wu and Ming{-}Der Shieh}, title = {High-speed modular multiplication design for public-key cryptosystems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {680--683}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541509}, doi = {10.1109/ISCAS.2008.4541509}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLYCLKLCWHH08, author = {Yu{-}Hao Hsu and Ming{-}Hao Lu and Ping{-}Ling Yang and Fanta Chen and You{-}Hung Li and Min{-}Sheng Kao and Chih{-}Hsing Lin and Ching{-}Te Chiu and Jen{-}Ming Wu and Shuo{-}Hung Hsu and Yarsun Hsu}, title = {A 28Gbps 4{\texttimes}4 switch with low jitter SerDes using area-saving {RF} model in 0.13{\(\mathrm{\mu}\)}m {CMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {3086--3089}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542110}, doi = {10.1109/ISCAS.2008.4542110}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLYCLKLCWHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaoLLSCC08, author = {You{-}Ming Tsao and Ka{-}Hang Lok and Yu{-}Cheng Lin and Chih{-}Hao Sun and Shao{-}Yi Chien and Liang{-}Gee Chen}, title = {A cost effective reconfigurable memory for multimedia multithreading streaming architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {3406--3409}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542190}, doi = {10.1109/ISCAS.2008.4542190}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaoLLSCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChristelHLCYMB08, author = {Michael G. Christel and Alexander G. Hauptmann and Wei{-}Hao Lin and Ming{-}Yu Chen and Jun Yang and Bryan Maher and Robert V. Baron}, editor = {Paul Over and Alan F. Smeaton}, title = {Exploring the utility of fast-forward surrogates for bbc rushes}, booktitle = {Proceedings of the 2nd {ACM} Workshop on Video Summarization, {TVS} 2008, Vancouver, British Columbia, Canada, October 31, 2008}, pages = {35--39}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1463563.1463568}, doi = {10.1145/1463563.1463568}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChristelHLCYMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/HauptmannBCCLSVYMS08, author = {Alexander G. Hauptmann and Robert V. Baron and Ming{-}Yu Chen and Michael G. Christel and Wei{-}Hao Lin and Xinghua Sun and V{\'{\i}}ctor Vald{\'{e}}s and Jun Yang and Lily B. Mummert and Steven W. Schlosser}, editor = {Paul Over and George Awad and R. Travis Rose and Jonathan G. Fiscus and Wessel Kraaij and Alan F. Smeaton}, title = {Informedia @ {TRECVID2008:} Exploring New Frontiers}, booktitle = {{TRECVID} 2008 workshop participants notebook papers, Gaithersburg, MD, USA, November 2008}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2008}, url = {http://www-nlpir.nist.gov/projects/tvpubs/tv8.papers/cmu.pdf}, timestamp = {Fri, 17 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/HauptmannBCCLSVYMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/KungHKL07, author = {Hsu{-}Yang Kung and Chung{-}Ming Huang and Hao{-}Hsiang Ku and Ching{-}Yu Lin}, title = {Efficient multimedia distribution architecture using anycast}, journal = {Comput. Commun.}, volume = {30}, number = {2}, pages = {288--301}, year = {2007}, url = {https://doi.org/10.1016/j.comcom.2006.08.022}, doi = {10.1016/J.COMCOM.2006.08.022}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/KungHKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmsj/LuoHTDHMY07, author = {Lin Luo and Da Ming Hao and Zhong Tian and Ya Bin Dang and Bo Hou and Peter Malkin and Shun Xiang Yang}, title = {Ariadne: An Eclipse-based system for tracking originality of source code}, journal = {{IBM} Syst. J.}, volume = {46}, number = {2}, pages = {289--304}, year = {2007}, url = {https://doi.org/10.1147/sj.462.0289}, doi = {10.1147/SJ.462.0289}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmsj/LuoHTDHMY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/BeroukhimLPHZGFHMHDRMWSL07, author = {Rameen Beroukhim and Ming Lin and Yuhyun Park and Ke Hao and Xiaojun Zhao and Levi A. Garraway and Edward A. Fox and Ephraim P. Hochberg and Ingo K. Mellinghoff and Matthias D. Hofer and Aurelien Descazeaud and Mark A. Rubin and Matthew Meyerson and Wing Hung Wong and William R. Sellers and Cheng Li}, title = {Correction: Inferring Loss-of-Heterozygosity from Unpaired Tumors Using High-Density Oligonucleotide {SNP} Arrays}, journal = {PLoS Comput. Biol.}, volume = {3}, number = {2}, year = {2007}, url = {https://doi.org/10.1371/journal.pcbi.0030040}, doi = {10.1371/JOURNAL.PCBI.0030040}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/BeroukhimLPHZGFHMHDRMWSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/WuTCLCH07, author = {Chon{-}in Wu and Chao{-}ming Teng and Yi{-}Chao Chen and Tung{-}yun Lin and Hao{-}Hua Chu and Jane Yung{-}jen Hsu}, title = {Point-of-capture archiving and editing of personal experiences from a mobile device}, journal = {Pers. Ubiquitous Comput.}, volume = {11}, number = {4}, pages = {235--249}, year = {2007}, url = {https://doi.org/10.1007/s00779-006-0082-7}, doi = {10.1007/S00779-006-0082-7}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/WuTCLCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMicec/LiL07, author = {Yung{-}Ming Li and Chia{-}Hao Lin}, editor = {Maria L. Gini and Robert J. Kauffman and Donna Sarppo and Chrysanthos Dellarocas and Frank Dignum}, title = {Pricing digital content with {DRM} mechanism}, booktitle = {Proceedings of the 9th International Conference on Electronic Commerce: The Wireless World of Electronic Commerce, 2007, University of Minnesota, Minneapolis, MN, USA, August 19-22, 2007}, series = {{ACM} International Conference Proceeding Series}, volume = {258}, pages = {433--440}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1282100.1282182}, doi = {10.1145/1282100.1282182}, timestamp = {Tue, 06 Apr 2021 12:12:56 +0200}, biburl = {https://dblp.org/rec/conf/ACMicec/LiL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adma/WangG07, author = {Hao{-}ming Wang and Ye Guo}, editor = {Reda Alhajj and Hong Gao and Xue Li and Jianzhong Li and Osmar R. Za{\"{\i}}ane}, title = {CLBCRA-Approach for Combination of Content-Based and Link-Based Ranking in Web Search}, booktitle = {Advanced Data Mining and Applications, Third International Conference, {ADMA} 2007, Harbin, China, August 6-8, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4632}, pages = {23--34}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73871-8\_4}, doi = {10.1007/978-3-540-73871-8\_4}, timestamp = {Mon, 31 Aug 2020 16:04:32 +0200}, biburl = {https://dblp.org/rec/conf/adma/WangG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WongTLZLCLS07, author = {Cheng{-}Chi Wong and Cheng{-}Hao Tang and Ming{-}Wei Lai and Yan{-}Xiu Zheng and Chien{-}Ching Lin and Hsie{-}Chia Chang and Chen{-}Yi Lee and Yu.{-}T. Su}, title = {A 0.22 nJ/b/iter 0.13 {\(\mu\)}m turbo decoder chip using inter-block permutation interleaver}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {273--276}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405731}, doi = {10.1109/CICC.2007.4405731}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WongTLZLCLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/HuangML07, author = {Shen{-}Tzay Huang and Ming{-}Chuan Hsu and Wen{-}Hao Lin}, editor = {S. C. Cheung and Yinsheng Li and Kuo{-}Ming Chao and Muhammad Younas and Jen{-}Yao Chung}, title = {Management and Education on the Case-Based Complex e-Business Systems Based On Agent Centric Ontology and Simulation Games}, booktitle = {Proceedings of {ICEBE} 2007, {IEEE} International Conference on e-Business Engineering and the Workshops {SOAIC} 2007, {SOSE} 2007, {SOKM} 2007, 24-26 October, 2007, Hong Kong, China}, pages = {379--382}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICEBE.2007.33}, doi = {10.1109/ICEBE.2007.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/HuangML07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/ChenCW07a, author = {Hou{-}Ming Chen and Robert Chen{-}Hao Chang and Jian{-}Lin Wu}, title = {A Low-Voltage Integrated Current-Mode Boost Converter for Portable Power Supply}, booktitle = {14th {IEEE} International Conference on Electronics, Circuits, and Systems, {ICECS} 2007, Marrakech, Morocco, December 11-14, 2007}, pages = {1316--1319}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICECS.2007.4511240}, doi = {10.1109/ICECS.2007.4511240}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icecsys/ChenCW07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/WangLLHH07, author = {Yanlong Wang and Zhanhuai Li and Wei Lin and Minglei Hei and Jianhua Hao}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {The Design of Finite State Machine for Asynchronous Replication Protocol}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4682}, pages = {1042--1053}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74205-0\_108}, doi = {10.1007/978-3-540-74205-0\_108}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/WangLLHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.org/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.