default search action
Search dblp for Publications
export results for "Mohammad Sarbaz"
@article{DBLP:journals/ijufks/Sarbaz24, author = {Mohammad Sarbaz}, title = {Model Predictive Control for Interval Type-2 Fuzzy Systems with Unknown Time-Varying Delay in States and Input Vector}, journal = {Int. J. Uncertain. Fuzziness Knowl. Based Syst.}, volume = {32}, number = {3}, pages = {385--401}, year = {2024}, url = {https://doi.org/10.1142/S0218488524500156}, doi = {10.1142/S0218488524500156}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijufks/Sarbaz24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/RahimiASMEBR24, author = {Mitra Rahimi and Mohammad Reza Afrash and Shahin Shadnia and Babak Mostafazadeh and Peyman Erfan Talab Evini and Mohadeseh Sarbaz Bardsiri and Maral Ramezani}, title = {Prediction the prognosis of the poisoned patients undergoing hemodialysis using machine learning algorithms}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {38}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02443-0}, doi = {10.1186/S12911-024-02443-0}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/RahimiASMEBR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pomacs/AkbarzadehDGMSS24, author = {Negar Akbarzadeh and Sina Darabi and Atiyeh Gheibi{-}Fetrat and Amir Mirzaei and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, title = {{H3DM:} {A} High-bandwidth High-capacity Hybrid 3D Memory Design for GPUs}, journal = {Proc. {ACM} Meas. Anal. Comput. Syst.}, volume = {8}, number = {1}, pages = {12:1--12:28}, year = {2024}, url = {https://doi.org/10.1145/3639038}, doi = {10.1145/3639038}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pomacs/AkbarzadehDGMSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SerajehhassaniSRPWS24, author = {Fatemeh Serajeh{-}hassani and Mohammad Sadrosadati and Nezam Rohbani and Sebastian Pointner and Robert Wille and Hamid Sarbazi{-}Azad}, title = {An Efficient {FPGA} Architecture with Turn-Restricted Switch Boxes}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {29}, number = {3}, pages = {42:1--42:18}, year = {2024}, url = {https://doi.org/10.1145/3643809}, doi = {10.1145/3643809}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/SerajehhassaniSRPWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/AkbarzadehDGMSS24, author = {Negar Akbarzadeh and Sina Darabi and Atiyeh Gheibi{-}Fetrat and Amir Mirzaei and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, editor = {Michele Garetto and Andrea Marin and Florin Ciucu and Giulia Fanti and Rhonda Righter}, title = {A High-bandwidth High-capacity Hybrid 3D Memory for GPUs}, booktitle = {Abstracts of the 2024 {ACM} {SIGMETRICS/IFIP} {PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS/PERFORMANCE} 2024, Venice, Italy, June 10-14, 2024}, pages = {67--68}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3652963.3655057}, doi = {10.1145/3652963.3655057}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/AkbarzadehDGMSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/ZamaniSMSI23, author = {Iman Zamani and Mohsen Shafieirad and Mohammad Manthouri and Mohammad Sarbaz and Asier Ibeas}, title = {Nonlinear Pseudo State-Feedback Controller Design for Affine Fuzzy Large-Scale Systems with {\textdollar}\{\{{\textbackslash}varvec\{H\}\}\}{\_}\{{\textbackslash}boldsymbol\{{\textbackslash}infty \}\}{\textdollar} Performance}, journal = {Int. J. Fuzzy Syst.}, volume = {25}, number = {1}, pages = {80--95}, year = {2023}, url = {https://doi.org/10.1007/s40815-022-01296-x}, doi = {10.1007/S40815-022-01296-X}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/ZamaniSMSI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pajais/MonshizadaSM23, author = {Sahber Monshizada and Hamed Sarbazhosseini and Masoud Mohammadian}, title = {Development of Artificial Intelligence Systems in terms of People-Process-Data-Technology {(2PDT)}}, journal = {Pac. Asia J. Assoc. Inf. Syst.}, volume = {15}, number = {4}, pages = {2}, year = {2023}, url = {https://aisel.aisnet.org/pajais/vol15/iss4/2}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pajais/MonshizadaSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/SoltaniKSEEPWHS23, author = {Mohammad Dehghani Soltani and Hossein Kazemi and Elham Sarbazi and Taisir E. H. El{-}Gorashi and Jaafar M. H. Elmirghani and Richard V. Penty and Ian H. White and Harald Haas and Majid Safari}, title = {High-Speed Imaging Receiver Design for 6G Optical Wireless Communications: {A} Rate-FOV Trade-Off}, journal = {{IEEE} Trans. Commun.}, volume = {71}, number = {2}, pages = {1024--1043}, year = {2023}, url = {https://doi.org/10.1109/TCOMM.2022.3230954}, doi = {10.1109/TCOMM.2022.3230954}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/SoltaniKSEEPWHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/SoltaniQHYMSLACKSBCBASTPSEECPWHS23, author = {Mohammad Dehghani Soltani and Ahmad Adnan Qidan and Shenjie Huang and Barzan A. Yosuf and Sanaa H. Mohamed and Ravinder Singh and Yi Liu and Wajahat Ali and Rui Chen and Hossein Kazemi and Elham Sarbazi and Bela Berde and Dominique Chiaroni and Bastien B{\'{e}}chadergue and Fathi Abdeldayem and Hardik Soni and Jose Tabu and Micheline Perrufel and Nikola Serafimovski and Taisir E. H. El{-}Gorashi and Jaafar M. H. Elmirghani and Michael J. Crisp and Richard V. Penty and Ian H. White and Harald Haas and Majid Safari}, title = {Terabit Indoor Laser-Based Wireless Communications: Lifi 2.0 For 6G}, journal = {{IEEE} Wirel. Commun.}, volume = {30}, number = {5}, pages = {36--43}, year = {2023}, url = {https://doi.org/10.1109/MWC.007.2300121}, doi = {10.1109/MWC.007.2300121}, timestamp = {Wed, 06 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/SoltaniQHYMSLACKSBCBASTPSEECPWHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acis/MonshizadaSM23, author = {Sahber Monshizada and Hamed Sarbazhosseini and Masoud Mohammadian}, title = {Artificial Intelligence System Development in terms of People-Process-Data-Technology {(2PDT):} Results from Government Case Studies}, booktitle = {Australasian Conference on Information Systems, {ACIS} 2023, Wellington, New Zealand, December 5-8, 2023}, year = {2023}, url = {https://aisel.aisnet.org/acis2023/49}, timestamp = {Thu, 16 May 2024 17:06:12 +0200}, biburl = {https://dblp.org/rec/conf/acis/MonshizadaSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/NadigSMMT0SGM23, author = {Rakesh Nadig and Mohammad Sadrosadati and Haiyu Mao and Nika Mansouri{-}Ghiasi and Arash Tavakkol and Jisung Park and Hamid Sarbazi{-}Azad and Juan G{\'{o}}mez{-}Luna and Onur Mutlu}, editor = {Yan Solihin and Mark A. Heinrich}, title = {Venice: Improving Solid-State Drive Parallelism at Low Cost via Conflict-Free Accesses}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {36:1--36:16}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589071}, doi = {10.1145/3579371.3589071}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/NadigSMMT0SGM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RohbaniSS23, author = {Nezam Rohbani and Mohammad Arman Soleimani and Hamid Sarbazi{-}Azad}, title = {CoolDRAM: An Energy-Efficient and Robust {DRAM}}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2023, Vienna, Austria, August 7-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISLPED58423.2023.10244464}, doi = {10.1109/ISLPED58423.2023.10244464}, timestamp = {Mon, 25 Sep 2023 14:10:09 +0200}, biburl = {https://dblp.org/rec/conf/islped/RohbaniSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/SafariRSS23, author = {Maede Safari and Nezam Rohbani and Mohammad Arman Soleimani and Hamid Sarbazi{-}Azad}, title = {{OCRA:} An Oblivious Congested Region Avoiding Routing Algorithm for 3D NoCs}, booktitle = {Proceedings of the 16th International Workshop on Network on Chip Architectures, NoCArc 2023, Toronto, ON, Canada, 28 October 2023}, pages = {40--45}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610396.3618092}, doi = {10.1145/3610396.3618092}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/SafariRSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/BaradaranASS23, author = {Morteza Baradaran and Ali Ansari and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, title = {Energy Consumption Analysis of Instruction Cache Prefetching Methods}, booktitle = {International Symposium on Computer Architecture and High Performance Computing Workshops , {SBAC-PADW} 2023, Porto Alegre, Brazil, October 17-20, 2023}, pages = {60--67}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SBAC-PADW60351.2023.00019}, doi = {10.1109/SBAC-PADW60351.2023.00019}, timestamp = {Fri, 17 Nov 2023 08:57:24 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/BaradaranASS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07768, author = {Rakesh Nadig and Mohammad Sadrosadati and Haiyu Mao and Nika Mansouri{-}Ghiasi and Arash Tavakkol and Jisung Park and Hamid Sarbazi{-}Azad and Juan G{\'{o}}mez{-}Luna and Onur Mutlu}, title = {Venice: Improving Solid-State Drive Parallelism at Low Cost via Conflict-Free Accesses}, journal = {CoRR}, volume = {abs/2305.07768}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07768}, doi = {10.48550/ARXIV.2305.07768}, eprinttype = {arXiv}, eprint = {2305.07768}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/MirhosseiniSSS22, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Behnaz Soltani and Hamid Sarbazi{-}Azad}, title = {Chapter Three - {A} power-performance balanced network-on-chip for mixed {CPU-GPU} systems}, journal = {Adv. Comput.}, volume = {124}, pages = {45--80}, year = {2022}, url = {https://doi.org/10.1016/bs.adcom.2021.09.003}, doi = {10.1016/BS.ADCOM.2021.09.003}, timestamp = {Mon, 21 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ac/MirhosseiniSSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/SadrosadatiMAAS22, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Negar Akbarzadeh and Homa Aghilinasab and Hamid Sarbazi{-}Azad}, title = {Chapter Two - An efficient {DVS} scheme for on-chip networks}, journal = {Adv. Comput.}, volume = {124}, pages = {21--43}, year = {2022}, url = {https://doi.org/10.1016/bs.adcom.2021.09.002}, doi = {10.1016/BS.ADCOM.2021.09.002}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ac/SadrosadatiMAAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/SadrosadatiMAMS22, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Negar Akbarzadeh and Mehdi Modarressi and Hamid Sarbazi{-}Azad}, title = {Chapter One - Traffic-load-aware virtual channel power-gating in network-on-chips}, journal = {Adv. Comput.}, volume = {124}, pages = {1--19}, year = {2022}, url = {https://doi.org/10.1016/bs.adcom.2021.09.001}, doi = {10.1016/BS.ADCOM.2021.09.001}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ac/SadrosadatiMAMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/SarbazZMI22, author = {Mohammad Sarbaz and Iman Zamani and Mohammad Manthouri and Asier Ibeas}, title = {Hierarchical Optimization-Based Model Predictive Control for a Class of Discrete Fuzzy Large-Scale Systems Considering Time-Varying Delays and Disturbances}, journal = {Int. J. Fuzzy Syst.}, volume = {24}, number = {4}, pages = {2107--2130}, year = {2022}, url = {https://doi.org/10.1007/s40815-021-01217-4}, doi = {10.1007/S40815-021-01217-4}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/SarbazZMI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijufks/SarbazMZ22, author = {Mohammad Sarbaz and Mohammad Manthouri and Iman Zamani}, title = {LMI-Based Robust Fuzzy Model Predictive Control of Discrete-Time Fuzzy Takagi-Sugeno Large-Scale Systems Based on Hierarchical Optimization and H{\(\infty\)} Performance}, journal = {Int. J. Uncertain. Fuzziness Knowl. Based Syst.}, volume = {30}, number = {4}, pages = {649--679}, year = {2022}, url = {https://doi.org/10.1142/S0218488522500179}, doi = {10.1142/S0218488522500179}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijufks/SarbazMZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/SoltaniSBSKEWPH22, author = {Mohammad Dehghani Soltani and Elham Sarbazi and Nikolaos Bamiedakis and Priyanka de Souza and Hossein Kazemi and Jaafar M. H. Elmirghani and Ian H. White and Richard V. Penty and Harald Haas and Majid Safari}, title = {Safety Analysis for Laser-Based Optical Wireless Communications: {A} Tutorial}, journal = {Proc. {IEEE}}, volume = {110}, number = {8}, pages = {1045--1072}, year = {2022}, url = {https://doi.org/10.1109/JPROC.2022.3181968}, doi = {10.1109/JPROC.2022.3181968}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/SoltaniSBSKEWPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pomacs/DarabiMBYSS22, author = {Sina Darabi and Negin Mahani and Hazhir Bakhishi and Ehsan Yousefzadeh{-}Asl{-}Miandoab and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, title = {{NURA:} {A} Framework for Supporting Non-Uniform Resource Accesses in GPUs}, journal = {Proc. {ACM} Meas. Anal. Comput. Syst.}, volume = {6}, number = {1}, pages = {16:1--16:27}, year = {2022}, url = {https://doi.org/10.1145/3508036}, doi = {10.1145/3508036}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pomacs/DarabiMBYSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KazemiSSEEPWSH22, author = {Hossein Kazemi and Elham Sarbazi and Mohammad Dehghani Soltani and Taisir E. H. El{-}Gorashi and Jaafar M. H. Elmirghani and Richard V. Penty and Ian H. White and Majid Safari and Harald Haas}, title = {A Tb/s Indoor {MIMO} Optical Wireless Backhaul System Using {VCSEL} Arrays}, journal = {{IEEE} Trans. Commun.}, volume = {70}, number = {6}, pages = {3995--4012}, year = {2022}, url = {https://doi.org/10.1109/TCOMM.2022.3165187}, doi = {10.1109/TCOMM.2022.3165187}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KazemiSSEEPWSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DarabiYAFLSS22, author = {Sina Darabi and Ehsan Yousefzadeh{-}Asl{-}Miandoab and Negar Akbarzadeh and Hajar Falahati and Pejman Lotfi{-}Kamran and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, title = {{OSM:} Off-Chip Shared Memory for GPUs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {33}, number = {12}, pages = {3415--3429}, year = {2022}, url = {https://doi.org/10.1109/TPDS.2022.3154315}, doi = {10.1109/TPDS.2022.3154315}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DarabiYAFLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RohbaniSS22, author = {Nezam Rohbani and Mohammad Arman Soleimani and Hamid Sarbazi{-}Azad}, editor = {Rob Oshana}, title = {{PIPF-DRAM:} processing in precharge-free {DRAM}}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1075--1080}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530573}, doi = {10.1145/3489517.3530573}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/RohbaniSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SarbaziKSSH22, author = {Elham Sarbazi and Hossein Kazemi and Mohammad Dehghani Soltani and Majid Safari and Harald Haas}, title = {Design Tradeoffs of Non-Imaging Angle Diversity Receivers for 6G Optical Wireless Access Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {419--424}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10000825}, doi = {10.1109/GLOBECOM48099.2022.10000825}, timestamp = {Tue, 17 Jan 2023 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SarbaziKSSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/SoltaniKSHS22, author = {Mohammad Dehghani Soltani and Hossein Kazemi and Elham Sarbazi and Harald Haas and Majid Safari}, title = {Optimal Imaging Receiver Design for High-Speed Mobile Optical Wireless Communications}, booktitle = {2022 {IEEE} International Conference on Communications Workshops, {ICC} Workshops 2022, Seoul, Korea, May 16-20, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCWorkshops53468.2022.9814646}, doi = {10.1109/ICCWORKSHOPS53468.2022.9814646}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/SoltaniKSHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DarabiSALH0GMS22, author = {Sina Darabi and Mohammad Sadrosadati and Negar Akbarzadeh and Jo{\"{e}}l Lindegger and Mohammad Hosseini and Jisung Park and Juan G{\'{o}}mez{-}Luna and Onur Mutlu and Hamid Sarbazi{-}Azad}, title = {Morpheus: Extending the Last Level Cache Capacity in {GPU} Systems Using Idle {GPU} Core Resources}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {228--244}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00029}, doi = {10.1109/MICRO56248.2022.00029}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/DarabiSALH0GMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/DarabiMBYSS22, author = {Sina Darabi and Negin Mahani and Hazhir Baxishi and Ehsan Yousefzadeh and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, editor = {D. Manjunath and Jayakrishnan Nair and Niklas Carlsson and Edith Cohen and Philippe Robert}, title = {{NURA:} {A} Framework for Supporting Non-Uniform Resource Accesses in GPUs}, booktitle = {{SIGMETRICS/PERFORMANCE} '22: {ACM} {SIGMETRICS/IFIP} {PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, Mumbai, India, June 6 - 10, 2022}, pages = {39--40}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489048.3522656}, doi = {10.1145/3489048.3522656}, timestamp = {Wed, 01 Mar 2023 21:16:34 +0100}, biburl = {https://dblp.org/rec/conf/sigmetrics/DarabiMBYSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-05626, author = {Mohammad Dehghani Soltani and Hossein Kazemi and Elham Sarbazi and Taisir E. H. El{-}Gorashi and Jaafar M. H. Elmirghani and Richard V. Penty and Ian H. White and Harald Haas and Majid Safari}, title = {High-Speed Imaging Receiver Design for 6G Optical Wireless Communications: {A} Rate-FOV Trade-Off}, journal = {CoRR}, volume = {abs/2205.05626}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.05626}, doi = {10.48550/ARXIV.2205.05626}, eprinttype = {arXiv}, eprint = {2205.05626}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-05626.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-10532, author = {Mohammad Dehghani Soltani and Hossein Kazemi and Elham Sarbazi and Ahmad Adnan Qidan and Barzan A. Yosuf and Sanaa H. Mohamed and Ravinder Singh and Bela Berde and Dominique Chiaroni and Bastien B{\'{e}}chadergue and Fathi Abdeldayem and Hardik Soni and Jose Tabu and Micheline Perrufel and Nikola Serafimovski and Taisir E. H. El{-}Gorashi and Jaafar M. H. Elmirghani and Richard V. Penty and Ian H. White and Harald Haas and Majid Safari}, title = {Terabit Indoor Laser-Based Wireless Communications: LiFi 2.0 for 6G}, journal = {CoRR}, volume = {abs/2206.10532}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.10532}, doi = {10.48550/ARXIV.2206.10532}, eprinttype = {arXiv}, eprint = {2206.10532}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-10532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-07985, author = {Mohammad Sarbaz}, title = {Designing the Model Predictive Control for Interval Type-2 Fuzzy {T-S} Systems Involving Unknown Time-Varying Delay in Both States and Input Vector}, journal = {CoRR}, volume = {abs/2209.07985}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.07985}, doi = {10.48550/ARXIV.2209.07985}, eprinttype = {arXiv}, eprint = {2209.07985}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-07985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-10914, author = {Sina Darabi and Mohammad Sadrosadati and Jo{\"{e}}l Lindegger and Negar Akbarzadeh and Mohammad Hosseini and Jisung Park and Juan G{\'{o}}mez{-}Luna and Hamid Sarbazi{-}Azad and Onur Mutlu}, title = {Morpheus: Extending the Last Level Cache Capacity in {GPU} Systems Using Idle {GPU} Core Resources}, journal = {CoRR}, volume = {abs/2209.10914}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.10914}, doi = {10.48550/ARXIV.2209.10914}, eprinttype = {arXiv}, eprint = {2209.10914}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-10914.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/FalahatiPATSLS21, author = {Hajar Falahati and Masoud Peyro and Hossein Amini and Mehran Taghian and Mohammad Sadrosadati and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Data-Aware Compression of Neural Networks}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {20}, number = {2}, pages = {94--97}, year = {2021}, url = {https://doi.org/10.1109/LCA.2021.3096191}, doi = {10.1109/LCA.2021.3096191}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/FalahatiPATSLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/KhoulenjaniAAJ21, author = {Niousha Bagheri Khoulenjani and Mohammad Saniee Abadeh and Saeed Sarbazi Azad and Najmeh Sadat Jaddi}, title = {Cancer miRNA biomarkers classification using a new representation algorithm and evolutionary deep learning}, journal = {Soft Comput.}, volume = {25}, number = {4}, pages = {3113--3129}, year = {2021}, url = {https://doi.org/10.1007/s00500-020-05366-w}, doi = {10.1007/S00500-020-05366-W}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/KhoulenjaniAAJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/NematollahiSFBD21, author = {Negin Nematollahi and Mohammad Sadrosadati and Hajar Falahati and Marzieh Barkhordar and Mario Paulo Drumond and Hamid Sarbazi{-}Azad and Babak Falsafi}, title = {Efficient Nearest-Neighbor Data Sharing in GPUs}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {18}, number = {1}, pages = {6:1--6:26}, year = {2021}, url = {https://doi.org/10.1145/3429981}, doi = {10.1145/3429981}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/NematollahiSFBD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acis/MonshizadaSM21, author = {Sahber Monshizada and Hamed Sarbazhosseini and Masoud Mohammadian}, title = {Conceptualising Management of Artificial Intelligence in Terms of People, Process, Data and Technology}, booktitle = {Australasian Conference on Information Systems, {ACIS} 2021, Macquarie Business School, Macquarie University, Sydney, Australia, December 6-10, 2021}, pages = {64}, year = {2021}, url = {https://aisel.aisnet.org/acis2021/64}, timestamp = {Thu, 16 May 2024 17:06:12 +0200}, biburl = {https://dblp.org/rec/conf/acis/MonshizadaSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-08707, author = {Mohammad Dehghani Soltani and Elham Sarbazi and Nikolaos Bamiedakis and Priyanka de Souza and Hossein Kazemi and Richard V. Penty and Harald Haas and Majid Safari}, title = {Safety Analysis for Laser-based Optical Wireless Communications: {A} Tutorial}, journal = {CoRR}, volume = {abs/2102.08707}, year = {2021}, url = {https://arxiv.org/abs/2102.08707}, eprinttype = {arXiv}, eprint = {2102.08707}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-08707.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-10024, author = {Hossein Kazemi and Elham Sarbazi and Mohammad Dehghani Soltani and Majid Safari and Harald Haas}, title = {A Tb/s Indoor {MIMO} Optical Wireless Backhaul System Using {VCSEL} Arrays}, journal = {CoRR}, volume = {abs/2102.10024}, year = {2021}, url = {https://arxiv.org/abs/2102.10024}, eprinttype = {arXiv}, eprint = {2102.10024}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-10024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-11186, author = {Mohammad Sarbaz and Iman Zamani and Mohammad Manthouri and Asier Ibeas}, title = {Hierarchical Optimization-Based Model Predictive Control for a Class of Discrete Fuzzy Large-Scale Systems Considering Time-Varying Delays and Disturbances}, journal = {CoRR}, volume = {abs/2108.11186}, year = {2021}, url = {https://arxiv.org/abs/2108.11186}, eprinttype = {arXiv}, eprint = {2108.11186}, timestamp = {Fri, 27 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-11186.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-13790, author = {Mohammad Sarbaz and Iman Zamani and Mohammad Manthouri and Asier Ibeas}, title = {Decentralized Robust Interval Type-2 Fuzzy Model Predictive Control for Takagi-Sugeno Large-Scale Systems}, journal = {CoRR}, volume = {abs/2108.13790}, year = {2021}, url = {https://arxiv.org/abs/2108.13790}, eprinttype = {arXiv}, eprint = {2108.13790}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-13790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-13799, author = {Mojtaba Asadi Jokar and Iman Zamani and Mohamad Manthouri and Mohammad Sarbaz}, title = {A Novel Robust Extended Dissipativity State Feedback Control system design for Interval Type-2 Fuzzy Takagi-Sugeno Large-Scale Systems}, journal = {CoRR}, volume = {abs/2108.13799}, year = {2021}, url = {https://arxiv.org/abs/2108.13799}, eprinttype = {arXiv}, eprint = {2108.13799}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-13799.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-09846, author = {Mohammad Sarbaz}, title = {A Novel Recurrent Adaptive Backstepping Optimal Control Strategy for a Single Inverted Pendulum System}, journal = {CoRR}, volume = {abs/2110.09846}, year = {2021}, url = {https://arxiv.org/abs/2110.09846}, eprinttype = {arXiv}, eprint = {2110.09846}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-09846.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/GolshanBSALS20, author = {Fatemeh Golshan and Mohammad Bakhshalipour and Mehran Shakerinava and Ali Ansari and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Harnessing Pairwise-Correlating Data Prefetching With Runahead Metadata}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {19}, number = {2}, pages = {130--133}, year = {2020}, url = {https://doi.org/10.1109/LCA.2020.3019343}, doi = {10.1109/LCA.2020.3019343}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/GolshanBSALS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KazemiSSSH20, author = {Hossein Kazemi and Elham Sarbazi and Mohammad Dehghani Soltani and Majid Safari and Harald Haas}, title = {A Tb/s Indoor Optical Wireless Backhaul System Using {VCSEL} Arrays}, booktitle = {31st {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2020, London, United Kingdom, August 31 - September 3, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PIMRC48278.2020.9217368}, doi = {10.1109/PIMRC48278.2020.9217368}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/KazemiSSSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/SarbaziKSSH20, author = {Elham Sarbazi and Hossein Kazemi and Mohammad Dehghani Soltani and Majid Safari and Harald Haas}, title = {A Tb/s Indoor Optical Wireless Access System Using {VCSEL} Arrays}, booktitle = {31st {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2020, London, United Kingdom, August 31 - September 3, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PIMRC48278.2020.9217158}, doi = {10.1109/PIMRC48278.2020.9217158}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/SarbaziKSSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-00715, author = {Mohammad Bakhshalipour and Mehran Shakerinava and Fatemeh Golshan and Ali Ansari and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {A Survey on Recent Hardware Data Prefetching Approaches with An Emphasis on Servers}, journal = {CoRR}, volume = {abs/2009.00715}, year = {2020}, url = {https://arxiv.org/abs/2009.00715}, eprinttype = {arXiv}, eprint = {2009.00715}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-00715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-09330, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Ali Hajiabadi and Seyed Borna Ehsani and Hajar Falahati and Hamid Sarbazi{-}Azad and Mario Drumond and Babak Falsafi and Rachata Ausavarungnirun and Onur Mutlu}, title = {Enabling High-Capacity, Latency-Tolerant, and Highly-Concurrent {GPU} Register Files via Software/Hardware Cooperation}, journal = {CoRR}, volume = {abs/2010.09330}, year = {2020}, url = {https://arxiv.org/abs/2010.09330}, eprinttype = {arXiv}, eprint = {2010.09330}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-09330.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/BakhshalipourTL19, author = {Mohammad Bakhshalipour and Seyedali Tabaeiaghdaei and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Evaluation of Hardware Data Prefetchers on Server Processors}, journal = {{ACM} Comput. Surv.}, volume = {52}, number = {3}, pages = {52:1--52:29}, year = {2019}, url = {https://doi.org/10.1145/3312740}, doi = {10.1145/3312740}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/BakhshalipourTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/GharakhanlouMH19, author = {Navid Mahdizadeh Gharakhanlou and Mohammad Saadi Mesgari and Navid Hooshangi}, title = {Developing an agent-based model for simulating the dynamic spread of \emph{Plasmodium vivax} malaria: {A} case study of Sarbaz, Iran}, journal = {Ecol. Informatics}, volume = {54}, year = {2019}, url = {https://doi.org/10.1016/j.ecoinf.2019.101006}, doi = {10.1016/J.ECOINF.2019.101006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/GharakhanlouMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SadrosadatiEFAT19, author = {Mohammad Sadrosadati and Seyed Borna Ehsani and Hajar Falahati and Rachata Ausavarungnirun and Arash Tavakkol and Mojtaba Abaee and Lois Orosa and Yaohua Wang and Hamid Sarbazi{-}Azad and Onur Mutlu}, title = {{ITAP:} Idle-Time-Aware Power Management for {GPU} Execution Units}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {16}, number = {1}, pages = {3:1--3:26}, year = {2019}, url = {https://doi.org/10.1145/3291606}, doi = {10.1145/3291606}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SadrosadatiEFAT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/MireshghallahBS19, author = {Fatemehsadat Mireshghallah and Mohammad Bakhshalipour and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, title = {Energy-Efficient Permanent Fault Tolerance in Hard Real-Time Systems}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {10}, pages = {1539--1545}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2912164}, doi = {10.1109/TC.2019.2912164}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/MireshghallahBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/SadrosadatiMHEF21, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Ali Hajiabadi and Seyed Borna Ehsani and Hajar Falahati and Hamid Sarbazi{-}Azad and Mario Drumond and Babak Falsafi and Rachata Ausavarungnirun and Onur Mutlu}, title = {Highly Concurrent Latency-tolerant Register Files for GPUs}, journal = {{ACM} Trans. Comput. Syst.}, volume = {37}, number = {1-4}, pages = {1:1--1:36}, year = {2019}, url = {https://doi.org/10.1145/3419973}, doi = {10.1145/3419973}, timestamp = {Fri, 18 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/SadrosadatiMHEF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BakhshalipourFV19, author = {Mohammad Bakhshalipour and Aydin Faraji and Seyed Armin Vakil{-}Ghahani and Farid Samandi and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Reducing Writebacks Through In-Cache Displacement}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {2}, pages = {16:1--16:21}, year = {2019}, url = {https://doi.org/10.1145/3289187}, doi = {10.1145/3289187}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BakhshalipourFV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/BakhshalipourSL19, author = {Mohammad Bakhshalipour and Mehran Shakerinava and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Bingo Spatial Data Prefetcher}, booktitle = {25th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2019, Washington, DC, USA, February 16-20, 2019}, pages = {399--411}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCA.2019.00053}, doi = {10.1109/HPCA.2019.00053}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/hpca/BakhshalipourSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/Serajeh-hassani19, author = {Fatemeh Serajeh{-}hassani and Mohammad Sadrosadati and Sebastian Pointner and Robert Wille and Hamid Sarbazi{-}Azad}, title = {Focus on What is Needed: Area and Power Efficient FPGAs Using Turn-Restricted Switch Boxes}, booktitle = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019, Miami, FL, USA, July 15-17, 2019}, pages = {615--620}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISVLSI.2019.00115}, doi = {10.1109/ISVLSI.2019.00115}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/Serajeh-hassani19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ac/HoveidaA0BAS18, author = {Mohaddeseh Hoveida and Fatemeh Aghaaliakbari and Majid Jalili and Ramin Bashizade and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Chapter Two - Revisiting Processor Allocation and Application Mapping in Future CMPs in Dark Silicon Era}, journal = {Adv. Comput.}, volume = {110}, pages = {35--81}, year = {2018}, url = {https://doi.org/10.1016/bs.adcom.2018.04.001}, doi = {10.1016/BS.ADCOM.2018.04.001}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ac/HoveidaA0BAS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/NematollahiSFBS18, author = {Negin Nematollahi and Mohammad Sadrosadati and Hajar Falahati and Marzieh Barkhordar and Hamid Sarbazi{-}Azad}, title = {Neda: Supporting Direct Inter-Core Neighbor Data Exchange in GPUs}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {2}, pages = {225--229}, year = {2018}, url = {https://doi.org/10.1109/LCA.2018.2873679}, doi = {10.1109/LCA.2018.2873679}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/NematollahiSFBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/Vakil-GhahaniML18, author = {Armin Vakil{-}Ghahani and Sara Mahdizadeh{-}Shahri and Mohammad{-}Reza Lotfi{-}Namin and Mohammad Bakhshalipour and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Cache Replacement Policy Based on Expected Hit Count}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {1}, pages = {64--67}, year = {2018}, url = {https://doi.org/10.1109/LCA.2017.2762660}, doi = {10.1109/LCA.2017.2762660}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/Vakil-GhahaniML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BakhshalipourLM18, author = {Mohammad Bakhshalipour and Pejman Lotfi{-}Kamran and Abbas Mazloumi and Farid Samandi and Mahmood Naderan{-}Tahan and Mehdi Modarressi and Hamid Sarbazi{-}Azad}, title = {Fast Data Delivery for Many-Core Processors}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {10}, pages = {1416--1429}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2821144}, doi = {10.1109/TC.2018.2821144}, timestamp = {Fri, 14 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BakhshalipourLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topc/MirhosseiniSAMS18, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Fatemeh Aghamohammadi and Mehdi Modarressi and Hamid Sarbazi{-}Azad}, title = {{BARAN:} Bimodal Adaptive Reconfigurable-Allocator Network-on-Chip}, journal = {{ACM} Trans. Parallel Comput.}, volume = {5}, number = {3}, pages = {11:1--11:29}, year = {2018}, url = {https://doi.org/10.1145/3294049}, doi = {10.1145/3294049}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/topc/MirhosseiniSAMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SadrosadatiMESD18, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Seyed Borna Ehsani and Hamid Sarbazi{-}Azad and Mario Drumond and Babak Falsafi and Rachata Ausavarungnirun and Onur Mutlu}, editor = {Xipeng Shen and James Tuck and Ricardo Bianchini and Vivek Sarkar}, title = {{LTRF:} Enabling High-Capacity Register Files for GPUs via Hardware/Software Cooperative Register Prefetching}, booktitle = {Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2018, Williamsburg, VA, USA, March 24-28, 2018}, pages = {489--502}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173162.3173211}, doi = {10.1145/3173162.3173211}, timestamp = {Tue, 23 Jan 2024 20:31:22 +0100}, biburl = {https://dblp.org/rec/conf/asplos/SadrosadatiMESD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/BakhshalipourLS18, author = {Mohammad Bakhshalipour and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Domino Temporal Data Prefetcher}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2018, Vienna, Austria, February 24-28, 2018}, pages = {131--142}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/HPCA.2018.00021}, doi = {10.1109/HPCA.2018.00021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/BakhshalipourLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-07269, author = {Mohammad Bakhshalipour and Hamid Sarbazi{-}Azad}, title = {Parallelizing Bisection Root-Finding: {A} Case for Accelerating Serial Algorithms in Multicore Substrates}, journal = {CoRR}, volume = {abs/1805.07269}, year = {2018}, url = {http://arxiv.org/abs/1805.07269}, eprinttype = {arXiv}, eprint = {1805.07269}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-07269.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-04864, author = {Pouya Esmaili{-}Dokht and Mohammad Bakhshalipour and Behnam Khodabandeloo and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Scale-Out Processors {\&} Energy Efficiency}, journal = {CoRR}, volume = {abs/1808.04864}, year = {2018}, url = {http://arxiv.org/abs/1808.04864}, eprinttype = {arXiv}, eprint = {1808.04864}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-04864.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-05024, author = {Seyed Armin Vakil{-}Ghahani and Sara Mahdizadeh{-}Shahri and Mohammad Bakhshalipour and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Making Belady-Inspired Replacement Policies More Effective Using Expected Hit Count}, journal = {CoRR}, volume = {abs/1808.05024}, year = {2018}, url = {http://arxiv.org/abs/1808.05024}, eprinttype = {arXiv}, eprint = {1808.05024}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-05024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-08828, author = {Mohammad Bakhshalipour and HamidReza Zare and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {Die-Stacked {DRAM:} Memory, Cache, or MemCache?}, journal = {CoRR}, volume = {abs/1809.08828}, year = {2018}, url = {http://arxiv.org/abs/1809.08828}, eprinttype = {arXiv}, eprint = {1809.08828}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-08828.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-11473, author = {Hajar Falahati and Pejman Lotfi{-}Kamran and Mohammad Sadrosadati and Hamid Sarbazi{-}Azad}, title = {{ORIGAMI:} {A} Heterogeneous Split Architecture for In-Memory Acceleration of Learning}, journal = {CoRR}, volume = {abs/1812.11473}, year = {2018}, url = {http://arxiv.org/abs/1812.11473}, eprinttype = {arXiv}, eprint = {1812.11473}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-11473.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/BakhshalipourLS17, author = {Mohammad Bakhshalipour and Pejman Lotfi{-}Kamran and Hamid Sarbazi{-}Azad}, title = {An Efficient Temporal Data Prefetcher for {L1} Caches}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {16}, number = {2}, pages = {99--102}, year = {2017}, url = {https://doi.org/10.1109/LCA.2017.2654347}, doi = {10.1109/LCA.2017.2654347}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/BakhshalipourLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HoveidaABAS17, author = {Mohaddeseh Hoveida and Fatemeh Aghaaliakbari and Ramin Bashizade and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Efficient Mapping of Applications for Future Chip-Multiprocessors in Dark Silicon Era}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {4}, pages = {70:1--70:26}, year = {2017}, url = {https://doi.org/10.1145/3055202}, doi = {10.1145/3055202}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/HoveidaABAS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/MirhosseiniSSSW17, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Behnaz Soltani and Hamid Sarbazi{-}Azad and Thomas F. Wenisch}, title = {{POSTER:} Elastic Reconfiguration for Heterogeneous NoCs with BiNoCHS}, booktitle = {26th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2017, Portland, OR, USA, September 9-13, 2017}, pages = {164--165}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PACT.2017.46}, doi = {10.1109/PACT.2017.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/MirhosseiniSSSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SadrosadatiMRBS17, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Shahin Roozkhosh and Hazhir Bakhishi and Hamid Sarbazi{-}Azad}, editor = {David Atienza and Giorgio Di Natale}, title = {Effective cache bank placement for GPUs}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {31--36}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7926954}, doi = {10.23919/DATE.2017.7926954}, timestamp = {Mon, 30 Sep 2024 15:20:52 +0200}, biburl = {https://dblp.org/rec/conf/date/SadrosadatiMRBS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/MirhosseiniSSSW17, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Behnaz Soltani and Hamid Sarbazi{-}Azad and Thomas F. Wenisch}, editor = {Axel Jantsch and Hiroki Matsutani and Zhonghai Lu and {\"{U}}mit Y. Ogras}, title = {BiNoCHS: Bimodal Network-on-Chip for {CPU-GPU} Heterogeneous Systems}, booktitle = {Proceedings of the Eleventh {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2017, Seoul, Republic of Korea, October 19 - 20, 2017}, pages = {7:1--7:8}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3130218.3130222}, doi = {10.1145/3130218.3130222}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/MirhosseiniSSSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AbbasitabarSS16, author = {Hamed Abbasitabar and Mohammad Hossein Samavatian and Hamid Sarbazi{-}Azad}, title = {{ASHA:} An adaptive shared-memory sharing architecture for multi-programmed GPUs}, journal = {Microprocess. Microsystems}, volume = {46}, pages = {264--273}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.07.012}, doi = {10.1016/J.MICPRO.2016.07.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/AbbasitabarSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/HoseinzadehAS16, author = {Morteza Hoseinzadeh and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {{SPCM:} The Striped Phase Change Memory}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {4}, pages = {38:1--38:25}, year = {2016}, url = {https://doi.org/10.1145/2829951}, doi = {10.1145/2829951}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/HoseinzadehAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TarihiAHAS16, author = {Mojtaba Tarihi and Hossein Asadi and Alireza Haghdoost and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {A Hybrid Non-Volatile Cache Design for Solid-State Drives Using Comprehensive {I/O} Characterization}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {6}, pages = {1678--1691}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2455978}, doi = {10.1109/TC.2015.2455978}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/TarihiAHAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tompecs/TavakkolMAS16, author = {Arash Tavakkol and Pooyan Mehrvarzy and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Performance Evaluation of Dynamic Page Allocation Strategies in SSDs}, journal = {{ACM} Trans. Model. Perform. Evaluation Comput. Syst.}, volume = {1}, number = {2}, pages = {7:1--7:33}, year = {2016}, url = {https://doi.org/10.1145/2829974}, doi = {10.1145/2829974}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tompecs/TavakkolMAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JokarAS16, author = {Mohammad Reza Jokar and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Sequoia: {A} High-Endurance NVM-Based Cache Architecture}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {3}, pages = {954--967}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2420954}, doi = {10.1109/TVLSI.2015.2420954}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JokarAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AghaaliakbariHA16, author = {Fatemeh Aghaaliakbari and Mohaddeseh Hoveida and Mohammad Arjomand and Majid Jalili and Hamid Sarbazi{-}Azad}, title = {Efficient processor allocation in a reconfigurable {CMP} architecture for dark silicon era}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {336--343}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753298}, doi = {10.1109/ICCD.2016.7753298}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/AghaaliakbariHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MirhosseiniSZS16, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Maryam Zare and Hamid Sarbazi{-}Azad}, title = {Quantifying the difference in resource demand among classic and modern NoC workloads}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {404--407}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753314}, doi = {10.1109/ICCD.2016.7753314}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MirhosseiniSZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AghilinasabSSS16, author = {Homa Aghilinasab and Mohammad Sadrosadati and Mohammad Hossein Samavatian and Hamid Sarbazi{-}Azad}, title = {Reducing Power Consumption of GPGPUs Through Instruction Reordering}, booktitle = {Proceedings of the 2016 International Symposium on Low Power Electronics and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016}, pages = {356--361}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934583.2934606}, doi = {10.1145/2934583.2934606}, timestamp = {Tue, 06 Nov 2018 16:59:21 +0100}, biburl = {https://dblp.org/rec/conf/islped/AghilinasabSSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SadrosadatiBRSS16, author = {Mohammad Sadrosadati and Ramin Bashizade and Shahin Roozkhosh and Ali Shafiee and Hamid Sarbazi{-}Azad}, title = {A Method to Improve Adaptivity of Odd-Even Routing Algorithm in Mesh NoCs}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {755--758}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.61}, doi = {10.1109/PDP.2016.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SadrosadatiBRSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AsadiniaAS15, author = {Marjan Asadinia and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Prolonging Lifetime of PCM-Based Main Memories through On-Demand Page Pairing}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {2}, pages = {23:1--23:24}, year = {2015}, url = {https://doi.org/10.1145/2699867}, doi = {10.1145/2699867}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AsadiniaAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SamavatianABS15, author = {Mohammad Hossein Samavatian and Mohammad Arjomand and Ramin Bashizade and Hamid Sarbazi{-}Azad}, title = {Architecting the Last-Level Cache for GPUs using {STT-RAM} Technology}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {4}, pages = {55:1--55:24}, year = {2015}, url = {https://doi.org/10.1145/2764905}, doi = {10.1145/2764905}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/SamavatianABS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AsadiniaAS15, author = {Marjan Asadinia and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Variable Resistance Spectrum Assignment in Phase Change Memory Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {11}, pages = {2657--2670}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2363102}, doi = {10.1109/TVLSI.2014.2363102}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/AsadiniaAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MirhosseiniSFMS15, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Ali Fakhrzadehgan and Mehdi Modarressi and Hamid Sarbazi{-}Azad}, editor = {Wolfgang Nebel and David Atienza}, title = {An energy-efficient virtual channel power-gating mechanism for on-chip networks}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1527--1532}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757164}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MirhosseiniSFMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SadrosadatiMAS15, author = {Mohammad Sadrosadati and Amirhossein Mirhosseini and Homa Aghilinasab and Hamid Sarbazi{-}Azad}, title = {An efficient {DVS} scheme for on-chip networks using reconfigurable Virtual Channel allocators}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2015, Rome, Italy, July 22-24, 2015}, pages = {249--254}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISLPED.2015.7273522}, doi = {10.1109/ISLPED.2015.7273522}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/SadrosadatiMAS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ArjomandBS14, author = {Mohammad Arjomand and Amirali Boroumand and Hamid Sarbazi{-}Azad}, title = {A generic {FPGA} prototype for on-chip systems with network-on-chip communication infrastructure}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {1}, pages = {158--167}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2013.11.031}, doi = {10.1016/J.COMPELECENG.2013.11.031}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/ArjomandBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/TavakkolAS14, author = {Arash Tavakkol and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Jos{\'{e}} Nelson Amaral and Josep Torrellas}, title = {Design for scalability in enterprise SSDs}, booktitle = {International Conference on Parallel Architectures and Compilation, {PACT} '14, Edmonton, AB, Canada, August 24-27, 2014}, pages = {417--430}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2628071.2628098}, doi = {10.1145/2628071.2628098}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/TavakkolAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AsadiniaAS14, author = {Marjan Asadinia and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {{OD3P:} On-Demand Page Paired {PCM}}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {64:1--64:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593166}, doi = {10.1145/2593069.2593166}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AsadiniaAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SamavatianAAS14, author = {Mohammad Hossein Samavatian and Hamed Abbasitabar and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {An Efficient {STT-RAM} Last Level Cache Architecture for GPUs}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {197:1--197:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593086}, doi = {10.1145/2593069.2593086}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SamavatianAAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/JaliliAS14, author = {Majid Jalili and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {A Reliable 3D {MLC} {PCM} Architecture with Resistance Drift Predictor}, booktitle = {44th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2014, Atlanta, GA, USA, June 23-26, 2014}, pages = {204--215}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSN.2014.31}, doi = {10.1109/DSN.2014.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/JaliliAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/HoseinzadehAS14, author = {Morteza Hoseinzadeh and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Reducing access latency of {MLC} PCMs through line striping}, booktitle = {{ACM/IEEE} 41st International Symposium on Computer Architecture, {ISCA} 2014, Minneapolis, MN, USA, June 14-18, 2014}, pages = {277--288}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISCA.2014.6853228}, doi = {10.1109/ISCA.2014.6853228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/HoseinzadehAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/GhaneAS14, author = {Millad Ghane and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {An Opto-electrical NoC with Traffic Flow Prediction in Chip Multiprocessors}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {440--443}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.108}, doi = {10.1109/PDP.2014.108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/GhaneAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/TavakkolAS14, author = {Arash Tavakkol and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Sujay Sanghavi and Sanjay Shakkottai and Marc Lelarge and Bianca Schroeder}, title = {Unleashing the potentials of dynamism for page allocation strategies in SSDs}, booktitle = {{ACM} {SIGMETRICS} / International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2014, Austin, TX, USA, June 16-20, 2014}, pages = {551--552}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591971.2592013}, doi = {10.1145/2591971.2592013}, timestamp = {Mon, 14 Jun 2021 15:39:36 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/TavakkolAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/TavakkolAS13, author = {Arash Tavakkol and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Network-on-SSD: {A} Scalable and High-Performance Communication Design Paradigm for SSDs}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {12}, number = {1}, pages = {5--8}, year = {2013}, url = {https://doi.org/10.1109/L-CA.2012.4}, doi = {10.1109/L-CA.2012.4}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/TavakkolAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/ArjomandAS13, author = {Mohammad Arjomand and S. Hamid Amiri and Hamid Sarbazi{-}Azad}, title = {Efficient genetic based topological mapping using analytical models for on-chip networks}, journal = {J. Comput. Syst. Sci.}, volume = {79}, number = {4}, pages = {492--513}, year = {2013}, url = {https://doi.org/10.1016/j.jcss.2012.09.014}, doi = {10.1016/J.JCSS.2012.09.014}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/ArjomandAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/KharrazSZ12, author = {Mohammad{-}Amin Kharraz and Hamid Sarbazi{-}Azad and Albert Y. Zomaya}, title = {On-demand multicast routing protocol with efficient route discovery}, journal = {J. Netw. Comput. Appl.}, volume = {35}, number = {3}, pages = {942--950}, year = {2012}, url = {https://doi.org/10.1016/j.jnca.2011.03.012}, doi = {10.1016/J.JNCA.2011.03.012}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/KharrazSZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AsgariehKMS12, author = {Yashar Asgarieh and Mohammad Hassan Khabbazian and Mehdi Modarressi and Hamid Sarbazi{-}Azad}, title = {A Game Theoretical Thermal - Aware Run - Time Task Synchronization Method for Multiprocessor Systems - on - Chip}, booktitle = {15th Euromicro Conference on Digital System Design, {DSD} 2012, Cesme, Izmir, Turkey, September 5-8, 2012}, pages = {759--765}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSD.2012.4}, doi = {10.1109/DSD.2012.4}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AsgariehKMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/MalekimajdFMS11, author = {Marzieh Malekimajd and Mohammad Reza Hoseiny Farahabady and Ali Movaghar and Hamid Sarbazi{-}Azad}, title = {Pancyclicity of {OTIS} (swapped) networks based on properties of the factor graph}, journal = {Inf. Process. Lett.}, volume = {111}, number = {23-24}, pages = {1114--1119}, year = {2011}, url = {https://doi.org/10.1016/j.ipl.2011.07.020}, doi = {10.1016/J.IPL.2011.07.020}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/MalekimajdFMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/ShafieiHMS11, author = {T. Shafiei and Mohammad Reza Hoseiny Farahabady and Ali Movaghar and Hamid Sarbazi{-}Azad}, title = {On pancyclicity properties of OTIS-mesh}, journal = {Inf. Process. Lett.}, volume = {111}, number = {8}, pages = {353--359}, year = {2011}, url = {https://doi.org/10.1016/j.ipl.2010.11.027}, doi = {10.1016/J.IPL.2010.11.027}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/ShafieiHMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShafieeZAS11, author = {Ali Shafiee and Mahdy Zolghadr and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Application-aware deadlock-free oblivious routing based on extended turn-model}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105328}, doi = {10.1109/ICCAD.2011.6105328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShafieeZAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ArjomandJSS11, author = {Mohammad Arjomand and Amin Jadidi and Ali Shafiee and Hamid Sarbazi{-}Azad}, title = {A morphable phase change memory architecture considering frequent zero values}, booktitle = {{IEEE} 29th International Conference on Computer Design, {ICCD} 2011, Amherst, MA, USA, October 9-12, 2011}, pages = {373--380}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCD.2011.6081426}, doi = {10.1109/ICCD.2011.6081426}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ArjomandJSS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/JadidiAS11, author = {Amin Jadidi and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {High-endurance and performance-efficient design of hybrid cache architectures through adaptive line replacement}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {79--84}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016827\&CFID=34981777\&CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/JadidiAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/HabibiAS11, author = {Amirali Habibi and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {Multicast-Aware Mapping Algorithm for On-chip Networks}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {455--462}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.76}, doi = {10.1109/PDP.2011.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/HabibiAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ArjomandS10, author = {Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Power-Performance Analysis of Networks-on-Chip With Arbitrary Buffer Allocation Schemes}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {10}, pages = {1558--1571}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2061171}, doi = {10.1109/TCAD.2010.2061171}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ArjomandS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaci/BanaiePSGT10, author = {Masood Banaie and Mohammad Pooyan and Yashar Sarbaz and Shahriar Gharibzadeh and Farzad Towhidkhah}, title = {Using a parameter of black box model for gait as a criterion to differentiate between parkinson disease {\&} healthy states}, booktitle = {Third International Workshop on Advanced Computational Intelligence, {IWACI} 2010, Suzhou, China}, pages = {356--361}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IWACI.2010.5585179}, doi = {10.1109/IWACI.2010.5585179}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaci/BanaiePSGT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/ArjomandS10, author = {Mohammad Arjomand and Hamid Sarbazi{-}Azad}, title = {Voltage-Frequency Planning for Thermal-Aware, Low-Power Design of Regular 3-D NoCs}, booktitle = {{VLSI} Design 2010: 23rd International Conference on {VLSI} Design, 9th International Conference on Embedded Systems, Bangalore, India, 3-7 January 2010}, pages = {57--62}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/VLSI.Design.2010.56}, doi = {10.1109/VLSI.DESIGN.2010.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/ArjomandS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ModarressiSA09, author = {Mehdi Modarressi and Hamid Sarbazi{-}Azad and Mohammad Arjomand}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {A hybrid packet-circuit switched on-chip network based on {SDM}}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {566--569}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090728}, doi = {10.1109/DATE.2009.5090728}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ModarressiSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArjomandS09, author = {Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Michael Gschwind and Alexandru Nicolau and Valentina Salapura and Jos{\'{e}} E. Moreira}, title = {A comprehensive power-performance model for NoCs with multi-flit channel buffers}, booktitle = {Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009}, pages = {470--478}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1542275.1542341}, doi = {10.1145/1542275.1542341}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/ArjomandS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/FarahabadyIS08, author = {Mohammad Reza Hoseiny Farahabady and Navid Imani and Hamid Sarbazi{-}Azad}, title = {Some topological and combinatorial properties of WK-recursive mesh and WK-pyramid interconnection networks}, journal = {J. Syst. Archit.}, volume = {54}, number = {10}, pages = {967--976}, year = {2008}, url = {https://doi.org/10.1016/j.sysarc.2008.04.005}, doi = {10.1016/J.SYSARC.2008.04.005}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/FarahabadyIS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/Mirza-AghatabarTSN08, author = {Mohammad Mirza{-}Aghatabar and Arash Tavakkol and Hamid Sarbazi{-}Azad and Abbas Nayebi}, title = {An Adaptive Software-Based Deadlock Recovery Technique}, booktitle = {22nd International Conference on Advanced Information Networking and Applications, {AINA} 2008, Workshops Proceedings, GinoWan, Okinawa, Japan, March 25-28, 2008}, pages = {514--519}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WAINA.2008.230}, doi = {10.1109/WAINA.2008.230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/Mirza-AghatabarTSN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/RezazadFS08, author = {Mostafa Rezazad and Mohammad Reza Hoseiny Farahabady and Hamid Sarbazi{-}Azad}, editor = {Shrisha Rao and Mainak Chatterjee and Prasad Jayanti and C. Siva Ram Murthy and Sanjoy Kumar Saha}, title = {A Deadlock Free Shortest Path Routing Algorithm for WK-Recursive Meshes}, booktitle = {Distributed Computing and Networking, 9th International Conference, {ICDCN} 2008, Kolkata, India, January 5-8, 2008}, series = {Lecture Notes in Computer Science}, volume = {4904}, pages = {280--285}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-77444-0\_27}, doi = {10.1007/978-3-540-77444-0\_27}, timestamp = {Mon, 22 May 2023 09:50:35 +0200}, biburl = {https://dblp.org/rec/conf/icdcn/RezazadFS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/ArjomandSA08, author = {Mohammad Arjomand and Hamid Sarbazi{-}Azad and S. Hamid Amiri}, title = {Multi-Objective Genetic optimized multiprocessor SoC design}, booktitle = {2008 {IEEE} International Symposium on System-on-Chip, {SOC} 2008, Tampere, Finland, November 5-6, 2008}, pages = {1--4}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSOC.2008.4694887}, doi = {10.1109/ISSOC.2008.4694887}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/ArjomandSA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/HoseinyfarahabadyS07, author = {MohammadReza HoseinyFarahabady and Hamid Sarbazi{-}Azad}, editor = {Ronald H. Perrott and Barbara M. Chapman and Jaspal Subhlok and Rodrigo Fernandes de Mello and Laurence Tianruo Yang}, title = {On Pancyclicity Properties of {OTIS} Networks}, booktitle = {High Performance Computing and Communications, Third International Conference, {HPCC} 2007, Houston, USA, September 26-28, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4782}, pages = {545--553}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75444-2\_52}, doi = {10.1007/978-3-540-75444-2\_52}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/HoseinyfarahabadyS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/FarahabadyS06, author = {M. Reza HoseinyFarahabady and Hamid Sarbazi{-}Azad}, title = {The Grid-Pyramid: {A} Generalized Pyramid Network}, journal = {J. Supercomput.}, volume = {37}, number = {1}, pages = {23--45}, year = {2006}, url = {https://doi.org/10.1007/s11227-006-4598-4}, doi = {10.1007/S11227-006-4598-4}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/FarahabadyS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/Ould-KhaouaSO05, author = {Mohamed Ould{-}Khaoua and Hamid Sarbazi{-}Azad and Mohammad S. Obaidat}, title = {Performance modeling and evaluation of high-performance parallel and distributed systems}, journal = {Perform. Evaluation}, volume = {60}, number = {1-4}, pages = {1--4}, year = {2005}, url = {https://doi.org/10.1016/j.peva.2004.10.001}, doi = {10.1016/J.PEVA.2004.10.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/Ould-KhaouaSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/FarahabadyS05, author = {Mohammad Reza Hoseiny Farahabady and Hamid Sarbazi{-}Azad}, title = {The WK-Recursive Pyramid: An Efficient Network Topology}, booktitle = {8th International Symposium on Parallel Architectures, Algorithms, and Networks, {ISPAN} 2005, December 7-9. 2005, Las Vegas, Nevada, {USA}}, pages = {312--317}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISPAN.2005.85}, doi = {10.1109/ISPAN.2005.85}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/FarahabadyS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/FarahabadyS05, author = {Mohammad Reza Hoseiny Farahabady and Hamid Sarbazi{-}Azad}, editor = {Hisham Haddad and Lorie M. Liebrock and Andrea Omicini and Roger L. Wainwright}, title = {The recursive transpose-connected cycles {(RTCC)} interconnection network for multiprocessors}, booktitle = {Proceedings of the 2005 {ACM} Symposium on Applied Computing (SAC), Santa Fe, New Mexico, USA, March 13-17, 2005}, pages = {734--738}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1066677.1066843}, doi = {10.1145/1066677.1066843}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/FarahabadyS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.