![](https://dblp.org/img/logo.ua.320x120.png)
![](https://dblp.org/img/dropdown.dark.16x16.png)
![](https://dblp.org/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.org/img/search.dark.16x16.png)
![search dblp](https://dblp.org/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Sang-Jun Han"
@article{DBLP:journals/asc/LeeSLPS24, author = {Hanbit Lee and Jinseok Seol and Sang{-}goo Lee and Jaehui Park and Junho Shim}, title = {Contrastive learning for unsupervised image-to-image translation}, journal = {Appl. Soft Comput.}, volume = {151}, pages = {111170}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2023.111170}, doi = {10.1016/J.ASOC.2023.111170}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/LeeSLPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HanCPRPLC24, author = {Eunjung Han and Yun Jae Choi and Saemi Park and Yoon Chan Rah and Hae{-}Chul Park and Sang Hyun Lee and June Choi}, title = {A comprehensive characterizations of zebrafish rheotactic behaviors and its application to otoprotective drug screening}, journal = {Expert Syst. Appl.}, volume = {237}, number = {Part {B}}, pages = {121496}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.121496}, doi = {10.1016/J.ESWA.2023.121496}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/HanCPRPLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KoJJP24, author = {Haneul Ko and Hyeonjae Jeong and Daeyoung Jung and Sangheon Pack}, title = {Dynamic Split Computing Framework in Distributed Serverless Edge Clouds}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {8}, pages = {14523--14531}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3342438}, doi = {10.1109/JIOT.2023.3342438}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/KoJJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/YimBLCLHJC24, author = {Sojung Yim and Sang{-}Min Baek and Pilwoo Lee and Soo{-}Hwan Chae and Jongeun Lee and Seok{-}Haeng Huh and Gwang{-}Pil Jung and Kyu{-}Jin Cho}, title = {Development of the sub-10 cm, sub-100 g jumping-crawling robot}, journal = {Intell. Serv. Robotics}, volume = {17}, number = {1}, pages = {19--32}, year = {2024}, url = {https://doi.org/10.1007/s11370-023-00497-z}, doi = {10.1007/S11370-023-00497-Z}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isrob/YimBLCLHJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HanRKKPY24, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {MetaVRain: {A} Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid {DNN} Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {65--78}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3291871}, doi = {10.1109/JSSC.2023.3291871}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HanRKKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKHKHCY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{C-DNN:} An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous {CNN/SNN} Core Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {157--172}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3330483}, doi = {10.1109/JSSC.2023.3330483}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimKHKHCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLUJHLKHY24, author = {Sangjin Kim and Zhiyong Li and Soyeon Um and Wooyoung Jo and Sangwoo Ha and Juhyoung Lee and Sangyeob Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {102--115}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3319962}, doi = {10.1109/JSSC.2023.3319962}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimLUJHLKHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HanRKKPY24, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {A Low-Power Artificial-Intelligence-Based 3-D Rendering Processor With Hybrid Deep Neural Network Computing}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {17--27}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3328965}, doi = {10.1109/MM.2023.3328965}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/HanRKKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKHKCHY24, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Jiwon Choi and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {28--37}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3330169}, doi = {10.1109/MM.2023.3330169}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KimKHKCHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/HanLYKB24, author = {Jinzhen Han and Seung Jun Lee and Hong Sik Yun and Kwang Bae Kim and Sang Won Bae}, title = {PyRINEX: a new multi-purpose Python package for {GNSS} {RINEX} data}, journal = {PeerJ Comput. Sci.}, volume = {10}, pages = {e1800}, year = {2024}, url = {https://doi.org/10.7717/peerj-cs.1800}, doi = {10.7717/PEERJ-CS.1800}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/HanLYKB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YangKPMHK24, author = {Sanghoon Yang and Won Dong Kim and Hyunkyu Park and Seojung Min and Hyonyoung Han and Jung Kim}, title = {In-Hand Object Classification and Pose Estimation With Sim-to-Real Tactile Transfer for Robotic Manipulation}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {1}, pages = {659--666}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3334971}, doi = {10.1109/LRA.2023.3334971}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/YangKPMHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChoPLH24, author = {Hyun{-}Min Cho and Jin{-}Woo Park and Jung{-}Soo Lee and Sang{-}Kyun Han}, title = {Assessment of the {GNSS-RTK} for Application in Precision Forest Operations}, journal = {Remote. Sens.}, volume = {16}, number = {1}, pages = {148}, year = {2024}, url = {https://doi.org/10.3390/rs16010148}, doi = {10.3390/RS16010148}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ChoPLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/GiudicelliLHILHGBMSMSWMSSYRPNHMJM24, author = {Guillaume L. Giudicelli and Alexander D. Lindsay and Logan H. Harbour and Casey Icenhour and Mengnan Li and Joshua Hansel and Peter German and Patrick Behne and Oana Marin and Roy H. Stogner and Jason M. Miller and Daniel Schwen and Yaqi Wang and Lynn Munday and Sebastian Schunert and Benjamin W. Spencer and Dewen Yushu and Antonio M. Recuero and Zachary M. Prince and Max Nezdyur and Tianchen Hu and Yinbin Miao and Yeon Sang Jung and Christopher Matthews and April Novak and Brandon Langley and Timothy J. Truster and Nuno Nobre and Brian Alger and David Andrs and Fande Kong and Robert W. Carlsen and Andrew E. Slaughter and John W. Peterson and Derek Gaston and Cody J. Permann}, title = {3.0 - {MOOSE:} Enabling massively parallel multiphysics simulations}, journal = {SoftwareX}, volume = {26}, pages = {101690}, year = {2024}, url = {https://doi.org/10.1016/j.softx.2024.101690}, doi = {10.1016/J.SOFTX.2024.101690}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/GiudicelliLHILHGBMSMSWMSSYRPNHMJM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/KoYHKJ24, author = {Kwang{-}Lim Ko and Jun{-}Sang Yoo and Changwoo Han and Jungyeop Kim and Seung{-}Won Jung}, title = {Pose and Shape Estimation of Humans in Vehicles}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {1}, pages = {402--416}, year = {2024}, url = {https://doi.org/10.1109/TITS.2023.3306314}, doi = {10.1109/TITS.2023.3306314}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/KoYHKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/HanJK24, author = {Sangil Han and Sungkyu Jung and Kyoowon Kim}, editor = {Sanjoy Dasgupta and Stephan Mandt and Yingzhen Li}, title = {Robust {SVD} Made Easy: {A} fast and reliable algorithm for large-scale data analysis}, booktitle = {International Conference on Artificial Intelligence and Statistics, 2-4 May 2024, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {238}, pages = {1765--1773}, publisher = {{PMLR}}, year = {2024}, url = {https://proceedings.mlr.press/v238/han24a.html}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/HanJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YoonSSJSKYVJL24, author = {Sang Ho Yoon and Youjin Sung and Kun Woo Song and Kyungeun Jung and Kyung Jin Seo and Jina Kim and Hyung Il Yi and Nicha Vanichvoranun and Hanseok Jeong and Hojeong Lee}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Adaptive and Immersive {XR} Interactions with Wearable Interfaces (Demo of {KAIST} {HCI} Tech Lab)}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {391:1--391:4}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3648652}, doi = {10.1145/3613905.3648652}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YoonSSJSKYVJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/JungKSJLSHL24, author = {Jueun Jung and Seungbin Kim and Bokyoung Seo and Wuyoung Jang and Sangho Lee and Jeongmin Shin and Donghyeon Han and Kyuho Jason Lee}, title = {A Low-power and Real-time Semantic LiDAR {SLAM} Processor with Point Neural Network Segmentation and kNN Acceleration for Mobile Robots}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531179}, doi = {10.1109/COOLCHIPS61292.2024.10531179}, timestamp = {Wed, 05 Jun 2024 20:57:58 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/JungKSJLSHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ParkSSIHKLY24, author = {Gwangtae Park and Seokchan Song and Haoyang Sang and Dongseok Im and Donghyeon Han and Sangyeob Kim and Hongseok Lee and Hoi{-}Jun Yoo}, title = {A Low-power and Real-time Neural-Rendering Dense {SLAM} Processor with 3-Level Hierarchical Sparsity Exploitation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531160}, doi = {10.1109/COOLCHIPS61292.2024.10531160}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/ParkSSIHKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/RyuKPLKHIKJKY24, author = {Junha Ryu and Hankyul Kwon and Wonhoon Park and Zhiyong Li and Beomseok Kwon and Donghyeon Han and Dongseok Im and Sangyeob Kim and Hyungnam Joo and Minsung Kim and Hoi{-}Jun Yoo}, title = {A Low-Power Neural Graphics System for Instant 3D Modeling and Real-Time Rendering on Mobile {AR/VR} Devices}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531171}, doi = {10.1109/COOLCHIPS61292.2024.10531171}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/RyuKPLKHIKJKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/WangHTKCA24, author = {Jun{-}Han Wang and He He and Kosuke Tamura and Shun Kojima and Jaesang Cha and Chang{-}Jun Ahn}, title = {Vehicle-to-Vehicle Communication Channel Estimator Based on Gate Recurrent Unit}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457159}, doi = {10.1109/ICEIC61013.2024.10457159}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/WangHTKCA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkBRPFH24, author = {Junmo Park and SeYeong Byeon and Arun Radhakrishnan and Sangwon Park and Wilson Fung and Donghee Han}, title = {Precision Modulated Shading with Bfloat16 for Mobile {GPU}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444467}, doi = {10.1109/ICCE59016.2024.10444467}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkBRPFH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKSJLSHL24, author = {Jueun Jung and Seungbin Kim and Bokyoung Seo and Wuyoung Jang and Sangho Lee and Jeongmin Shin and Donghyeon Han and Kyuho Jason Lee}, title = {20.6 {LSPU:} {A} Fully Integrated Real-Time LiDAR-SLAM SoC with Point-Neural-Network Segmentation and Multi-Level kNN Acceleration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {370--372}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454374}, doi = {10.1109/ISSCC49657.2024.10454374}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungKSJLSHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSSIHKLY24, author = {Gwangtae Park and Seokchan Song and Haoyang Sang and Dongseok Im and Donghyeon Han and Sangyeob Kim and Hongseok Lee and Hoi{-}Jun Yoo}, title = {20.8 Space-Mate: {A} 303.5mW Real-Time Sparse Mixture-of-Experts-Based NeRF-SLAM Processor for Mobile Spatial Computing}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {374--376}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454487}, doi = {10.1109/ISSCC49657.2024.10454487}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkSSIHKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RyuKPLKHIKJY24, author = {Junha Ryu and Hankyul Kwon and Wonhoon Park and Zhiyong Li and Beomseok Kwon and Donghyeon Han and Dongseok Im and Sangyeob Kim and Hyungnam Joo and Hoi{-}Jun Yoo}, title = {20.7 NeuGPU: {A} 18.5mJ/Iter Neural-Graphics Processing Unit for Instant-Modeling and Real-Time Rendering with Segmented-Hashing Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {372--374}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454276}, doi = {10.1109/ISSCC49657.2024.10454276}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/RyuKPLKHIKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KangJCCPPH24, author = {Migyeong Kang and Juho Jung and Minhan Cho and Daejin Choi and Eunil Park and Sangheon Pack and Jinyoung Han}, editor = {Tadashi Okoshi and JeongGil Ko and Robert LiKamWa}, title = {Poster: {ISOML:} Inter-Service Online Meta-Learning for Newly Emerging Network Traffic Prediction}, booktitle = {Proceedings of the 22nd Annual International Conference on Mobile Systems, Applications and Services, {MOBISYS} 2024, Minato-ku, Tokyo, Japan, June 3-7, 2024}, pages = {718--719}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3643832.3661437}, doi = {10.1145/3643832.3661437}, timestamp = {Wed, 05 Jun 2024 10:08:56 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KangJCCPPH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LeeKLAL24, author = {Jungeun Lee and Sanghun Kim and Hansol Lee and Tserendorj Adiya and Hwasup Lim}, title = {PIDiffu: Pixel-aligned Diffusion Model for High-Fidelity Clothed Human Reconstruction}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {5160--5169}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00509}, doi = {10.1109/WACV57701.2024.00509}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/LeeKLAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05675, author = {Seung Hyun Lee and Yinxiao Li and Junjie Ke and Innfarn Yoo and Han Zhang and Jiahui Yu and Qifei Wang and Fei Deng and Glenn Entis and Junfeng He and Gang Li and Sangpil Kim and Irfan Essa and Feng Yang}, title = {Parrot: Pareto-optimal Multi-Reward Reinforcement Learning Framework for Text-to-Image Generation}, journal = {CoRR}, volume = {abs/2401.05675}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05675}, doi = {10.48550/ARXIV.2401.05675}, eprinttype = {arXiv}, eprint = {2401.05675}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05675.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-08719, author = {Seung{-}Yeop Baik and Mingi Jeon and Joonghyuk Hahn and Jungin Kim and Yo{-}Sub Han and Sang{-}Ki Ko}, title = {CodeComplex: {A} Time-Complexity Dataset for Bilingual Source Codes}, journal = {CoRR}, volume = {abs/2401.08719}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.08719}, doi = {10.48550/ARXIV.2401.08719}, eprinttype = {arXiv}, eprint = {2401.08719}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-08719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-04287, author = {Yeaju Kim and Jung{-}Gu Choi and Bora Kim and Yongwan Park and Jihyun Cha and Jongkwan Choi and Sanghoon Han}, title = {Association between Prefrontal fNIRS signals during Cognitive tasks and College scholastic ability test {(CSAT)} scores: Analysis using a quantum annealing approach}, journal = {CoRR}, volume = {abs/2402.04287}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.04287}, doi = {10.48550/ARXIV.2402.04287}, eprinttype = {arXiv}, eprint = {2402.04287}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-04287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09754, author = {Sangil Han and Kyoowon Kim and Sungkyu Jung}, title = {Robust {SVD} Made Easy: {A} fast and reliable algorithm for large-scale data analysis}, journal = {CoRR}, volume = {abs/2402.09754}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09754}, doi = {10.48550/ARXIV.2402.09754}, eprinttype = {arXiv}, eprint = {2402.09754}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11882, author = {Imjin Ahn and Hansle Gwon and Young{-}Hak Kim and Tae Joon Jun and Sanghyun Park}, title = {{NOTE:} Notable generation Of patient Text summaries through Efficient approach based on direct preference optimization}, journal = {CoRR}, volume = {abs/2402.11882}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11882}, doi = {10.48550/ARXIV.2402.11882}, eprinttype = {arXiv}, eprint = {2402.11882}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-11399, author = {Dongjae Shin and HyeonSeok Lim and Inho Won and ChangSu Choi and Minjun Kim and Seungwoo Song and Hangyeol Yoo and Sangmin Kim and Kyungtae Lim}, title = {X-LLaVA: Optimizing Bilingual Large Vision-Language Alignment}, journal = {CoRR}, volume = {abs/2403.11399}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.11399}, doi = {10.48550/ARXIV.2403.11399}, eprinttype = {arXiv}, eprint = {2403.11399}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-11399.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11972, author = {Hyuhng Joon Kim and Youna Kim and Cheonbok Park and Junyeob Kim and Choonghyun Park and Kang Min Yoo and Sang{-}goo Lee and Taeuk Kim}, title = {Aligning Language Models to Explicitly Handle Ambiguity}, journal = {CoRR}, volume = {abs/2404.11972}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11972}, doi = {10.48550/ARXIV.2404.11972}, eprinttype = {arXiv}, eprint = {2404.11972}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01554, author = {Junggu Choi and Tak Hur and Daniel K. Park and Na{-}Young Shin and Seung{-}Koo Lee and Hakbae Lee and Sanghoon Han}, title = {Early-stage detection of cognitive impairment by hybrid quantum-classical algorithm using resting-state functional {MRI} time-series}, journal = {CoRR}, volume = {abs/2405.01554}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01554}, doi = {10.48550/ARXIV.2405.01554}, eprinttype = {arXiv}, eprint = {2405.01554}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongKLH23, author = {Juhui Jeong and Subin Kim and Sanghun Lee and Junghwan Han}, title = {Calibration-Free Blocker Rejection Broadband {CMOS} Low Noise Amplifier for Advanced Cellular Applications}, journal = {{IEEE} Access}, volume = {11}, pages = {7739--7750}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3238365}, doi = {10.1109/ACCESS.2023.3238365}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLPS23, author = {Hanbit Lee and Sang{-}Goo Lee and Jaehui Park and Junho Shim}, title = {Improving Complex Scene Generation by Enhancing Multi-Scale Representations of {GAN} Discriminators}, journal = {{IEEE} Access}, volume = {11}, pages = {43067--43079}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3270561}, doi = {10.1109/ACCESS.2023.3270561}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSYJ23, author = {Jin Hwan Lee and Jun{-}Young Song and Han{-}Kyeol Yeo and Sang{-}Yong Jung}, title = {Numerical Evaluation of a Concentrated-Winding Variable Flux Memory Motor With a Hybrid Magnet Arrangement}, journal = {{IEEE} Access}, volume = {11}, pages = {71756--71765}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3287219}, doi = {10.1109/ACCESS.2023.3287219}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSYJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenKIHH23, author = {Hong Son Nguyen and MyoungGon Kim and Changbin Im and Sanghoon Han and JungHyun Han}, title = {ConvNeXtPose: {A} Fast Accurate Method for 3D Human Pose Estimation and Its {AR} Fitness Application in Mobile Devices}, journal = {{IEEE} Access}, volume = {11}, pages = {117393--117402}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3326343}, doi = {10.1109/ACCESS.2023.3326343}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/NguyenKIHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YunCJHKJLLKYHK23, author = {Sukju Yun and Jaegeun Cho and Sengjun Jo and In{-}Chul Hwang and Youngjin Kim and Seongheon Jeong and Jungeun Lee and Sanghoon Lee and Keontae Kim and Seungyup Yoo and Sangwoo Han and Kuduck Kwon}, title = {A 2.4/5 GHz Dual-Band Low-Noise and Highly Linear Receiver With a New Power-Efficient Feedforward {OPAMP} for WiFi-6 Applications}, journal = {{IEEE} Access}, volume = {11}, pages = {137264--137273}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3339573}, doi = {10.1109/ACCESS.2023.3339573}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YunCJHKJLLKYHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/YooHOBJCCJ23, author = {Changhyeon Yoo and Sang Sub Han and Emmanuel Okogbue and Tae{-}Sung Bae and Jae Hyuck Jang and Justin Cao and Hee{-}Suk Chung and Yeonwoong Jung}, title = {Humidity-Driven High-Performance Electrothermal Actuation of Vertically Stacked 2D PtTe\({}_{\mbox{2}}\) Layers/Cellulose Nanofibers}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {3}, year = {2023}, url = {https://doi.org/10.1002/aisy.202200269}, doi = {10.1002/AISY.202200269}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/YooHOBJCCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimSKHSCJKJK23, author = {Hyun Kim and Pukyeong Seo and Min Ju Kim and Jun Il Huh and Jun{-}Sang Sunwoo and Kwang Su Cha and El Jeong and Han{-}Joon Kim and Ki{-}Young Jung and Kyung Hwan Kim}, title = {Characterization of attentional event-related potential from {REM} sleep behavior disorder patients based on explainable machine learning}, journal = {Comput. Methods Programs Biomed.}, volume = {234}, pages = {107496}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107496}, doi = {10.1016/J.CMPB.2023.107496}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimSKHSCJKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/GuoSZDLH23, author = {Heng{-}Wei Guo and Hongyan Sang and Xujin Zhang and Peng Duan and Junqing Li and Yuyan Han}, title = {An effective fruit fly optimization algorithm for the distributed permutation flowshop scheduling problem with total flowtime}, journal = {Eng. Appl. Artif. Intell.}, volume = {123}, number = {Part {B}}, pages = {106347}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106347}, doi = {10.1016/J.ENGAPPAI.2023.106347}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/GuoSZDLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZouPMSHL23, author = {Wen{-}Qiang Zou and Quan{-}Ke Pan and Leilei Meng and Hong{-}yan Sang and Yu{-}yan Han and Junqing Li}, title = {An effective self-adaptive iterated greedy algorithm for a multi-AGVs scheduling problem with charging and maintenance}, journal = {Expert Syst. Appl.}, volume = {216}, pages = {119512}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.119512}, doi = {10.1016/J.ESWA.2023.119512}, timestamp = {Sun, 19 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ZouPMSHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/DwivediKHSJKBKRAAAABBBBBBCCCC23, author = {Yogesh K. Dwivedi and Nir Kshetri and Laurie Hughes and Emma L. Slade and Anand Jeyaraj and Arpan Kumar Kar and Abdullah M. Baabdullah and Alex Koohang and Vishnupriya Raghavan and Manju Ahuja and Hanaa Albanna and Mousa Ahmad Albashrawi and Adil S. Al{-}Busaidi and Janarthanan Balakrishnan and Yves Barlette and Sriparna Basu and Indranil Bose and Laurence D. Brooks and Dimitrios Buhalis and Lemuria D. Carter and Soumyadeb Chowdhury and Tom Crick and Scott W. Cunningham and Gareth H. Davies and Robert M. Davison and Rahul De' and Denis Dennehy and Yanqing Duan and Rameshwar Dubey and Rohita Dwivedi and John S. Edwards and Carlos Flavi{\'{a}}n and Robin Gauld and Varun Grover and Mei{-}Chih Hu and Marijn Janssen and Paul Jones and Iris A. Junglas and Sangeeta Khorana and Sascha Kraus and Kai R. Larsen and Paul Latreille and Sven Laumer and F. Tegwen Malik and Abbas Mardani and Marcello Mariani and Sunil Mithas and Emmanuel Mogaji and Jeretta Horn Nord and Siobh{\'{a}}n O'Connor and Fevzi Okumus and Margherita Pagani and Neeraj Pandey and Savvas Papagiannidis and Ilias O. Pappas and Nishith Pathak and Jan Pries{-}Heje and Ramakrishnan Raman and Nripendra P. Rana and Sven{-}Volker Rehm and Samuel Ribeiro{-}Navarrete and Alexander Richter and Frantz Rowe and Suprateek Sarker and Bernd Carsten Stahl and Manoj Kumar Tiwari and Wil M. P. van der Aalst and Viswanath Venkatesh and Giampaolo Viglia and Michael Wade and Paul Walton and Jochen Wirtz and Ryan T. Wright}, title = {Opinion Paper: "So what if ChatGPT wrote it?" Multidisciplinary perspectives on opportunities, challenges and implications of generative conversational {AI} for research, practice and policy}, journal = {Int. J. Inf. Manag.}, volume = {71}, pages = {102642}, year = {2023}, url = {https://doi.org/10.1016/j.ijinfomgt.2023.102642}, doi = {10.1016/J.IJINFOMGT.2023.102642}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/DwivediKHSJKBKRAAAABBBBBBCCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ImPRLKHLPKY23, author = {Dongseok Im and Gwangtae Park and Junha Ryu and Zhiyong Li and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {{DSPU:} An Efficient Deep Learning-Based Dense {RGB-D} Data Acquisition With Sensor Fusion and 3-D Perception SoC}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {177--188}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3218278}, doi = {10.1109/JSSC.2022.3218278}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ImPRLKHLPKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiKIHY23, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {614--623}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3224964}, doi = {10.1109/JSSC.2022.3224964}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LiKIHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimGLKHJSJJ23, author = {Sehee Lim and Youngin Goh and Young Kyu Lee and Dong Han Ko and Junghyeon Hwang and Yeongseok Jeong and Hunbeom Shin and Sanghun Jeon and Seong{-}Ook Jung}, title = {Dual-Mode Operations of Self-Rectifying Ferroelectric Tunnel Junction Crosspoint Array for High-Density Integration of IoT Devices}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {7}, pages = {1860--1870}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3265667}, doi = {10.1109/JSSC.2023.3265667}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimGLKHJSJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/GoukKBLJ23, author = {Donghyun Gouk and Miryeong Kwon and Hanyeoreum Bae and Sangwon Lee and Myoungsoo Jung}, title = {Memory Pooling With {CXL}}, journal = {{IEEE} Micro}, volume = {43}, number = {2}, pages = {48--57}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3237491}, doi = {10.1109/MM.2023.3237491}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/GoukKBLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ImPLRKHLPKY23, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {A Mobile 3-D Object Recognition Processor With Deep-Learning-Based Monocular Depth Estimation}, journal = {{IEEE} Micro}, volume = {43}, number = {3}, pages = {74--82}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3255502}, doi = {10.1109/MM.2023.3255502}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ImPLRKHLPKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KwonJCLJ23, author = {Miryeong Kwon and Junhyeok Jang and Hanjin Choi and Sangwon Lee and Myoungsoo Jung}, title = {Failure Tolerant Training With Persistent Memory Disaggregation Over {CXL}}, journal = {{IEEE} Micro}, volume = {43}, number = {2}, pages = {66--75}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3237548}, doi = {10.1109/MM.2023.3237548}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/KwonJCLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/YunCHJCYH23, author = {Tae Jin Yun and Jin Wook Choi and Miran Han and Woo Sang Jung and Seung Hong Choi and Roh{-}Eul Yoo and In Pyeong Hwang}, title = {Deep learning based automatic detection algorithm for acute intracranial haemorrhage: a pivotal randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00798-8}, doi = {10.1038/S41746-023-00798-8}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npjdm/YunCHJCYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/KongKKLLHKLPSLYKHCPK23, author = {JungHo Kong and Jinho Kim and Donghyo Kim and Kwanghwan Lee and Juhun Lee and Seong Kyu Han and Inhae Kim and Seongsu Lim and Minhyuk Park and Seungho Shin and Woo Yong Lee and Seong Hyeon Yun and Hee Cheol Kim and Hye Kyung Hong and Yong Beom Cho and Donghyun Park and Sanguk Kim}, title = {Information about immune cell proportions and tumor stage improves the prediction of recurrence in patients with colorectal cancer}, journal = {Patterns}, volume = {4}, number = {6}, pages = {100736}, year = {2023}, url = {https://doi.org/10.1016/j.patter.2023.100736}, doi = {10.1016/J.PATTER.2023.100736}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/KongKKLLHKLPSLYKHCPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeYJSPHKKCC23, author = {Sungho Lee and Sungwoon Yoon and Yonghwan Jeong and Jaehong Seo and Sangshin Park and Sangchul Han and Jin Tak Kim and Jinhyeon Kim and Hyouk Ryeol Choi and Jungsan Cho}, title = {Design and Implementation of a Two-Wheeled Inverted Pendulum Robot With a Sliding Mechanism for Off-Road Transportation}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {7}, pages = {4004--4011}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3279585}, doi = {10.1109/LRA.2023.3279585}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeYJSPHKKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YoonLSJKPHKKCC23, author = {Sungwoon Yoon and Sungho Lee and Junyong Song and Yonghwan Jeong and Jungyeong Kim and Sangshin Park and Sangchul Han and Jin Tak Kim and Jinhyeon Kim and Hyouk Ryeol Choi and Jungsan Cho}, title = {Designing a Bow-Inspired Rigidable Exosuit for Adaptive Support}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {11}, pages = {7328--7335}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3315562}, doi = {10.1109/LRA.2023.3315562}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/YoonLSJKPHKKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/KoKJP23, author = {Haneul Ko and Taeyun Kim and Daeyoung Jung and Sangheon Pack}, title = {Software-Defined Electric Vehicle (EV)-to-EV Charging Framework With Mobile Aggregator}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {2}, pages = {2815--2823}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2023.3240509}, doi = {10.1109/JSYST.2023.3240509}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/KoKJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/LiSLHGZL23, author = {Zhong{-}Kai Li and Hongyan Sang and Jun{-}Qing Li and Yu{-}Yan Han and Kai{-}Zhou Gao and Zhi{-}xin Zheng and Li{-}li Liu}, title = {Invasive weed optimization for multi-AGVs dispatching problem in a matrix manufacturing workshop}, journal = {Swarm Evol. Comput.}, volume = {77}, pages = {101227}, year = {2023}, url = {https://doi.org/10.1016/j.swevo.2023.101227}, doi = {10.1016/J.SWEVO.2023.101227}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/LiSLHGZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tai/GuanWZQW23, author = {Sanghai Guan and Jingjing Wang and Ruijie Zhu and Junhui Qian and Zhongxiang Wei}, title = {Learning to Play Koi-Koi Hanafuda Card Games With Transformers}, journal = {{IEEE} Trans. Artif. Intell.}, volume = {4}, number = {6}, pages = {1449--1460}, year = {2023}, url = {https://doi.org/10.1109/TAI.2023.3240674}, doi = {10.1109/TAI.2023.3240674}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tai/GuanWZQW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SeoHKLSCKCLYH23, author = {Hyeongmin Seo and Jiyun Han and Kyungmin Kim and Baek{-}Jin Lim and EunSeok Shin and Youngdon Choi and Hyungjong Ko and Jung{-}Hwan Choi and Sang{-}Hyun Lee and Changsik Yoo and Jaeduk Han}, title = {A 12-Gb/s Baud-Rate Clock and Data Recovery With 75{\%} Phase-Detection Probability by Precoding and Integration-Hold-Reset Frontend}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {2}, pages = {411--415}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3212881}, doi = {10.1109/TCSII.2022.3212881}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/SeoHKLSCKCLYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LiSPGHL23, author = {Zhong{-}Kai Li and Hongyan Sang and Quanke Pan and Kaizhou Gao and Yuyan Han and Junqing Li}, title = {Dynamic {AGV} Scheduling Model With Special Cases in Matrix Production Workshop}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {6}, pages = {7762--7770}, year = {2023}, url = {https://doi.org/10.1109/TII.2022.3211507}, doi = {10.1109/TII.2022.3211507}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LiSPGHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/YanLGHCKCZ23, author = {Dong Yan and Zan Li and Ke Guan and Danping He and Xiang Cheng and Junhyeong Kim and Heesang Chung and Zhangdui Zhong}, title = {Modeling and Analysis of {V2I} Links for the Handover Situations at mmWave Band}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {10}, pages = {12450--12463}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3271670}, doi = {10.1109/TVT.2023.3271670}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/YanLGHCKCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YeoJSHY23, author = {Sangyeop Yeo and Yoojin Jang and Jy{-}yong Sohn and Dongyoon Han and Jaejun Yoo}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Can We Find Strong Lottery Tickets in Generative Models?}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {3267--3275}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i3.25433}, doi = {10.1609/AAAI.V37I3.25433}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/YeoJSHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ShinKKJJK0023, author = {Kyuyong Shin and Hanock Kwak and Wonjae Kim and Jisu Jeong and Seungjae Jung and Kyungmin Kim and Jung{-}Woo Ha and Sang{-}Woo Lee}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Pivotal Role of Language Modeling in Recommender Systems: Enriching Task-specific and Task-agnostic Representation Learning}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {1146--1161}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.64}, doi = {10.18653/V1/2023.ACL-LONG.64}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ShinKKJJK0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/HanRKKPY23, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid {DNN} Acceleration}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10122036}, doi = {10.1109/COOLCHIPS57690.2023.10122036}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/HanRKKPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimKHKHCY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Jiwon Choi and Hoi{-}Jun Yoo}, title = {{COOL-NPU:} Complementary Online Learning Neural Processing Unit with {CNN-SNN} Heterogeneous Core and Event-driven Backpropagation}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10121940}, doi = {10.1109/COOLCHIPS57690.2023.10121940}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/KimKHKHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimLCHL23, author = {Janghyeon Kim and Janghwan Lee and Jungwook Choi and JeongHo Han and Sangheon Lee}, title = {Range-Invariant Approximation of Non-Linear Operations for Efficient {BERT} Fine-Tuning}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247958}, doi = {10.1109/DAC56929.2023.10247958}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/KimLCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimCLKPLYK23, author = {Hyuhng Joon Kim and Hyunsoo Cho and Sang{-}Woo Lee and Junyeob Kim and Choonghyun Park and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Universal Domain Adaptation for Robust Handling of Distributional Shifts in {NLP}}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {5888--5905}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.392}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.392}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimCLKPLYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeeK0LPLJ23, author = {Dongryeol Lee and Segwang Kim and Minwoo Lee and Hwanhee Lee and Joonsuk Park and Sang{-}Woo Lee and Kyomin Jung}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Asking Clarification Questions to Handle Ambiguity in Open-Domain {QA}}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11526--11544}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.772}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.772}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeeK0LPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ParkKHPPC23, author = {Jaejun Park and Junwoo Kim and Sangyoon Han and Chaeyong Park and Junseok Park and Seungmoon Choi}, title = {Information Transfer of Full-Body Vibrotactile Stimuli: An Initial Study with One to Three Sequential Vibrations}, booktitle = {{IEEE} World Haptics Conference, {WHC} 2023, Delft, Netherlands, July 10-13, 2023}, pages = {41--47}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WHC56415.2023.10224391}, doi = {10.1109/WHC56415.2023.10224391}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/haptics/ParkKHPPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/KwonLJ23, author = {Miryeong Kwon and Sangwon Lee and Myoungsoo Jung}, editor = {Ali Anwar and Ningfang Mi and Vasily Tarasov and Yiying Zhang}, title = {Cache in Hand: Expander-Driven {CXL} Prefetcher for Next Generation {CXL-SSD}}, booktitle = {Proceedings of the 15th {ACM/USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2023, Boston, MA, USA, 9 July 2023}, pages = {24--30}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599691.3603406}, doi = {10.1145/3599691.3603406}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/KwonLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc2/JeongJJHK23, author = {Yeonwoo Jeong and Chanyoung Jeoung and Hosan Jeong and Sangyoon Han and Juntae Kim}, title = {Efficient Liquidity Providing via Margin Liquidity}, booktitle = {{IEEE} International Conference on Blockchain and Cryptocurrency, {ICBC} 2023, Dubai, United Arab Emirates, May 1-5, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICBC56567.2023.10174867}, doi = {10.1109/ICBC56567.2023.10174867}, timestamp = {Fri, 21 Jul 2023 12:10:41 +0200}, biburl = {https://dblp.org/rec/conf/icbc2/JeongJJHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HanCCCCYSO23, author = {Dongyoon Han and Junsuk Choe and Seonghyeok Chun and John Joon Young Chung and Minsuk Chang and Sangdoo Yun and Jean Y. Song and Seong Joon Oh}, title = {Neglected Free Lunch - Learning Image Classifiers Using Annotation Byproducts}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {20143--20155}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01848}, doi = {10.1109/ICCV51070.2023.01848}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HanCCCCYSO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/LeeH0J23, author = {Hongjae Lee and Changwoo Han and Jun{-}Sang Yoo and Seung{-}Won Jung}, title = {{GPS-GLASS:} Learning Nighttime Semantic Segmentation Using Daytime Video and {GPS} data}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {4003--4012}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00432}, doi = {10.1109/ICCVW60793.2023.00432}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/LeeH0J23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/NamPSJIL23, author = {Ju{-}Hyeon Nam and Seo{-}Hyeong Park and Nur Suriza Syazwany and Yerim Jung and Yu{-}Han Im and Sang{-}Chul Lee}, title = {M3FPolypSegNet: Segmentation Network with Multi-Frequency Feature Fusion for Polyp Localization in Colonoscopy Images}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {1530--1534}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10222864}, doi = {10.1109/ICIP49359.2023.10222864}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/NamPSJIL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeCJBH23, author = {Sung{-}Hun Lee and Soo{-}Hyun Cho and Yong{-}An Jung and Sang{-}Bong Byun and Dong{-}Cheul Han}, title = {A Study on Intelligent Manufacturing Video and Control Data Transmission System Using 5G Communication}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1275--1277}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392610}, doi = {10.1109/ICTC58733.2023.10392610}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeCJBH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JungBHCLK23, author = {Yong{-}An Jung and Sang{-}Bong Byun and Dong{-}Cheul Han and Soo{-}Hyun Cho and Sung{-}Hun Lee and Jae{-}Uk Kwon}, title = {An Improved Residual Frequency Synchronization Method for Wireless Communication System}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {622--624}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200025}, doi = {10.1109/ICUFN57995.2023.10200025}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JungBHCLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeBJHC23, author = {Sung{-}Hun Lee and Sang{-}Bong Byun and Yong{-}An Jung and Dong{-}Cheul Han and Soo{-}Hyun Cho}, title = {A Study on the Production Management System for Analyzing Operator Errors and Manufacturing Data in the Assembly Process}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {687--689}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200568}, doi = {10.1109/ICUFN57995.2023.10200568}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeBJHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KukHKKK23, author = {Song{-}Hyeon Kuk and Jae{-}Hoon Han and Bong Ho Kim and Junpyo Kim and Sang{-}Hyeon Kim}, title = {Proposal of P-Channel {FE} {NAND} with High Drain Current and Feasible Disturbance for Next Generation 3D {NAND}}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2023, Monterey, CA, USA, May 21-24, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMW56887.2023.10145967}, doi = {10.1109/IMW56887.2023.10145967}, timestamp = {Fri, 16 Jun 2023 08:54:55 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KukHKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HanRKKY23, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {MetaVRain: {A} 133mW Real-Time Hyper-Realistic 3D-NeRF Processor with 1D-2D Hybrid-Neural Engines for Metaverse on Mobile Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {50--51}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067447}, doi = {10.1109/ISSCC42615.2023.10067447}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HanRKKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKHKHY23, author = {Sangyeob Kim and Soyeon Kim and Seongyon Hong and Sangjin Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {{C-DNN:} {A} 24.5-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous {CNN/SNN} Core Architecture and Forward-Gradient-Based Sparsity Generation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {334--335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067497}, doi = {10.1109/ISSCC42615.2023.10067497}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKHKHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLUJHLKHY23, author = {Sangjin Kim and Zhiyong Li and Soyeon Um and Wooyoung Jo and Sangwoo Ha and Juhyoung Lee and Sangyeob Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {DynaPlasia: An eDRAM In-Memory-Computing-Based Reconfigurable Spatial Accelerator with Triple-Mode Cell for Dynamic Resource Switching}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {256--257}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067352}, doi = {10.1109/ISSCC42615.2023.10067352}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimLUJHLKHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkLCLLKJ23, author = {Jung{-}Hun Park and Hyeonseok Lee and Hoyeon Cho and Sanghee Lee and Kwang{-}Hoon Lee and Han{-}Gon Ko and Deog{-}Kyoon Jeong}, title = {A 32Gb/s/pin 0.51 pJ/b Single-Ended Resistor-less Impedance-Matched Transmitter with a T-Coil-Based Edge-Boosting Equalizer in 40nm {CMOS}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {410--411}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067552}, doi = {10.1109/ISSCC42615.2023.10067552}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkLCLLKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/0004CKYK23, author = {Hyunsung Kim and Han{-}Jun Choi and Chang Jo Kim and Jinsung Yoon and Sang{-}Ki Ko}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {Ball Trajectory Inference from Multi-Agent Sports Contexts Using Set Transformer and Hierarchical Bi-LSTM}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {4296--4307}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599779}, doi = {10.1145/3580305.3599779}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/0004CKYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YunHLLKSPLB23, author = {Seok{-}Jun Yun and Young{-}Tak Han and Dong{-}Hoon Lee and Dong{-}Hyo Lee and Seok{-}Tae Kim and Jang{-}Uk Shin and Sang{-}Ho Park and Seo{-}Young Lee and Yongsoon Baek}, title = {A 66-GHz Lumped-EML Submodule Using Resistance-Optimized {LC} Resonance with Low Temperature Dependence of 3-dB Bandwidth}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10116656}, doi = {10.23919/OFC49934.2023.10116656}, timestamp = {Thu, 01 Jun 2023 08:58:39 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YunHLLKSPLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/KoYJN23, author = {Ginam Ko and Jaeseok Yoon and Byungseok Jung and SangHun Nam}, editor = {Erik Brunvand and James Tompkin}, title = {BStick: Hand-held Haptic Controller for Virtual Reality Applications}, booktitle = {{ACM} {SIGGRAPH} 2023 Posters, {SIGGRAPH} 2023, Los Angeles, CA, USA, August 6-10, 2023}, pages = {16:1--16:2}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3588028.3603654}, doi = {10.1145/3588028.3603654}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/KoYJN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/BangKCKPLLJKJHK23, author = {Jun{-}Suk Bang and Dongsu Kim and Young{-}Hwan Choo and Ik{-}Hwan Kim and Seungchan Park and Jeongkwang Lee and Sang{-}Han Lee and Young{-}Ho Jung and Jae{-}Young Ko and Sung{-}Youb Jung and Jae{-}Yeol Han and Woosik Kim and Ji{-}Seon Paek and Jongwoo Lee}, title = {5G {NR} {RF} {PA} Supply Modulator Supporting 179ns 0.5-to-5.5V Symbol Power Tracking and Envelope Tracking}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185384}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185384}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/BangKCKPLLJKJHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKLHKCY23, author = {Wooyoung Jo and Sangjin Kim and Juhyoung Lee and Donghyeon Han and Sangyeob Kim and Seungyoon Choi and Hoi{-}Jun Yoo}, title = {NeRPIM: {A} 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185399}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185399}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKLHKCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KangSJL0BJKHHJY23, author = {Gyuseong Kang and Hyunjin Shin and Hyuntaek Jung and Sunkyu Lee and Jaeseung Choi and Sangyeop Baek and Hyunsung Jung and Daeshik Kim and Sohee Hwang and Shinhee Han and Yongsung Ji and Sei Seung Yoon}, title = {A 14nm 128Mb Embedded {MRAM} Macro achieved the Best Figure-Of-Merit with 80MHz Read operation and 18.1Mb/mm{\({^2}\)} implementation at 0.64V}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185352}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185352}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KangSJL0BJKHHJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeJJDYYJLHPKKK23, author = {Seungyoung Lee and Sungyup Jung and Yunkyeong Jang and Jungho Do and Jisu Yu and Hyeoungyu You and Minjae Jeong and Jinyoung Lim and Jiyun Han and Sangdo Park and Yongdeok Kim and Jooyeon Kwon and Hoonki Kim and Seiseung Yoon}, title = {Breakthrough Design Technology Co-optimization using {BSPDN} and Standard Cell Variants for Maximizing Block-level {PPA}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185417}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185417}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeeJJDYYJLHPKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/SongHKKPY23, author = {Seokchan Song and Donghyeon Han and Sangjin Kim and Sangyeob Kim and Gwangtae Park and Hoi{-}Jun Yoo}, title = {{GPPU:} {A} 330.4-{\(\mu\)}J/ task Neural Path Planning Processor with Hybrid {GNN} Acceleration for Autonomous 3D Navigation}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185367}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185367}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/SongHKKPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/JinBBP23, author = {Jun{-}Gyu Jin and Jaehyun Bae and Han{-}Gyul Baek and Sang{-}Hyo Park}, title = {Object-Ratio-Preserving Video Retargeting Framework based on Segmentation and Inpainting}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACV} 2023 - Workshops, Waikoloa, HI, USA, January 3-7, 2023}, pages = {497--503}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACVW58289.2023.00055}, doi = {10.1109/WACVW58289.2023.00055}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/JinBBP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-07492, author = {Miryeong Kwon and Junhyeok Jang and Hanjin Choi and Sangwon Lee and Myoungsoo Jung}, title = {Failure Tolerant Training with Persistent Memory Disaggregation over {CXL}}, journal = {CoRR}, volume = {abs/2301.07492}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.07492}, doi = {10.48550/ARXIV.2301.07492}, eprinttype = {arXiv}, eprint = {2301.07492}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-07492.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-17595, author = {Dongyoon Han and Junsuk Choe and Seonghyeok Chun and John Joon Young Chung and Minsuk Chang and Sangdoo Yun and Jean Y. Song and Seong Joon Oh}, title = {Neglected Free Lunch; Learning Image Classifiers Using Annotation Byproducts}, journal = {CoRR}, volume = {abs/2303.17595}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.17595}, doi = {10.48550/ARXIV.2303.17595}, eprinttype = {arXiv}, eprint = {2303.17595}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-17595.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13808, author = {Dongryeol Lee and Segwang Kim and Minwoo Lee and Hwanhee Lee and Joonsuk Park and Sang{-}Woo Lee and Kyomin Jung}, title = {Asking Clarification Questions to Handle Ambiguity in Open-Domain {QA}}, journal = {CoRR}, volume = {abs/2305.13808}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13808}, doi = {10.48550/ARXIV.2305.13808}, eprinttype = {arXiv}, eprint = {2305.13808}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-08206, author = {Hyunsung Kim and Han{-}Jun Choi and Chang Jo Kim and Jinsung Yoon and Sang{-}Ki Ko}, title = {Ball Trajectory Inference from Multi-Agent Sports Contexts Using Set Transformer and Hierarchical Bi-LSTM}, journal = {CoRR}, volume = {abs/2306.08206}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.08206}, doi = {10.48550/ARXIV.2306.08206}, eprinttype = {arXiv}, eprint = {2306.08206}, timestamp = {Sun, 18 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-08206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00349, author = {Hyuk Jun Yoo and Na Yeon Kim and Heeseung Lee and Daeho Kim and Leslie Ching Ow Tiong and Hyobin Nam and Chansoo Kim and Seung Yong Lee and Kwan{-}Young Lee and Donghun Kim and Sang{-}Soo Han}, title = {Bespoke Nanoparticle Synthesis and Chemical Knowledge Discovery Via Autonomous Experimentations}, journal = {CoRR}, volume = {abs/2309.00349}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00349}, doi = {10.48550/ARXIV.2309.00349}, eprinttype = {arXiv}, eprint = {2309.00349}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00349.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01961, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Woo{-}Young Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, journal = {CoRR}, volume = {abs/2309.01961}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01961}, doi = {10.48550/ARXIV.2309.01961}, eprinttype = {arXiv}, eprint = {2309.01961}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00018, author = {Jung{-}Gu Choi and Kion Kim and Soohyun Park and Juyoen Hur and Hyunjung Yang and Younghoon Kim and Hakbae Lee and Sanghoon Han}, title = {Investigation of factors regarding the effects of {COVID-19} pandemic on college students' depression by quantum annealer}, journal = {CoRR}, volume = {abs/2310.00018}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00018}, doi = {10.48550/ARXIV.2310.00018}, eprinttype = {arXiv}, eprint = {2310.00018}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05538, author = {Ju{-}Hyeon Nam and Seo{-}Hyeong Park and Nur Suriza Syazwany and Yerim Jung and Yu{-}Han Im and Sang{-}Chul Lee}, title = {M3FPolypSegNet: Segmentation Network with Multi-frequency Feature Fusion for Polyp Localization in Colonoscopy Images}, journal = {CoRR}, volume = {abs/2310.05538}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05538}, doi = {10.48550/ARXIV.2310.05538}, eprinttype = {arXiv}, eprint = {2310.05538}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05538.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14849, author = {Hyuhng Joon Kim and Hyunsoo Cho and Sang{-}Woo Lee and Junyeob Kim and Choonghyun Park and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, title = {Universal Domain Adaptation for Robust Handling of Distributional Shifts in {NLP}}, journal = {CoRR}, volume = {abs/2310.14849}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14849}, doi = {10.48550/ARXIV.2310.14849}, eprinttype = {arXiv}, eprint = {2310.14849}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14849.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-11212, author = {Chanhui Lee and Juhyeon Kim and Yongjun Jeong and Juhyun Lyu and Junghee Kim and Sangmin Lee and Sangjun Han and Hyeokjun Choe and Soyeon Park and Woohyung Lim and Sungbin Lim and Sanghack Lee}, title = {Can We Utilize Pre-trained Language Models within Causal Discovery Algorithms?}, journal = {CoRR}, volume = {abs/2311.11212}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.11212}, doi = {10.48550/ARXIV.2311.11212}, eprinttype = {arXiv}, eprint = {2311.11212}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-11212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09576, author = {Xiangde Luo and Jia Fu and Yunxin Zhong and Shuolin Liu and Bing Han and Mehdi Astaraki and Simone Bendazzoli and Iuliana Toma{-}Dasu and Yiwen Ye and Ziyang Chen and Yong Xia and Yanzhou Su and Jin Ye and Junjun He and Zhaohu Xing and Hongqiu Wang and Lei Zhu and Kaixiang Yang and Xin Fang and Zhiwei Wang and Chan Woong Lee and Sang Joon Park and Jaehee Chun and Constantin Ulrich and Klaus H. Maier{-}Hein and Nchongmaje Ndipenoch and Alina Dana Miron and Yongmin Li and Yimeng Zhang and Yu Chen and Lu Bai and Jinlong Huang and Chengyang An and Lisheng Wang and Kaiwen Huang and Yunqi Gu and Tao Zhou and Mu Zhou and Shichuan Zhang and Wenjun Liao and Guotai Wang and Shaoting Zhang}, title = {SegRap2023: {A} Benchmark of Organs-at-Risk and Gross Tumor Volume Segmentation for Radiotherapy Planning of Nasopharyngeal Carcinoma}, journal = {CoRR}, volume = {abs/2312.09576}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09576}, doi = {10.48550/ARXIV.2312.09576}, eprinttype = {arXiv}, eprint = {2312.09576}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKNKPCCH22, author = {Jung{-}Gu Choi and Inhwan Ko and Yoonjin Nah and Bora Kim and Yongwan Park and Jihyun Cha and Jongkwan Choi and Sanghoon Han}, title = {Machine Learning Approach for Classifying College Scholastic Ability Test Levels With Unsupervised Features From Prefrontal Functional Near-Infrared Spectroscopy Signals}, journal = {{IEEE} Access}, volume = {10}, pages = {50864--50877}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3173629}, doi = {10.1109/ACCESS.2022.3173629}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKNKPCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangHHKH22, author = {Mingu Kang and Sangmin Hyun and Tae Hee Han and Jungrae Kim and Seokin Hong}, title = {On-the-Fly Lowering Engine: Offloading Data Layout Conversion for Convolutional Neural Networks}, journal = {{IEEE} Access}, volume = {10}, pages = {79730--79746}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3192618}, doi = {10.1109/ACCESS.2022.3192618}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangHHKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhKHKYH22, author = {Ju{-}Ik Oh and Jeong{-}Wook Kim and Sang Hyuck Han and Sol Kim and Jong{-}Won Yu and In{-}June Hwang}, title = {Pattern Reconfigurable Dual-Polarized Dipole Antenna With Staggered Parasitic Elements}, journal = {{IEEE} Access}, volume = {10}, pages = {93773--93784}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3202951}, doi = {10.1109/ACCESS.2022.3202951}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OhKHKYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ShinCLPPKHOHKY22, author = {Hyun Kil Shin and Hang{-}Suk Chun and Sangwoo Lee and Se{-}Myo Park and Daeui Park and Myung{-}Gyun Kang and Sungbo Hwang and Jung{-}Hwa Oh and Hyoung{-}Yun Han and Woo{-}Keun Kim and Seokjoo Yoon}, title = {ToxSTAR: drug-induced liver injury prediction tool for the web environment}, journal = {Bioinform.}, volume = {38}, number = {18}, pages = {4426--4427}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac490}, doi = {10.1093/BIOINFORMATICS/BTAC490}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ShinCLPPKHOHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/LiCLSHD22, author = {Wenhan Li and Xiaolong Chen and Junqing Li and Hongyan Sang and Yuyan Han and Shubo Du}, title = {An improved iterated greedy algorithm for distributed robotic flowshop scheduling with order constraints}, journal = {Comput. Ind. Eng.}, volume = {164}, pages = {107907}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2021.107907}, doi = {10.1016/J.CIE.2021.107907}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/LiCLSHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ZhangSLHD22, author = {Xu{-}jin Zhang and Hong{-}yan Sang and Jun{-}qing Li and Yu{-}yan Han and Peng Duan}, title = {An effective multi-AGVs dispatching method applied to matrix manufacturing workshop}, journal = {Comput. Ind. Eng.}, volume = {163}, pages = {107791}, year = {2022}, url = {https://doi.org/10.1016/j.cie.2021.107791}, doi = {10.1016/J.CIE.2021.107791}, timestamp = {Fri, 28 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ZhangSLHD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/LeeHYJKH22, author = {Sang{-}Deok Lee and In{-}Kyoung Hong and Hyung{-}Kwon Yoon and Young{-}Bin Jung and Woo{-}Young Kim and Seung{-}Won Han}, title = {Predicting greenhouse daily light integral using photosynthetic photon flux density and finite difference method}, journal = {Comput. Electron. Agric.}, volume = {202}, pages = {107417}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107417}, doi = {10.1016/J.COMPAG.2022.107417}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/LeeHYJKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/JinLCSJSWJBH22, author = {Donghyun Jin and Kyeong{-}Sang Lee and Sungwon Choi and Noh{-}Hun Seong and Daeseong Jung and Suyoung Sim and Jongho Woo and Uujin Jeon and Yugyeong Byeon and Kyung{-}Soo Han}, title = {An improvement of snow/cloud discrimination from machine learning using geostationary satellite data}, journal = {Int. J. Digit. Earth}, volume = {15}, number = {1}, pages = {2355--2375}, year = {2022}, url = {https://doi.org/10.1080/17538947.2022.2152886}, doi = {10.1080/17538947.2022.2152886}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/JinLCSJSWJBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanLPC22, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Jun Kyun Choi}, title = {Joint Subcarrier and Transmission Power Allocation in OFDMA-Based {WPT} System for Mobile-Edge Computing in IoT Environment}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {16}, pages = {15039--15052}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3103768}, doi = {10.1109/JIOT.2021.3103768}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/HanLPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanLPLC22, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Joohyung Lee and Jun Kyun Choi}, title = {A Multivariate-Time-Series-Prediction-Based Adaptive Data Transmission Period Control Algorithm for IoT Networks}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {1}, pages = {419--436}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3124673}, doi = {10.1109/JIOT.2021.3124673}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HanLPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/HongLSKKPLKKSKJ22, author = {Een{-}Kee Hong and Inkyu Lee and Byonghyo Shim and Young{-}Chai Ko and Sang{-}Hyo Kim and Sangheon Pack and Kyunghan Lee and Sunwoo Kim and Jae{-}Hyun Kim and Yoan Shin and Younghan Kim and Haejoon Jung}, title = {6G R{\&}D vision: Requirements and candidate technologies}, journal = {J. Commun. Networks}, volume = {24}, number = {2}, pages = {232--245}, year = {2022}, url = {https://doi.org/10.23919/JCN.2022.000015}, doi = {10.23919/JCN.2022.000015}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/HongLSKKPLKKSKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/KimPHKKCSCKC22, author = {Jin Tak Kim and Sangshin Park and Sangchul Han and Jinhyeon Kim and Hyogon Kim and Young{-}Ho Choi and Jaehong Seo and Sang Uk Chon and Jungyeong Kim and Jungsan Cho}, title = {Development of disaster-responding special-purpose machinery: Results of experiments}, journal = {J. Field Robotics}, volume = {39}, number = {6}, pages = {783--804}, year = {2022}, url = {https://doi.org/10.1002/rob.22078}, doi = {10.1002/ROB.22078}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/KimPHKKCSCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ImHKY22, author = {Dongseok Im and Donghyeon Han and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {A Pipelined Point Cloud Based Neural Network Processor for 3-D Vision With Large-Scale Max Pooling Layer Prediction}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {661--670}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3090864}, doi = {10.1109/JSSC.2021.3090864}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ImHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKKJKHY22, author = {Juhyoung Lee and Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Ji{-}Hoon Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {999--1012}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3138520}, doi = {10.1109/JSSC.2021.3138520}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKKJKHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/YounKSJHPRPK22, author = {Young Chul Youn and Hye Ryoun Kim and Hae Won Shin and Hae{-}Bong Jeong and Sang{-}Won Han and Jung{-}Min Pyun and Nayoung Ryoo and Young Ho Park and Sangyun Kim}, title = {Prediction of amyloid {PET} positivity via machine learning algorithms trained with EDTA-based blood amyloid-{\(\beta\)} oligomerization data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {286}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-02024-z}, doi = {10.1186/S12911-022-02024-Z}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/YounKSJHPRPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HanCKSSPKKJC22, author = {Sangchul Han and Sang Uk Chon and Jungyeong Kim and Jaehong Seo and Dong Gwan Shin and Sangshin Park and Jin Tak Kim and Jinhyeon Kim and Maolin Jin and Jungsan Cho}, title = {Snake Robot Gripper Module for Search and Rescue in Narrow Spaces}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {1667--1673}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3140812}, doi = {10.1109/LRA.2022.3140812}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HanCKSSPKKJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/JeonLJJJCPK22, author = {Hyerim Jeon and Quang Ngoc Le and Sanghun Jeong and Sujin Jang and Hoeryong Jung and Handdeut Chang and Hardik J. Pandya and Yeongjin Kim}, title = {Towards a Snake-Like Flexible Robot With Variable Stiffness Using an {SMA} Spring-Based Friction Change Mechanism}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {3}, pages = {6582--6589}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3174363}, doi = {10.1109/LRA.2022.3174363}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/JeonLJJJCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeLJSJSH22, author = {Kyeong{-}Sang Lee and Eunkyung Lee and Donghyun Jin and Noh{-}Hun Seong and Daeseong Jung and Suyoung Sim and Kyung{-}Soo Han}, title = {Retrieval and Uncertainty Analysis of Land Surface Reflectance Using a Geostationary Ocean Color Imager}, journal = {Remote. Sens.}, volume = {14}, number = {2}, pages = {360}, year = {2022}, url = {https://doi.org/10.3390/rs14020360}, doi = {10.3390/RS14020360}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeLJSJSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SeongKCJJSWKSLH22, author = {Noh{-}Hun Seong and Hyun{-}Cheol Kim and Sungwon Choi and Donghyun Jin and Daeseong Jung and Suyoung Sim and Jongho Woo and Nayeon Kim and Minji Seo and Kyeong{-}Sang Lee and Kyung{-}Soo Han}, title = {Evaluation of Sea Ice Radiative Forcing according to Surface Albedo and Skin Temperature over the Arctic from 1982-2015}, journal = {Remote. Sens.}, volume = {14}, number = {11}, pages = {2512}, year = {2022}, url = {https://doi.org/10.3390/rs14112512}, doi = {10.3390/RS14112512}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SeongKCJJSWKSLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SonLLKKKC22, author = {Young{-}Sun Son and Gilljae Lee and Bum Han Lee and Nam Hoon Kim and Sang{-}Mo Koh and Kwang{-}Eun Kim and Seong{-}Jun Cho}, title = {Application of {ASTER} Data for Differentiating Carbonate Minerals and Evaluating MgO Content of Magnesite in the Jiao-Liao-Ji Belt, North China Craton}, journal = {Remote. Sens.}, volume = {14}, number = {1}, pages = {181}, year = {2022}, url = {https://doi.org/10.3390/rs14010181}, doi = {10.3390/RS14010181}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/SonLLKKKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangLSW22, author = {Yu Wang and Han Liu and Lingling Sang and Jun Wang}, title = {Characterizing Forest Cover and Landscape Pattern Using Multi-Source Remote Sensing Data with Ensemble Learning}, journal = {Remote. Sens.}, volume = {14}, number = {21}, pages = {5470}, year = {2022}, url = {https://doi.org/10.3390/rs14215470}, doi = {10.3390/RS14215470}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangLSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotics/HanCSJLCPB22, author = {Sangchul Han and Myoung{-}Su Choi and Yong{-}Woo Shin and Ga{-}Ram Jang and Dong{-}Hyuk Lee and Jungsan Cho and Jae{-}Han Park and Ji{-}Hun Bae}, title = {Screwdriving Gripper That Mimics Human Two-Handed Assembly Tasks}, journal = {Robotics}, volume = {11}, number = {1}, pages = {18}, year = {2022}, url = {https://doi.org/10.3390/robotics11010018}, doi = {10.3390/ROBOTICS11010018}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/robotics/HanCSJLCPB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCKKHPY22, author = {Jungyeong Kim and Jung{-}San Cho and Jinhyeon Kim and Jin Tak Kim and Sang{-}Chul Han and Sangshin Park and Han{-}Ul Yoon}, title = {Spine-like Joint Link Mechanism to Design Wearable Assistive Devices}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2314}, year = {2022}, url = {https://doi.org/10.3390/s22062314}, doi = {10.3390/S22062314}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCKKHPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimSKLHP22, author = {Sehyeon Kim and Dae Youp Shin and Taekyung Kim and Sangsook Lee and Jung Keun Hyun and Sung{-}Min Park}, title = {Enhanced Recognition of Amputated Wrist and Hand Movements by Deep Learning Method Using Multimodal Fusion of Electromyography and Electroencephalography}, journal = {Sensors}, volume = {22}, number = {2}, pages = {680}, year = {2022}, url = {https://doi.org/10.3390/s22020680}, doi = {10.3390/S22020680}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimSKLHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooNSKYKMJ22, author = {Minjeong Yoo and Yuseung Na and Hamin Song and Gamin Kim and Junseong Yun and Sangho Kim and Changjoo Moon and Kichun Jo}, title = {Motion Estimation and Hand Gesture Recognition-Based Human-UAV Interaction Approach in Real Time}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2513}, year = {2022}, url = {https://doi.org/10.3390/s22072513}, doi = {10.3390/S22072513}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooNSKYKMJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/LindsayGPMASKHCIHGSGBBCGHHJJMMNPP22, author = {Alexander D. Lindsay and Derek R. Gaston and Cody J. Permann and Jason M. Miller and David Andrs and Andrew E. Slaughter and Fande Kong and Joshua Hansel and Robert W. Carlsen and Casey Icenhour and Logan H. Harbour and Guillaume L. Giudicelli and Roy H. Stogner and Peter German and Jacob C. Badger and Sudipta Biswas and Leora Chapuis and Christopher Green and Jason D. Hales and Tianchen Hu and Wen Jiang and Yeon Sang Jung and Christopher Matthews and Yinbin Miao and April Novak and John W. Peterson and Zachary M. Prince and Andrea Rovinelli and Sebastian Schunert and Daniel Schwen and Benjamin W. Spencer and Swetha Veeraraghavan and Antonio M. Recuero and Dewen Yushu and Yaqi Wang and Andy H. Wilkins and Christopher Wong}, title = {2.0 - {MOOSE:} Enabling massively parallel multiphysics simulation}, journal = {SoftwareX}, volume = {20}, pages = {101202}, year = {2022}, url = {https://doi.org/10.1016/j.softx.2022.101202}, doi = {10.1016/J.SOFTX.2022.101202}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/LindsayGPMASKHCIHGSGBBCGHHJJMMNPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/KimLKHJY22, author = {Sangyeob Kim and Juhyoung Lee and Sanghoon Kang and Donghyeon Han and Wooyoung Jo and Hoi{-}Jun Yoo}, title = {{TSUNAMI:} Triple Sparsity-Aware Ultra Energy-Efficient Neural Network Training Accelerator With Multi-Modal Iterative Pruning}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {4}, pages = {1494--1506}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2021.3138092}, doi = {10.1109/TCSI.2021.3138092}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/KimLKHJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HaKHUY22, author = {Sangwoo Ha and Sangjin Kim and Donghyeon Han and Soyeon Um and Hoi{-}Jun Yoo}, title = {A 36.2 dB High {SNR} and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented {BL} and Reference Cell Array}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {5}, pages = {2433--2437}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3159808}, doi = {10.1109/TCSII.2022.3159808}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/HaKHUY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/GandlaCKWPLSBHK22, author = {Srinivas Gandla and Hyeokju Chae and Hyuk{-}Jun Kwon and Yoochan Won and Hyeonjun Park and Sangheum Lee and Jaewoo Song and Seungho Baek and Young{-}Dae Hong and Donghan Kim and Sunkook Kim}, title = {Ultrafast Prototyping of Large-Area Stretchable Electronic Systems by Laser Ablation Technique for Controllable Robotic Arm Operations}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {4}, pages = {4245--4253}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3073355}, doi = {10.1109/TIE.2021.3073355}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/GandlaCKWPLSBHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/LeeJLCPK22, author = {Chang{-}Han Lee and Jong{-}Jin Jang and Junho Lee and Sang{-}Hyun Choi and Subin Park and Juhwang Kim}, title = {Key Considerations for Communication Satellite System Design}, booktitle = {27th Asia Pacific Conference on Communications, {APCC} 2022, Jeju Island, Republic of Korea, October 19-21, 2022}, pages = {193--194}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/APCC55198.2022.9943596}, doi = {10.1109/APCC55198.2022.9943596}, timestamp = {Tue, 22 Nov 2022 09:54:49 +0100}, biburl = {https://dblp.org/rec/conf/apcc/LeeJLCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/ChoSHKB22, author = {Esther Cho and Yong{-}Jun Shin and Sangwon Hyun and Hansu Kim and Doo{-}Hwan Bae}, title = {Automatic Generation of Metamorphic Relations for a Cyber-Physical System-of-Systems Using Genetic Algorithm}, booktitle = {29th Asia-Pacific Software Engineering Conference, {APSEC} 2022, Virtual Event, Japan, December 6-9, 2022}, pages = {209--218}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/APSEC57359.2022.00033}, doi = {10.1109/APSEC57359.2022.00033}, timestamp = {Mon, 27 Feb 2023 15:22:37 +0100}, biburl = {https://dblp.org/rec/conf/apsec/ChoSHKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/KimPHKC22, author = {Jin Tak Kim and Sangshin Park and Sangchul Han and Jinhyeon Kim and Jungsan Cho}, title = {Experimental Evaluation of Marionette Algorithm for Disaster-Responding Special-Purpose Machinery}, booktitle = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, pages = {2508--2513}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ASCC56756.2022.9828214}, doi = {10.23919/ASCC56756.2022.9828214}, timestamp = {Tue, 26 Jul 2022 14:50:43 +0200}, biburl = {https://dblp.org/rec/conf/ascc/KimPHKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/YoonLKLLJJH22, author = {Sung Soo Yoon and Sang Jun Lee and Hye Jun Kim and Myeong Hoon Lee and Yo Hwan Lim and Seogsong Jeong and Sung Soo Jung and Hyun Wook Han}, editor = {Van Hung Trong and Jongwoo Park and Vo Thi Thanh Thao and Jongbae Kim}, title = {Association of Weight Change with Risk of Primary Liver Cancer in Middle-aged Men with Chronic Liver Disease}, booktitle = {7th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2022, Danang, Vietnam, August 4-6, 2022}, pages = {327--331}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BCD54882.2022.9900517}, doi = {10.1109/BCD54882.2022.9900517}, timestamp = {Tue, 11 Oct 2022 16:48:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/YoonLKLLJJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiKIHY22, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An 0.92 mJ/frame High-quality {FHD} Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772778}, doi = {10.1109/CICC53496.2022.9772778}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/LiKIHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/SongSSHKK22, author = {Junho Song and Jiwon Son and Dong{-}hyuk Seo and Kyungsik Han and Namhyuk Kim and Sang{-}Wook Kim}, editor = {Mohammad Al Hasan and Li Xiong}, title = {{ST-GAT:} {A} Spatio-Temporal Graph Attention Network for Accurate Traffic Speed Prediction}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {4500--4504}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557705}, doi = {10.1145/3511808.3557705}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/SongSSHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/ImPRLKHLPKY22, author = {Dongseok Im and Gwangtae Park and Junha Ryu and Zhiyong Li and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {A Low-power and Real-time 3D Object Recognition Processor with Dense {RGB-D} Data Acquisition in Mobile Platforms}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2022, Tokyo, Japan, April 20-22, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COOLCHIPS54332.2022.9772667}, doi = {10.1109/COOLCHIPS54332.2022.9772667}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/ImPRLKHLPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JoungKKLK22, author = {Jang{-}Han Joung and Sang{-}Wook Kwon and Jun{-}Ho Kong and Byung{-}Seok Lee and Yong{-}Seo Koo}, title = {Study on {ESD} Protection Device Based on 4H-SiC {GGNMOS} with Improved Snapback Characteristics}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748219}, doi = {10.1109/ICEIC54506.2022.9748219}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/JoungKKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LimGLKHKJSJJ22, author = {Sehee Lim and Youngin Goh and Young Kyu Lee and Dong Han Ko and Junghyeon Hwang and Minki Kim and Yeongseok Jeong and Hunbeom Shin and Sanghun Jeon and Seong{-}Ook Jung}, title = {A Highly Integrated Crosspoint Array Using Self-rectifying {FTJ} for Dual-mode Operations: {CAM} and {PUF}}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {113--116}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911355}, doi = {10.1109/ESSCIRC55480.2022.9911355}, timestamp = {Fri, 04 Nov 2022 09:08:31 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/LimGLKHKJSJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ImPLRKHLPKY22, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {{DSPU:} {A} 281.6mW Real-Time Deep Learning-Based Dense {RGB-D} Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895605}, doi = {10.1109/HCS55958.2022.9895605}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ImPLRKHLPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LiKIHY22, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Efficient High-quality {FHD} Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895611}, doi = {10.1109/HCS55958.2022.9895611}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LiKIHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JungCRLKPP22, author = {Daeyoung Jung and Dongju Cha and Dongkyun Ryoo and Kyeongtak Lee and Junsik Kim and Soon{-}Gi Park and Sangheon Pack}, title = {Handover Performance of Split RAN-Based Vehicular Networks: {A} Simulation Study}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730374}, doi = {10.1109/ICCE53296.2022.9730374}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JungCRLKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KoYCPPH22, author = {Junseo Ko and Jeewoo Yoon and Daejin Choi and Eunil Park and Sangheon Pack and Jinyoung Han}, title = {Trafficformer: {A} Transformer-based Traffic Predictor}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730205}, doi = {10.1109/ICCE53296.2022.9730205}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KoYCPPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/KimSLCHPK22, author = {Namhyuk Kim and Junho Song and Siyoung Lee and Jaewon Choe and Kyungsik Han and Sunghwan Park and Sang{-}Wook Kim}, title = {{APOTS:} {A} Model for Adversarial Prediction of Traffic Speed}, booktitle = {38th {IEEE} International Conference on Data Engineering, {ICDE} 2022, Kuala Lumpur, Malaysia, May 9-12, 2022}, pages = {3353--3359}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDE53745.2022.00316}, doi = {10.1109/ICDE53745.2022.00316}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/KimSLCHPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/JoJYLJFHKSJP22, author = {SeongHyeon Jo and Jun Ha Jung and Min Jin Yang and Yechan Lee and Sang Jin Jang and Jirou Feng and Si{-}Hwan Heo and Jung Kim and Joon{-}Ho Shin and Jaesung Jeong and Hyung{-}Soon Park}, title = {{EEG-EMG} hybrid real-time classification of hand grasp and release movements intention in chronic stroke patients}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2022, Rotterdam, Netherlands, July 25-29, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICORR55369.2022.9896592}, doi = {10.1109/ICORR55369.2022.9896592}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icorr/JoJYLJFHKSJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungBHCL22, author = {Yong{-}An Jung and Sang{-}Bong Byun and Dong{-}Cheul Han and Soo{-}Hyun Cho and Sung{-}Hun Lee}, title = {Sampling Frequency Offset Estimation Scheme for {CP-OFDM} based {NR} Sidelink System}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {705--707}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952475}, doi = {10.1109/ICTC55196.2022.9952475}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungBHCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/Lee0KJCCKP22, author = {Seunghyun Lee and Jaewook Lee and Taeyun Kim and Daeyoung Jung and Inho Cha and Dongju Cha and Haneul Ko and Sangheon Pack}, title = {Design and Implementation of Network Data Analytics Function in 5G}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {757--759}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952559}, doi = {10.1109/ICTC55196.2022.9952559}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/Lee0KJCCKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeBSHCJ22, author = {Sung{-}Hun Lee and Sang{-}Bong Byun and Han{-}Jae Shin and Dong{-}Cheul Han and Soo{-}Hyun Cho and Yong{-}An Jung}, title = {An Improved Relay Selection Scheme for {FD-MIMO} based System}, booktitle = {Thirteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2022, Barcelona, Spain, July 5-8, 2022}, pages = {408--410}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICUFN55119.2022.9829612}, doi = {10.1109/ICUFN55119.2022.9829612}, timestamp = {Tue, 26 Jul 2022 15:58:36 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeBSHCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimKNHKKCGSLK22, author = {Goo{-}Young Kim and Donghun Kim and Sang Do Noh and Hong Ku Han and Nam Geun Kim and Yong{-}Shin Kang and Seung Hyun Choi and Dong Hyun Go and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Duck Young Kim and Gregor von Cieminski and David Romero}, title = {Human Digital Twin System for Operator Safety and Work Management}, booktitle = {Advances in Production Management Systems. Smart Manufacturing and Logistics Systems: Turning Ideas into Action - {IFIP} {WG} 5.7 International Conference, {APMS} 2022, Gyeongju, South Korea, September 25-29, 2022, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {664}, pages = {529--536}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16411-8\_61}, doi = {10.1007/978-3-031-16411-8\_61}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimKNHKKCGSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellisys/ParkKVSJJ22, author = {Woo Young Park and Sang Hyun Kim and Duy{-}Son Vu and Chang Han Song and Hee Soo Jung and Hyeon Jo}, editor = {Kohei Arai}, title = {Intrusion Detection System for Industrial Network}, booktitle = {Intelligent Systems and Applications - Proceedings of the 2022 Intelligent Systems Conference, IntelliSys 2022, Amsterdam, The Netherlands, 1-2 September, 2022, Volume 3}, series = {Lecture Notes in Networks and Systems}, volume = {544}, pages = {646--658}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16075-2\_48}, doi = {10.1007/978-3-031-16075-2\_48}, timestamp = {Fri, 02 Sep 2022 08:34:15 +0200}, biburl = {https://dblp.org/rec/conf/intellisys/ParkKVSJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKSJYPKKCKPS22, author = {Hyeokjae Lee and Sanggi Ko and Ho{-}Joon Suh and Gina Jeong and Jung{-}Han Yeo and Hye{-}Min Park and Hee{-}Kyeong Kim and Jong{-}Kwan Kim and Sung S. Chung and Youngboo Kim and Jisun Park and Hyungsoon Shin}, title = {Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound {HBT} for RF, Mobile, and Automotive Applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764410}, doi = {10.1109/IRPS48227.2022.9764410}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKSJYPKKCKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BangKLJCPJKNBHL22, author = {Jun{-}Suk Bang and Dong{-}Su Kim and Jeongkwang Lee and Sung{-}Youb Jung and Young{-}Hwan Choo and Seungchan Park and Young{-}Ho Jung and Jae{-}Young Ko and Takahiro Norniyama and Jongbeom Baek and Jae{-}Yeol Han and Sang{-}Han Lee and Ik{-}Hwan Kim and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {2-Tx Digital Envelope-Tracking Supply Modulator Achieving 200MHz Channel Bandwidth and 93.6{\%} Efficiency for 2G/3G/LTE/NR {RF} Power Amplifiers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731655}, doi = {10.1109/ISSCC42614.2022.9731655}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BangKLJCPJKNBHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImPLRKHLY22, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {{DSPU:} {A} 281.6mW Real-Time Depth Signal Processing Unit for Deep Learning-Based Dense {RGB-D} Data Acquisition with Depth Fusion and 3D Bounding Box Extraction in Mobile Platforms}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {510--512}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731699}, doi = {10.1109/ISSCC42614.2022.9731699}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ImPLRKHLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKHPHOHLHL22, author = {Jaehong Jung and Seungjin Kim and Wonkang Kim and Jae{-}Yeol Han and Euiyoung Park and Seongwook Hwang and Seunghyun Oh and Sangwook Han and Kyungsoo Lee and Junho Huh and Jongwoo Lee}, title = {A 52MHz -158.2dBc/Hz {PN} @ 100kHz Digitally Controlled Crystal Oscillator Utilizing a Capacitive-Load-Dependent Dynamic Feedback Resistor in 28nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {60--62}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731592}, doi = {10.1109/ISSCC42614.2022.9731592}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKHPHOHLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YunH0KKSBPL22, author = {Seok{-}Jun Yun and Young{-}Tak Han and Dong{-}Hoon Lee and Seok{-}Tae Kim and Min{-}Jun Kwak and Jang{-}Uk Shin and Yongsoon Baek and Sang{-}Ho Park and Seo{-}Young Lee}, title = {Realization of {EML} Submodule for 100-Gbaud Operation Using {LC} Resonance with Optimization of Load Resistance}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748860}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YunH0KKSBPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sai/ParkKVSJJ22, author = {Woo Young Park and Sang Hyun Kim and Duy{-}Son Vu and Chang Han Song and Hee Soo Jung and Hyeon Jo}, editor = {Kohei Arai}, title = {An Advanced Algorithm for Email Classification by Using {SMTP} Code}, booktitle = {Intelligent Computing - Proceedings of the 2022 Computing Conference, Volume 3, {SAI} 2022, Virtual Event, 14-15 July 2022}, series = {Lecture Notes in Networks and Systems}, volume = {508}, pages = {756--775}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-10467-1\_46}, doi = {10.1007/978-3-031-10467-1\_46}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sai/ParkKVSJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sai/ParkKVSJJ22a, author = {Woo Young Park and Sang Hyun Kim and Duy{-}Son Vu and Chang Han Song and Hee Soo Jung and Hyeon Jo}, editor = {Kohei Arai}, title = {A Security System for National Network}, booktitle = {Intelligent Computing - Proceedings of the 2022 Computing Conference, Volume 3, {SAI} 2022, Virtual Event, 14-15 July 2022}, series = {Lecture Notes in Networks and Systems}, volume = {508}, pages = {789--803}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-10467-1\_48}, doi = {10.1007/978-3-031-10467-1\_48}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sai/ParkKVSJJ22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkLLSSLLCOKJ22, author = {Jung{-}Hun Park and Kwang{-}Hoon Lee and Yongjae Lee and Jung{-}Woo Sull and Yoonho Song and Sanghee Lee and Hyeonseok Lee and Hoyeon Cho and Jonghyun Oh and Han{-}Gon Ko and Deog{-}Kyoon Jeong}, title = {A 68.7-fJ/b/mm 375-GB/s/mm Single-Ended {PAM-4} Interface with Per-Pin Training Sequence for the Next-Generation {HBM} Controller}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {150--151}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830454}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830454}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkLLSSLLCOKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/SongHK22, author = {Junho Song and Kyungsik Han and Sang{-}Wook Kim}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {"I Have No Text in My Post": Using Visual Hints to Model User Emotions in Social Media}, booktitle = {{WWW} '22: The {ACM} Web Conference 2022, Virtual Event, Lyon, France, April 25 - 29, 2022}, pages = {2888--2896}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3485447.3512009}, doi = {10.1145/3485447.3512009}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/SongHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07272, author = {Leslie Ching Ow Tiong and Hyuk Jun Yoo and Na Yeon Kim and Kwan{-}Young Lee and Sang{-}Soo Han and Donghun Kim}, title = {Machine vision for vial positioning detection toward the safe automation of material synthesis}, journal = {CoRR}, volume = {abs/2206.07272}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07272}, doi = {10.48550/ARXIV.2206.07272}, eprinttype = {arXiv}, eprint = {2206.07272}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07272.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-01581, author = {Jeong{-}Jae Kim and Yeseul Jeon and Sumin Yu and Jung{-}Gu Choi and Sanghoon Han}, title = {Interpretable Fusion Analytics Framework for fMRI Connectivity: Self-Attention Mechanism and Latent Space Item-Response Model}, journal = {CoRR}, volume = {abs/2207.01581}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.01581}, doi = {10.48550/ARXIV.2207.01581}, eprinttype = {arXiv}, eprint = {2207.01581}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-01581.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-03412, author = {Yinpeng Dong and Peng Chen and Senyou Deng and Lianji L and Yi Sun and Hanyu Zhao and Jiaxing Li and Yunteng Tan and Xinyu Liu and Yangyi Dong and Enhui Xu and Jincai Xu and Shu Xu and Xuelin Fu and Changfeng Sun and Haoliang Han and Xuchong Zhang and Shen Chen and Zhimin Sun and Junyi Cao and Taiping Yao and Shouhong Ding and Yu Wu and Jian Lin and Tianpeng Wu and Ye Wang and Yu Fu and Lin Feng and Kangkang Gao and Zeyu Liu and Yuanzhe Pang and Chengqi Duan and Huipeng Zhou and Yajie Wang and Yuhang Zhao and Shangbo Wu and Haoran Lyu and Zhiyu Lin and Yifei Gao and Shuang Li and Haonan Wang and Jitao Sang and Chen Ma and Junhao Zheng and Yijia Li and Chao Shen and Chenhao Lin and Zhichao Cui and Guoshuai Liu and Huafeng Shi and Kun Hu and Mengxin Zhang}, title = {Artificial Intelligence Security Competition {(AISC)}}, journal = {CoRR}, volume = {abs/2212.03412}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.03412}, doi = {10.48550/ARXIV.2212.03412}, eprinttype = {arXiv}, eprint = {2212.03412}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-03412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-03760, author = {Kyuyong Shin and Hanock Kwak and Wonjae Kim and Jisu Jeong and Seungjae Jung and Kyung{-}Min Kim and Jung{-}Woo Ha and Sang{-}Woo Lee}, title = {Pivotal Role of Language Modeling in Recommender Systems: Enriching Task-specific and Task-agnostic Representation Learning}, journal = {CoRR}, volume = {abs/2212.03760}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.03760}, doi = {10.48550/ARXIV.2212.03760}, eprinttype = {arXiv}, eprint = {2212.03760}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-03760.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-08311, author = {Sangyeop Yeo and Yoojin Jang and Jy{-}yong Sohn and Dongyoon Han and Jaejun Yoo}, title = {Can We Find Strong Lottery Tickets in Generative Models?}, journal = {CoRR}, volume = {abs/2212.08311}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.08311}, doi = {10.48550/ARXIV.2212.08311}, eprinttype = {arXiv}, eprint = {2212.08311}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-08311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10035, author = {Yeonwoo Jeong and Chanyoung Jeoung and Hosan Jeong and Sangyoon Han and Juntae Kim}, title = {Efficient Liquidity Providing via Margin Liquidity}, journal = {CoRR}, volume = {abs/2212.10035}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10035}, doi = {10.48550/ARXIV.2212.10035}, eprinttype = {arXiv}, eprint = {2212.10035}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoYHHC21, author = {Junho Cho and Sangdoo Yun and Dongyoon Han and Byeongho Heo and Jin Young Choi}, title = {Detecting and Removing Text in the Wild}, journal = {{IEEE} Access}, volume = {9}, pages = {123313--123323}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3110293}, doi = {10.1109/ACCESS.2021.3110293}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoYHHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKH21, author = {Jung{-}Gu Choi and Inhwan Ko and Sanghoon Han}, title = {Depression Level Classification Using Machine Learning Classifiers Based on Actigraphy Data}, journal = {{IEEE} Access}, volume = {9}, pages = {116622--116646}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3105393}, doi = {10.1109/ACCESS.2021.3105393}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKKJH21, author = {Jung{-}Gu Choi and Inhwan Ko and Jeong{-}Jae Kim and Yeseul Jeon and Sanghoon Han}, title = {Machine Learning Framework for Multi-Level Classification of Company Revenue}, journal = {{IEEE} Access}, volume = {9}, pages = {96739--96750}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3088874}, doi = {10.1109/ACCESS.2021.3088874}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKKJH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiNKH21, author = {Jung{-}Gu Choi and Yoonjin Nah and Inhwan Ko and Sanghoon Han}, title = {Deep Learning Approach to Generate a Synthetic Cognitive Psychology Behavioral Dataset}, journal = {{IEEE} Access}, volume = {9}, pages = {142489--142505}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3120083}, doi = {10.1109/ACCESS.2021.3120083}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiNKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongHLEYCMAOS21, author = {Sojin Jeong and Sangwoo Han and Ho{-}Jun Lee and Deokjoon Eom and Gisu Youm and Yejoo Choi and Seungjun Moon and Kyungjin Ahn and Jinju Oh and Changhwan Shin}, title = {Abruptly-Switching MoS{\unicode{8322}}-Channel Atomic-Threshold-Switching Field-Effect Transistor With AgTi/HfO{\unicode{8322}}-Based Threshold Switching Device}, journal = {{IEEE} Access}, volume = {9}, pages = {116953--116961}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3106331}, doi = {10.1109/ACCESS.2021.3106331}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongHLEYCMAOS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenRRCJ21, author = {Anh Tuan Nguyen and Sang{-}Wook Ryu and Abd Ur Rehman and Han Ho Choi and Jin{-}Woo Jung}, title = {Improved Continuous Control Set Model Predictive Control for Three-Phase {CVCF} Inverters: Fuzzy Logic Approach}, journal = {{IEEE} Access}, volume = {9}, pages = {75158--75168}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3081718}, doi = {10.1109/ACCESS.2021.3081718}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NguyenRRCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RyuKRCJ21, author = {Sang{-}Wook Ryu and Jinuk Kim and Abd Ur Rehman and Han Ho Choi and Jin{-}Woo Jung}, title = {A Comparative Study on Reduced-Order Disturbance Observer-Based Optimal Control Strategies for Surface-Mounted {PMSM} Drives}, journal = {{IEEE} Access}, volume = {9}, pages = {122983--122994}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3110259}, doi = {10.1109/ACCESS.2021.3110259}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RyuKRCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/JungHSL21, author = {H. I. Jung and Seungyong Han and Satnesh Singh and Sangmoon Lee}, title = {Polynomially parameter dependent exponential stabilization of sampled-data {LPV} systems}, journal = {Appl. Math. Comput.}, volume = {411}, pages = {126473}, year = {2021}, url = {https://doi.org/10.1016/j.amc.2021.126473}, doi = {10.1016/J.AMC.2021.126473}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/JungHSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cem/ShinKCCHC21, author = {Younghwan Shin and Sangdo Kim and Jong{-}Moon Chung and Hyun Soo Chung and Sang Gil Han and Junho Cho}, title = {Emergency Department Return Prediction System Using Blood Samples With LightGBM for Smart Health Care Services}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {10}, number = {3}, pages = {42--48}, year = {2021}, url = {https://doi.org/10.1109/MCE.2020.3015439}, doi = {10.1109/MCE.2020.3015439}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cem/ShinKCCHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/QiuQLMMCZ21, author = {Han Qiu and Junyu Qi and Sangchul Lee and Glenn E. Moglen and Gregory W. McCarty and Min Chen and Xuesong Zhang}, title = {Effects of temporal resolution of river routing on hydrologic modeling and aquatic ecosystem health assessment with the {SWAT} model}, journal = {Environ. Model. Softw.}, volume = {146}, pages = {105232}, year = {2021}, url = {https://doi.org/10.1016/j.envsoft.2021.105232}, doi = {10.1016/J.ENVSOFT.2021.105232}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/QiuQLMMCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/KangPKKHY21, author = {Sanghoon Kang and Gwangtae Park and Sangjin Kim and Soyeon Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {11}, number = {4}, pages = {634--648}, year = {2021}, url = {https://doi.org/10.1109/JETCAS.2021.3120417}, doi = {10.1109/JETCAS.2021.3120417}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/KangPKKHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/LiLZSHC21, author = {Junqing Li and Jiake Li and Lijing Zhang and Hongyan Sang and Yuyan Han and Qingda Chen}, title = {Solving Type-2 Fuzzy Distributed Hybrid Flowshop Scheduling Using an Improved Brain Storm Optimization Algorithm}, journal = {Int. J. Fuzzy Syst.}, volume = {23}, number = {4}, pages = {1194--1212}, year = {2021}, url = {https://doi.org/10.1007/s40815-021-01050-9}, doi = {10.1007/S40815-021-01050-9}, timestamp = {Fri, 25 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/LiLZSHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/GuterresPZI21, author = {Hugo Guterres and Sang{-}Jun Park and Han Zhang and Wonpil Im}, title = {{CHARMM-GUI} {LBS} Finder {\&} Refiner for Ligand Binding Site Prediction and Refinement}, journal = {J. Chem. Inf. Model.}, volume = {61}, number = {8}, pages = {3744--3751}, year = {2021}, url = {https://doi.org/10.1021/acs.jcim.1c00561}, doi = {10.1021/ACS.JCIM.1C00561}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/GuterresPZI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/KimHJJLLK21, author = {Sangkwon Kim and Hyunsoo Han and Hanbyeol Jang and Darae Jeong and Chaeyoung Lee and Wonjin Lee and Junseok Kim}, title = {Reconstruction of the local volatility function using the Black-Scholes model}, journal = {J. Comput. Sci.}, volume = {51}, pages = {101341}, year = {2021}, url = {https://doi.org/10.1016/j.jocs.2021.101341}, doi = {10.1016/J.JOCS.2021.101341}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocs/KimHJJLLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangHLIKKRY21, author = {Sanghoon Kang and Donghyeon Han and Juhyoung Lee and Dongseok Im and Sangyeob Kim and Soyeon Kim and Junha Ryu and Hoi{-}Jun Yoo}, title = {{GANPU:} An Energy-Efficient Multi-DNN Training Processor for GANs With Speculative Dual-Sparsity Exploitation}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2845--2857}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3066572}, doi = {10.1109/JSSC.2021.3066572}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangHLIKKRY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKHKKY21, author = {Soyeon Kim and Sanghoon Kang and Donghyeon Han and Sangjin Kim and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {An Energy-Efficient {GAN} Accelerator With On-Chip Training for Domain-Specific Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {10}, pages = {2968--2980}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3094469}, doi = {10.1109/JSSC.2021.3094469}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKHKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimHCSB21, author = {Ji Hoon Kim and Sang Gil Han and Ara Cho and Hye Jung Shin and Song{-}Ee Baek}, title = {Effect of deep learning-based assistive technology use on chest radiograph interpretation by emergency department physicians: a prospective interventional simulation-based study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {311}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01679-4}, doi = {10.1186/S12911-021-01679-4}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimHCSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/CarbonDGUHMBCDH21, author = {Seth Carbon and Eric Douglass and Benjamin M. Good and Deepak R. Unni and Nomi L. Harris and Christopher J. Mungall and Siddartha Basu and Rex L. Chisholm and Robert J. Dodson and Eric Hartline and Petra Fey and Paul D. Thomas and Laurent{-}Philippe Albou and Dustin Ebert and Michael J. Kesling and Huaiyu Mi and Anushya Muruganujan and Xiaosong Huang and Tremayne Mushayahama and Sandra A. LaBonte and Deborah A. Siegele and Giulia Antonazzo and Helen Attrill and Nick H. Brown and Phani V. Garapati and Steven J. Marygold and Vitor Trovisco and Gilberto dos Santos and Kathleen Falls and Christopher J. Tabone and Pinglei Zhou and Joshua L. Goodman and Victor B. Strelets and Jim Thurmond and Penelope Garmiri and Rizwan Ishtiaq and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Marcio Luis Acencio and Martin Kuiper and Astrid L{\ae}greid and Colin Logie and Ruth C. Lovering and Barbara Kramarz and Shirin C. C. Saverimuttu and Sandra M. Pinheiro and Heather Gunn and Renzhi Su and Katherine E. Thurlow and Marcus C. Chibucos and Michelle G. Giglio and Suvarna Nadendla and James B. Munro and Rebecca C. Jackson and Margaret J. Duesbury and Noemi del{-}Toro and Birgit H. M. Meldal and Kalpana Paneerselvam and Livia Perfetto and Pablo Porras and Sandra E. Orchard and Anjali Shrivastava and Hsin{-}Yu Chang and Robert D. Finn and Alex L. Mitchell and Neil D. Rawlings and Lorna J. Richardson and Amaia Sangrador{-}Vegas and Judith A. Blake and Karen R. Christie and Mary E. Dolan and Harold J. Drabkin and David P. Hill and Li Ni and Dmitry M. Sitnikov and Midori A. Harris and Stephen G. Oliver and Kim Rutherford and Valerie Wood and Jaqueline Hayles and J{\"{u}}rg B{\"{a}}hler and Elizabeth R. Bolton and Jeffrey DePons and Melinda R. Dwinell and G. Thomas Hayman and Mary L. Kaldunski and Anne E. Kwitek and Stanley J. F. Laulederkind and Cody Plasterer and Marek Tutaj and Mahima Vedi and Shur{-}Jen Wang and Peter D'Eustachio and Lisa Matthews and James P. Balhoff and Suzi A. Aleksander and Michael J. Alexander and J. Michael Cherry and Stacia R. Engel and Felix Gondwe and Kalpana Karra and Stuart R. Miyasato and Robert S. Nash and Matt Simison and Marek S. Skrzypek and Shuai Weng and Edith D. Wong and Marc Feuermann and Pascale Gaudet and Anne Morgat and Erica Bakker and Tanya Z. Berardini and Leonore Reiser and Shabari Subramaniam and Eva Huala and Cecilia N. Arighi and Andrea H. Auchincloss and Kristian B. Axelsen and Ghislaine Argoud{-}Puy and Alex Bateman and Marie{-}Claude Blatter and Emmanuel Boutet and Emily Bowler and Lionel Breuza and Alan J. Bridge and Ramona Britto and Hema Bye{-}A{-}Jee and Cristina Casals{-}Casas and Elisabeth Coudert and Paul Denny and Anne Estreicher and Maria Livia Famiglietti and George E. Georghiou and Arnaud Gos and Nadine Gruaz{-}Gumowski and Emma Hatton{-}Ellis and Chantal Hulo and Alexandr Ignatchenko and Florence Jungo and Kati Laiho and Philippe Le Mercier and Damien Lieberherr and Antonia Lock and Yvonne Lussi and Alistair MacDougall and Michele Magrane and Maria Jesus Martin and Patrick Masson and Darren A. Natale and Nevila Hyka{-}Nouspikel and Ivo Pedruzzi and Lucille Pourcel and Sylvain Poux and Sangya Pundir and Catherine Rivoire and Elena Speretta and Shyamala Sundaram and Nidhi Tyagi and Kate Warner and Rossana Zaru and Cathy H. Wu and Alexander D. Diehl and Juancarlos Chan and Christian A. Grove and Raymond Y. N. Lee and Hans{-}Michael M{\"{u}}ller and Daniela Raciti and Kimberly Van Auken and Paul W. Sternberg and Matthew Berriman and Michael Paulini and Kevin L. Howe and Sibyl Gao and Adam Wright and Lincoln Stein and Douglas G. Howe and Sabrina Toro and Monte Westerfield and Pankaj Jaiswal and Laurel Cooper and Justin Elser}, title = {The Gene Ontology resource: enriching a GOld mine}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D325--D334}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1113}, doi = {10.1093/NAR/GKAA1113}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/CarbonDGUHMBCDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChoiJHKCLCJLKLL21, author = {Ahyoung Choi and Insu Jang and Heewon Han and Minseo Kim and Jinhyuk Choi and Jieun Lee and Sung{-}Yup Cho and Yukyung Jun and Charles Lee and Jaesang Kim and Byungwook Lee and Sanghyuk Lee}, title = {iCSDB: an integrated database of {CRISPR} screens}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D956--D961}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa989}, doi = {10.1093/NAR/GKAA989}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/ChoiJHKCLCJLKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueB0ZXHZLZCSMZ21, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Shuhui Song and Lina Ma and Dong Zou and Dongmei Tian and Cuiping Li and Junwei Zhu and Zheng Gong and Meili Chen and Anke Wang and Yingke Ma and Mengwei Li and Xufei Teng and Ying Cui and Guangya Duan and Mochen Zhang and Tong Jin and Chengmin Shi and Zhenglin Du and Yadong Zhang and Chuandong Liu and Rujiao Li and Jingyao Zeng and Lili Hao and Shuai Jiang and Hua Chen and Dali Han and Tao Zhang and Wang Kang and Fei Yang and Jing Qu and Weiqi Zhang and Guanghui Liu and Lin Liu and Yang Zhang and Guangyi Niu and Tongtong Zhu and Changrui Feng and Xiaonan Liu and Yuansheng Zhang and Zhao Li and Ruru Chen and Qianpeng Li and Zhongyi Hua and Chao Jiang and Ziyuan Chen and Fangshu He and Yuyang Zhao and Yan Jin and Luqi Huang and Yuan Yuan and Chenfen Zhou and Qingwei Xu and Sheng He and Wei Ye and Ruifang Cao and Pengyu Wang and Yunchao Ling and Xing Yan and Qingzhong Wang and Qiang Du and Wenting Zong and Hongen Kang and Zhuang Xiong and Wendi Huan and Sirui Zhang and Qiguang Xia and Xiaojuan Fan and Zefeng Wang and Xu Chen and Tingting Chen and Sisi Zhang and Bixia Tang and Lili Dong and Zhewen Zhang and Zhonghuang Wang and Hailong Kang and Yanqing Wang and Song Wu and Ming Chen and Chang Liu and Yujia Xiong and Xueying Shao and Yanyan Li and Honghong Zhou and Xiaomin Chen and Yu Zheng and Quan Kang and Di Hao and Lili Zhang and Huaxia Luo and Yajing Hao and Peng Zhang and Zhi Nie and Shuhuan Yu and Jian Sang and Zhaohua Li and Xiangquan Zhang and Qing Zhou and Shuang Zhai and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Jun Yan and Chen Li and Zhennan Wang and Xiangfeng Wang and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Hai{-}Chun Jing and Lianhe Zhao and Jiajia Wang and Tinrui Song and Yi Zhao and Furrukh Mehmood and Shahid Ali and Amjad Ali and Shoaib Saleem and Irfan Hussain and Amir Ali Abbasi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Yiran Tu and Yu Xue and Wanying Wu and Peifeng Ji and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Wanshan Ning and Shaofeng Lin and Teng Liu and An{-}Yuan Guo and Hao Yuan and Yong E. Zhang and Xiaodan Tan and Weizhi Zhang and Yubin Xie and Chenwei Wang and Chun{-}Jie Liu and De{-}Chang Yang and Feng Tian and Ge Gao and Dachao Tang and Lan Yao and Qinghua Cui and Ni A. An and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D18--D28}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1022}, doi = {10.1093/NAR/GKAA1022}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueB0ZXHZLZCSMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HanPKKNMHKB21, author = {Min{-}Jun Han and Chan{-}Ung Park and Sangyun Kang and Byounghoon Kim and Aki Nikolaidis and Michael P. Milham and Seok{-}Jun Hong and Seong{-}Gi Kim and Eunha Baeg}, title = {Mapping functional gradients of the striatal circuit using simultaneous microelectric stimulation and ultrahigh-field fMRI in non-human primates}, journal = {NeuroImage}, volume = {236}, pages = {118077}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118077}, doi = {10.1016/J.NEUROIMAGE.2021.118077}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HanPKKNMHKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HuiMZAABBBBBBBB21, author = {Steve C. N. Hui and Mark Mikkelsen and Helge J. Z{\"{o}}llner and Vishwadeep Ahluwalia and Sarael Alcauter and Laima Baltusis and Deborah A. Barany and Laura R. Barlow and Robert Becker and Jeffrey I. Berman and Adam Berrington and Pallab K. Bhattacharyya and Jakob Udby Blicher and Wolfgang Bogner and Mark S. Brown and Vince D. Calhoun and Ryan Castillo and Kim M. Cecil and Richard A. E. Edden and Yeo Bi Choi and Winnie C. W. Chu and William T. Clarke and Alexander R. Craven and Koen Cuypers and Michael Dacko and Camilo de la Fuente{-}Sandoval and Patricia Desmond and Aleksandra Domagalik and Julien Dumont and Niall W. Duncan and Ulrike Dydak and Katherine Dyke and David A. Edmondson and Gabriele Ende and Lars Ersland and C. John Evans and Alan S. R. Fermin and Antonio Ferretti and Ariane Fillmer and Tao Gong and Ian Greenhouse and James T. Grist and Meng Gu and Ashley D. Harris and Katarzyna Hat and Stefanie Heba and Eva Heckova and John P. Hegarty and Kirstin{-}Friederike Heise and Shiori Honda and Aaron Jacobson and Jacobus F. A. Jansen and Christopher W. Jenkins and Stephen J. Johnston and Christoph Juchem and Alayar Kangarlu and Adam B. Kerr and Karl Landheer and Thomas Lange and Phil Lee and Swati Rane Levendovszky and Catherine Limperopoulos and Feng Liu and William Lloyd and David J. Lythgoe and Maro G. Machizawa and Erin L. MacMillan and Richard J. Maddock and Andrei V. Manzhurtsev and Mar{\'{\i}}a L. Martinez{-}Gudino and Jack J. Miller and Heline Mirzakhanian and Marta Moreno{-}Ortega and Paul G. Mullins and Shinichiro Nakajima and Jamie Near and Ralph Noeske and Wibeke Nordh{\o}y and Georg Oeltzschner and Raul Osorio{-}Duran and Mar{\'{\i}}a Concepci{\'{o}}n Garc{\'{\i}}a Otaduy and Erick H. Pasaye and Ronald Peeters and Scott J. Peltier and Ulrich Pilatus and Nenad Polomac and Eric C. Porges and Subechhya Pradhan and James Joseph Prisciandaro and Nicolaas A. Puts and Caroline D. Rae and Francisco Reyes{-}Madrigal and Timothy P. L. Roberts and Caroline E. Robertson and Jens T. Rosenberg and Diana{-}Georgiana Rotaru and Ruth L. O'Gorman Tuura and Muhammad G. Saleh and Kristian Sandberg and Ryan Sangill and Keith Schembri and Anouk Schrantee and Natalia A. Semenova and Debra Singel and Rouslan Sitnikov and Jolinda Smith and Yulu Song and Craig E. L. Stark and Diederick Stoffers and Stephan P. Swinnen and Rongwen Tain and Costin Tanase and Sofie Tapper and Martin Tegenthoff and Thomas Thiel and Marc Thioux and Peter Truong and Pim van Dijk and Nolan Vella and Rishma Vidyasagar and Andrej Vovk and Guangbin Wang and Lars T. Westlye and Timothy K. Wilbur and William R. Willoughby and Martin Wilson and Hans{-}J{\"{o}}rg Wittsack and Adam J. Woods and Yen{-}Chien Wu and Junqian Xu and Maria Yanez Lopez and David Ka Wai Yeung and Qun Zhao and Xiaopeng Zhou and Gasper Zupan}, title = {Frequency drift in {MR} spectroscopy at 3T}, journal = {NeuroImage}, volume = {241}, pages = {118430}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118430}, doi = {10.1016/J.NEUROIMAGE.2021.118430}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HuiMZAABBBBBBBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/EomPKJPHH21, author = {Junsik Eom and In Yong Park and Sewon Kim and Hanbyol Jang and Sanggeon Park and Yeowool Huh and Dosik Hwang}, title = {Deep-learned spike representations and sorting via an ensemble of auto-encoders}, journal = {Neural Networks}, volume = {134}, pages = {131--142}, year = {2021}, url = {https://doi.org/10.1016/j.neunet.2020.11.009}, doi = {10.1016/J.NEUNET.2020.11.009}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/EomPKJPHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/NomuraIOIKOHH21, author = {Akiyo Nomura and Megumi Ito and Atsuya Okazaki and Masatoshi Ishii and SangBum Kim and Junka Okazawa and Kohji Hosokawa and Wilfried Haensch}, title = {Analysis of Effect of Weight Variation on {SNN} Chip with PCM-Refresh Method}, journal = {Neural Process. Lett.}, volume = {53}, number = {3}, pages = {1741--1751}, year = {2021}, url = {https://doi.org/10.1007/s11063-019-10139-0}, doi = {10.1007/S11063-019-10139-0}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/NomuraIOIKOHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/phycomm/PengLHJHP21, author = {Yuyang Peng and Jun Li and Han Hai and Xueqin Jiang and Fawaz AL{-}Hazemi and Sangdon Park}, title = {Cost optimization of distributed data centers via computing workload distribution for next generation network systems}, journal = {Phys. Commun.}, volume = {46}, pages = {101340}, year = {2021}, url = {https://doi.org/10.1016/j.phycom.2021.101340}, doi = {10.1016/J.PHYCOM.2021.101340}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/phycomm/PengLHJHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChoeHYHOS21, author = {Junsuk Choe and Dongyoon Han and Sangdoo Yun and Jung{-}Woo Ha and Seong Joon Oh and Hyunjung Shim}, title = {Region-based dropout with attention prior for weakly supervised object localization}, journal = {Pattern Recognit.}, volume = {116}, pages = {107949}, year = {2021}, url = {https://doi.org/10.1016/j.patcog.2021.107949}, doi = {10.1016/J.PATCOG.2021.107949}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/ChoeHYHOS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/LeeCLHJMK21, author = {Donghwa Lee and Young{-}Wook Cho and Hyang{-}Tag Lim and Sang{-}Wook Han and Hojoong Jung and Sung Moon and Yong{-}Su Kim}, title = {Characterizing Bell state analyzer using weak coherent pulses}, journal = {Quantum Inf. Process.}, volume = {20}, number = {4}, pages = {149}, year = {2021}, url = {https://doi.org/10.1007/s11128-021-03092-2}, doi = {10.1007/S11128-021-03092-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/LeeCLHJMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHJL21, author = {Piljoong Kim and Sanghyun Han and Yunho Jung and Seongjoo Lee}, title = {A {PAPR} Reduction Technique for Fast Touch Sensors Adopting a Multiple Frequency Driving Method on Large Display Panels}, journal = {Sensors}, volume = {21}, number = {2}, pages = {429}, year = {2021}, url = {https://doi.org/10.3390/s21020429}, doi = {10.3390/S21020429}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYBKHKLJC21, author = {Jaehoon Kim and Junghyo Yoon and Jae{-}Yeong Byun and Hyunho Kim and Sewoon Han and Junghyun Kim and Jeong Hoon Lee and Han{-}Sang Jo and Seok Chung}, title = {Nano-Interstice Driven Powerless Blood Plasma Extraction in a Membrane Filter Integrated Microfluidic Device}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1366}, year = {2021}, url = {https://doi.org/10.3390/s21041366}, doi = {10.3390/S21041366}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYBKHKLJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLPS21, author = {Young{-}Jun Park and Un{-}Na Lim and Sangwoo Park and Jae{-}Han Shin}, title = {Effect of Brain and Pulse Waves on Safety Consciousness and Safety Commitment of Workers at Construction Sites}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2753}, year = {2021}, url = {https://doi.org/10.3390/s21082753}, doi = {10.3390/S21082753}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkLPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HanCKBKA21, author = {Ju{-}Hye Han and Kang{-}Il Cho and Ho{-}Jin Kim and Jun{-}Ho Boo and Jae Sang Kim and Gil{-}Cho Ahn}, title = {A 96dB Dynamic Range 2kHz Bandwidth 2nd Order Delta-Sigma Modulator Using Modified Feed-Forward Architecture With Delayed Feedback}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {5}, pages = {1645--1649}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3066628}, doi = {10.1109/TCSII.2021.3066628}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/HanCKBKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimKKHY21, author = {Soyeon Kim and Sangjin Kim and Sangyeob Kim and Donghyeon Han and Hoi{-}Jun Yoo}, title = {A 64.1mW Accurate Real-Time Visual Object Tracking Processor With Spatial Early Stopping on Siamese Network}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {5}, pages = {1675--1679}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3067351}, doi = {10.1109/TCSII.2021.3067351}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimKKHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimHK21, author = {Beomyoung Kim and Sangeun Han and Junmo Kim}, title = {Discriminative Region Suppression for Weakly-Supervised Semantic Segmentation}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {1754--1761}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i2.16269}, doi = {10.1609/AAAI.V35I2.16269}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/LeeKHKKY21, author = {Juhyoung Lee and Changhyeon Kim and Donghyeon Han and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {Energy-Efficient Deep Reinforcement Learning Accelerator Designs for Mobile Autonomous Systems}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458435}, doi = {10.1109/AICAS51828.2021.9458435}, timestamp = {Fri, 25 Jun 2021 11:56:02 +0200}, biburl = {https://dblp.org/rec/conf/aicas/LeeKHKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/GweonKHLKY21, author = {Surin Gweon and Sanghoon Kang and Donghyeon Han and Kyoung{-}Rog Lee and Kwantae Kim and Hoi{-}Jun Yoo}, title = {FlashMAC: An Energy-Efficient Analog-Digital Hybrid {MAC} with Variable Latency-Aware Scheduling}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634746}, doi = {10.1109/A-SSCC53895.2021.9634746}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/GweonKHLKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/JangJYKC21, author = {Sang Jin Jang and Jaeseung Jeong and Yu Jin Yang and June Sic Kim and Chun Kee Chung}, title = {Decoding trajectories of imagined hand movement using electrocorticograms for brain-machine interface}, booktitle = {9th International Winter Conference on Brain-Computer Interface, {BCI} 2021, Gangwon, South Korea, February 22-24, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCI51272.2021.9385294}, doi = {10.1109/BCI51272.2021.9385294}, timestamp = {Wed, 23 Mar 2022 07:53:55 +0100}, biburl = {https://dblp.org/rec/conf/bci3/JangJYKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/HanPMCKKPKPALJC21, author = {Hyeongrok Han and Seongjae Park and Seonwoo Min and Hyun{-}Soo Choi and Eunji Kim and Hyunki Kim and Sangha Park and Jinkook Kim and Junsang Park and Junho An and Kwanglo Lee and Wonsun Jeong and Sangil Chon and Kwonwoo Ha and Myungkyu Han and Sungroh Yoon}, title = {Towards High Generalization Performance on Electrocardiogram Classification}, booktitle = {Computing in Cardiology, CinC 2021, Brno, Czech Republic, September 13-15, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/CinC53138.2021.9662737}, doi = {10.23919/CINC53138.2021.9662737}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cinc/HanPMCKKPKPALJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/ParkKVSJJ21, author = {Woo Young Park and Sang Hyun Kim and Duy{-}Son Vu and Chang Han Song and Hee Soo Jung and Hyeon Jo}, title = {A Novel Traceback Technology for E-mail Sender Verification : Type of submission: Regular Research Paper / Most relevant symposium: {CSCI-ISCW}}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2021, Las Vegas, NV, USA, December 15-17, 2021}, pages = {812--816}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCI54926.2021.00069}, doi = {10.1109/CSCI54926.2021.00069}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csci/ParkKVSJJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/PinNHH21, author = {Kuntha Pin and Yunyoung Nam and Sangho Ha and Jung Woo Han}, title = {Deep Learning Based on Ensemble to Diagnose of Retinal Disease using Optical Coherence Tomography}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2021, Las Vegas, NV, USA, December 15-17, 2021}, pages = {661--664}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCI54926.2021.00174}, doi = {10.1109/CSCI54926.2021.00174}, timestamp = {Tue, 23 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csci/PinNHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YooLSJYCHH21, author = {Jaehyoung Yoo and Dongwook Lee and Changyong Son and Sangil Jung and ByungIn Yoo and Changkyu Choi and Jae{-}Joon Han and Bohyung Han}, title = {RaScaNet: Learning Tiny Models by Raster-Scanning Images}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {13673--13682}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Yoo\_RaScaNet\_Learning\_Tiny\_Models\_by\_Raster-Scanning\_Images\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01346}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YooLSJYCHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YunOHHCC21, author = {Sangdoo Yun and Seong Joon Oh and Byeongho Heo and Dongyoon Han and Junsuk Choe and Sanghyuk Chun}, title = {Re-Labeling ImageNet: From Single to Multi-Labels, From Global to Localized Labels}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {2340--2350}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Yun\_Re-Labeling\_ImageNet\_From\_Single\_to\_Multi-Labels\_From\_Global\_to\_Localized\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00237}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YunOHHCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YoonHCLHC21, author = {Youngbog Yoon and Daeyong Han and Shinho Chu and Sangho Lee and Jaeduk Han and Junhyun Chun}, title = {Process-Portable and Programmable Layout Generation of Digital Circuits in Advanced {DRAM} Technologies}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {721--722}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9474014}, doi = {10.23919/DATE51398.2021.9474014}, timestamp = {Wed, 21 Jul 2021 10:04:34 +0200}, biburl = {https://dblp.org/rec/conf/date/YoonHCLHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/KwonKLCL21, author = {Ohjoon Kwon and Dohyun Kim and Soo{-}Ryeon Lee and Junyoung Choi and SangKeun Lee}, editor = {Paola Merlo and J{\"{o}}rg Tiedemann and Reut Tsarfaty}, title = {Handling Out-Of-Vocabulary Problem in Hangeul Word Embeddings}, booktitle = {Proceedings of the 16th Conference of the European Chapter of the Association for Computational Linguistics: Main Volume, {EACL} 2021, Online, April 19 - 23, 2021}, pages = {3213--3221}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.eacl-main.280}, doi = {10.18653/V1/2021.EACL-MAIN.280}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eacl/KwonKLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JangLKJBK21, author = {Ahreum Jang and Hyun Jin Lee and Young Ho Kim and Han Jung and Pavlo Bidenko and Sanghyoan Kim}, title = {Effect of Barrier Layer on InAs/GaSb Type-II Superlattice nBn Detector}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369773}, doi = {10.1109/ICEIC51217.2021.9369773}, timestamp = {Fri, 26 Mar 2021 08:44:08 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/JangLKJBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKJKKHLY21, author = {Juhyoung Lee and Jihoon Kim and Wooyoung Jo and Sangyeob Kim and Sangjin Kim and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {An Energy-efficient Floating-Point {DNN} Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566881}, doi = {10.1109/HCS52781.2021.9566881}, timestamp = {Mon, 25 Oct 2021 18:04:14 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKJKKHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKKKJHY21, author = {Juhyoung Lee and Sangyeob Kim and Ji{-}Hoon Kim and Sangjin Kim and Wooyoung Jo and Donghyeon Han and Hoi{-}Jun Yoo}, title = {OmniDRL: An Energy-Efficient Mobile Deep Reinforcement Learning Accelerators with Dual-mode Weight Compression and Direct Processing of Compressed Data}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567123}, doi = {10.1109/HCS52781.2021.9567123}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKKKJHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/BaeKGHKLPJ21, author = {Hanyeoreum Bae and Miryeong Kwon and Donghyun Gouk and Sanghyun Han and Sungjoon Koh and Changrim Lee and Dongchul Park and Myoungsoo Jung}, title = {Empirical Guide to Use of Persistent Memory for Large-Scale In-Memory Graph Analysis}, booktitle = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021, Storrs, CT, USA, October 24-27, 2021}, pages = {316--320}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCD53106.2021.00057}, doi = {10.1109/ICCD53106.2021.00057}, timestamp = {Tue, 28 Dec 2021 14:09:48 +0100}, biburl = {https://dblp.org/rec/conf/iccd/BaeKGHKLPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HeoYHCCO21, author = {Byeongho Heo and Sangdoo Yun and Dongyoon Han and Sanghyuk Chun and Junsuk Choe and Seong Joon Oh}, title = {Rethinking Spatial Dimensions of Vision Transformers}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {11916--11925}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01172}, doi = {10.1109/ICCV48922.2021.01172}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HeoYHCCO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/GuoSLHZM21, author = {Heng{-}Wei Guo and Hong{-}yan Sang and Jun{-}qing Li and Yu{-}yan Han and Biao Zhang and Leilei Meng}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Jianqiang Li and Valeriya V. Gribova and Vitoantonio Bevilacqua}, title = {An Improved {SMA} Algorithm for Solving Global Optimization Problems}, booktitle = {Intelligent Computing Theories and Application - 17th International Conference, {ICIC} 2021, Shenzhen, China, August 12-15, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12836}, pages = {619--634}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-84522-3\_51}, doi = {10.1007/978-3-030-84522-3\_51}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/GuoSLHZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HeoCOHYKUH21, author = {Byeongho Heo and Sanghyuk Chun and Seong Joon Oh and Dongyoon Han and Sangdoo Yun and Gyuwan Kim and Youngjung Uh and Jung{-}Woo Ha}, title = {AdamP: Slowing Down the Slowdown for Momentum Optimizers on Scale-invariant Weights}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=Iz3zU3M316D}, timestamp = {Mon, 28 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HeoCOHYKUH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/AnJKMH21, author = {Je Hong An and Kwang Hyun Jung and Sang Yoo Kim and Ji Su Mun and Min Gu Han}, title = {Intelligent real-time control system through socket communication using deep learning-based de-hazing and object detection in an embedded board environment}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1494--1497}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620908}, doi = {10.1109/ICTC52510.2021.9620908}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/AnJKMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungBSHCL21, author = {Yong{-}An Jung and Sang{-}Bong Byun and Han{-}Jae Shin and Dong{-}Cheul Han and Soo{-}Hyun Cho and Sung{-}Hun Lee}, title = {Frequency and Symbol Timing offset Estimation Method for {CP-OFDM} based System}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {599--601}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621185}, doi = {10.1109/ICTC52510.2021.9621185}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungBSHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JungBSHCL21, author = {Yong{-}An Jung and Sang{-}Bong Byun and Han{-}Jae Shin and Dong{-}Cheul Han and Soo{-}Hyun Cho and Sung{-}Hun Lee}, title = {Residual Frequency offset Estimation Scheme for 5G {NR} System}, booktitle = {Twelfth International Conference on Ubiquitous and Future Networks, {ICUFN} 2021, Jeju Island, South Korea, August 17-20, 2021}, pages = {273--275}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICUFN49451.2021.9528769}, doi = {10.1109/ICUFN49451.2021.9528769}, timestamp = {Wed, 27 Jul 2022 14:31:30 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JungBSHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeJBHCK21, author = {Sung{-}Hun Lee and Yong{-}An Jung and Sang{-}Bong Byun and Dong{-}Cheul Han and Soo{-}Hyun Cho and Seung Soo Kim}, title = {Multi-Switch Integrated Circuit Design for Micro Sensors of Smart Factory}, booktitle = {Twelfth International Conference on Ubiquitous and Future Networks, {ICUFN} 2021, Jeju Island, South Korea, August 17-20, 2021}, pages = {26--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICUFN49451.2021.9528674}, doi = {10.1109/ICUFN49451.2021.9528674}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeJBHCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/KumarCPPCSNSPS21, author = {Ajit Kumar and Bong Jun Choi and Sandeep Kumar Pandey and Sanghyeon Park and SeongIk Choi and Hanumant Singh Shekhawat and Wesley De Neve and Mukesh Saini and S. R. M. Prasanna and Dhananjay Singh}, editor = {Jong{-}Hoon Kim and Madhusudan Singh and Javed Khan and Uma Shanker Tiwary and Mriganka Sur and Dhananjay Singh}, title = {Exploring Multimodal Features and Fusion for Time-Continuous Prediction of Emotional Valence and Arousal}, booktitle = {Intelligent Human Computer Interaction - 13th International Conference, {IHCI} 2021, Kent, OH, USA, December 20-22, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13184}, pages = {729--744}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98404-5\_65}, doi = {10.1007/978-3-030-98404-5\_65}, timestamp = {Wed, 25 Oct 2023 08:25:58 +0200}, biburl = {https://dblp.org/rec/conf/ihci/KumarCPPCSNSPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {350--352}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365862}, doi = {10.1109/ISSCC42613.2021.9365862}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonLLKRSOYLKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miwai/KimHYK21, author = {Beomyoung Kim and Sangeun Han and Eojindl Yi and Junmo Kim}, editor = {Phatthanaphong Chomphuwiset and Junmo Kim and Pornntiwa Pawara}, title = {3D Point Cloud Upsampling and Colorization Using {GAN}}, booktitle = {Multi-disciplinary Trends in Artificial Intelligence - 14th International Conference, {MIWAI} 2021, Virtual Event, July 2-3, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12832}, pages = {1--13}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80253-0\_1}, doi = {10.1007/978-3-030-80253-0\_1}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miwai/KimHYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChaCLCPLP21, author = {Junbum Cha and Sanghyuk Chun and Kyungjae Lee and Han{-}Cheol Cho and Seunghyun Park and Yunsung Lee and Sungrae Park}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {{SWAD:} Domain Generalization by Seeking Flat Minima}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {22405--22418}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/bcb41ccdc4363c6848a1d760f26c28a0-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ChaCLCPLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YunHKSP0LB21, author = {Seok{-}Jun Yun and Young{-}Tak Han and Seok{-}Tae Kim and Jang{-}Uk Shin and Sang{-}Ho Park and Dong{-}Hoon Lee and Seo{-}Young Lee and Yongsoon Baek}, title = {Hybrid-Integrated 400G {TROSA} Module and Its Performance Evaluation Using {PAM4} {DSP} Chip}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489845}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YunHKSP0LB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HongBSKYKBCSKJC21, author = {Seunghwan Hong and Chang{-}Hyun Bae and Yoo{-}Chang Sung and Jaewoong Kim and Junsub Yoon and Sangwoo Kim and Jin{-}Hyeok Baek and Cheongryong Cho and Useung Shin and Sang{-}Kyeom Kim and Hwan{-}Chul Jung and Ho{-}Jun Chang and Jang{-}Hoo Kim and Jeongsik Hwang and Hyunki Kim and Ki{-}Won Lee and Dongmin Kim and Han{-}Ki Jeong and Myung{-}O. Kim and Kyomin Sohn and Jeong{-}Don Ihm and Changsik Yoo and Sang Joon Hwang}, title = {A Reflection and Crosstalk Canceling Continuous-Time Linear Equalizer for High-Speed {DDR} {SDRAM}}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492390}, doi = {10.23919/VLSICIRCUITS52068.2021.9492390}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HongBSKYKBCSKJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKKJHLY21, author = {Juhyoung Lee and Sangyeob Kim and Sangjin Kim and Wooyoung Jo and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {OmniDRL: {A} 29.3 {TFLOPS/W} Deep Reinforcement Learning Processor with Dualmode Weight Compression and On-chip Sparse Weight Transposer}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492504}, doi = {10.23919/VLSICIRCUITS52068.2021.9492504}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKKJHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/ParkAJKHKYK21, author = {Sangmin Park and Hojun Aan and Junhyeong Jo and Hyeonkyu Kim and Sangsun Han and Jimoon Kim and Pilhyoun Yoon and Kibum Kim}, title = {A-Visor and A-Camera: Arduino-based Cardboard Head-Mounted Controllers for {VR} Games}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2021, Lisbon, Portugal, March 27 - April 1, 2021}, pages = {434--435}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VRW52623.2021.00099}, doi = {10.1109/VRW52623.2021.00099}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/ParkAJKHKYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-05022, author = {Sangdoo Yun and Seong Joon Oh and Byeongho Heo and Dongyoon Han and Junsuk Choe and Sanghyuk Chun}, title = {Re-labeling ImageNet: from Single to Multi-Labels, from Global to Localized Labels}, journal = {CoRR}, volume = {abs/2101.05022}, year = {2021}, url = {https://arxiv.org/abs/2101.05022}, eprinttype = {arXiv}, eprint = {2101.05022}, timestamp = {Fri, 22 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-05022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07246, author = {Beomyoung Kim and Sangeun Han and Junmo Kim}, title = {Discriminative Region Suppression for Weakly-Supervised Semantic Segmentation}, journal = {CoRR}, volume = {abs/2103.07246}, year = {2021}, url = {https://arxiv.org/abs/2103.07246}, eprinttype = {arXiv}, eprint = {2103.07246}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16302, author = {Byeongho Heo and Sangdoo Yun and Dongyoon Han and Sanghyuk Chun and Junsuk Choe and Seong Joon Oh}, title = {Rethinking Spatial Dimensions of Vision Transformers}, journal = {CoRR}, volume = {abs/2103.16302}, year = {2021}, url = {https://arxiv.org/abs/2103.16302}, eprinttype = {arXiv}, eprint = {2103.16302}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16302.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonJPKHJWRLHJK20, author = {Deokmin Jeon and Unsang Jung and Kibeom Park and Pilun Kim and Sangyeob Han and Hyosang Jeong and Ruchire Eranga Wijesinghe and Naresh Kumar Ravichandran and Jaeyul Lee and Youngmin Han and Mansik Jeon and Jeehyun Kim}, title = {Vision-Inspection-Synchronized Dual Optical Coherence Tomography for High-Resolution Real-Time Multidimensional Defect Tracking in Optical Thin Film Industry}, journal = {{IEEE} Access}, volume = {8}, pages = {190700--190709}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031361}, doi = {10.1109/ACCESS.2020.3031361}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeonJPKHJWRLHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRRCJ20, author = {Jinuk Kim and Sang{-}Wook Ryu and Muhammad Saad Rafaq and Han Ho Choi and Jin{-}Woo Jung}, title = {Improved Torque Ripple Minimization Technique With Enhanced Efficiency for Surface- Mounted {PMSM} Drives}, journal = {{IEEE} Access}, volume = {8}, pages = {115017--115027}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004042}, doi = {10.1109/ACCESS.2020.3004042}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimRRCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SeongHJKWRLLKLJ20, author = {Daewoon Seong and Sangyeob Han and Deokmin Jeon and Yoonseok Kim and Ruchire Eranga Wijesinghe and Naresh Kumar Ravichandran and Jaeyul Lee and Junsoo Lee and Pilun Kim and Dong{-}Eun Lee and Mansik Jeon and Jeehyun Kim}, title = {Dynamic Compensation of Path Length Difference in Optical Coherence Tomography by an Automatic Temperature Control System of Optical Fiber}, journal = {{IEEE} Access}, volume = {8}, pages = {77501--77510}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2990357}, doi = {10.1109/ACCESS.2020.2990357}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SeongHJKWRLLKLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangSTGLGH20, author = {Ping Wang and Hongyan Sang and Qiuyun Tao and Hengwei Guo and Junqing Li and Kaizhou Gao and Yuyan Han}, title = {Improved Migrating Birds Optimization Algorithm to Solve Hybrid Flowshop Scheduling Problem With Lot-Streaming}, journal = {{IEEE} Access}, volume = {8}, pages = {89782--89792}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2993881}, doi = {10.1109/ACCESS.2020.2993881}, timestamp = {Wed, 11 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangSTGLGH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooPKCJLKMH20, author = {Min Ki Woo and Byung{-}Kwon Park and Yong{-}Su Kim and Young{-}Wook Cho and Hojoong Jung and Hyang{-}Tag Lim and Sangin Kim and Sung Moon and Sang{-}Wook Han}, title = {One to Many {QKD} Network System Using Polarization-Wavelength Division Multiplexing}, journal = {{IEEE} Access}, volume = {8}, pages = {194007--194014}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3032992}, doi = {10.1109/ACCESS.2020.3032992}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WooPKCJLKMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/HanLSLGP20, author = {Yuyan Han and Junqing Li and Hongyan Sang and Yiping Liu and Kaizhou Gao and Quanke Pan}, title = {Discrete evolutionary multi-objective optimization for energy-efficient blocking flow shop scheduling with setup time}, journal = {Appl. Soft Comput.}, volume = {93}, pages = {106343}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106343}, doi = {10.1016/J.ASOC.2020.106343}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/HanLSLGP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/YuHKPLC20, author = {Sung Hyun Yu and Jung Han Hwang and Jeong Ho Kim and Suyoung Park and Ki Hyun Lee and Sang Tae Choi}, title = {Duplication of superficial femoral artery: imaging findings and literature review}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {99}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00500-4}, doi = {10.1186/S12880-020-00500-4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/YuHKPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ZhangKHKKJ20, author = {Jie Zhang and Miryeong Kwon and Sanghyun Han and Nam Sung Kim and Mahmut T. Kandemir and Myoungsoo Jung}, title = {FastDrain: Removing Page Victimization Overheads in NVMe Storage Stack}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {19}, number = {2}, pages = {92--96}, year = {2020}, url = {https://doi.org/10.1109/LCA.2020.3005507}, doi = {10.1109/LCA.2020.3005507}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ZhangKHKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/KimPKH20, author = {SangBin Kim and Inbum Park and Seongsu Kwon and JungHyun Han}, title = {Motion Retargetting based on Dilated Convolutions and Skeleton-specific Loss Functions}, journal = {Comput. Graph. Forum}, volume = {39}, number = {2}, pages = {497--507}, year = {2020}, url = {https://doi.org/10.1111/cgf.13947}, doi = {10.1111/CGF.13947}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/KimPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JangRKKHKJ20, author = {Hyeongwon Jang and Sang Youp Rhee and Jae Eun Kim and Yoonhee Kim and Hyuck Han and Sooyong Kang and Hyungsoo Jung}, title = {AutoBahn: a concurrency control framework for non-volatile file buffer}, journal = {Clust. Comput.}, volume = {23}, number = {2}, pages = {895--910}, year = {2020}, url = {https://doi.org/10.1007/s10586-019-02964-8}, doi = {10.1007/S10586-019-02964-8}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/JangRKKHKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/YounLHKKJYH20, author = {Yong Youn and Miso Lee and Changho Hong and Doyeon Kim and Sangtae Kim and Jisu Jung and Kanghoon Yim and Seungwu Han}, title = {AMP\({}^{\mbox{2}}\): {A} fully automated program for \emph{ab initio} calculations of crystalline materials}, journal = {Comput. Phys. Commun.}, volume = {256}, pages = {107450}, year = {2020}, url = {https://doi.org/10.1016/j.cpc.2020.107450}, doi = {10.1016/J.CPC.2020.107450}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/YounLHKKJYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/CheonJKKKKM20, author = {Gi{-}Sang Cheon and Ji{-}Hwan Jung and Bumtle Kang and Hana Kim and Suh{-}Ryung Kim and Sergey Kitaev and Seyed Ahmad Mojallal}, title = {Counting independent sets in Riordan graphs}, journal = {Discret. Math.}, volume = {343}, number = {11}, pages = {112043}, year = {2020}, url = {https://doi.org/10.1016/j.disc.2020.112043}, doi = {10.1016/J.DISC.2020.112043}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/CheonJKKKKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LeeL20, author = {Sang June Lee and Hanno Lefmann}, title = {On the independence number of non-uniform uncrowded hypergraphs}, journal = {Discret. Math.}, volume = {343}, number = {9}, pages = {111964}, year = {2020}, url = {https://doi.org/10.1016/j.disc.2020.111964}, doi = {10.1016/J.DISC.2020.111964}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dm/LeeL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/WooLHJ20, author = {Hanchul Woo and Sangdo Lee and Jun{-}Ho Huh and Sukjae Jeong}, title = {Impact of {ITSM} Military Service Quality and Value on Service Trust}, journal = {J. Multim. Inf. Syst.}, volume = {7}, number = {1}, pages = {55--72}, year = {2020}, url = {https://doi.org/10.33851/jmis.2020.7.1.55}, doi = {10.33851/JMIS.2020.7.1.55}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/WooLHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ParkKSHLLJLK20, author = {Hyun Sang Park and Kwang il Kim and Jae Young Soh and Young Ho Hyun and Bang Eun Lee and Jong Hwa Lee and Jung Gwon Jo and Han Chae Lee and Hwa Sun Kim}, title = {Development and Operation of a Video Teleconsultation System Using Integrated Medical Equipment Gateway: a National Project for Workers in Underserved Areas}, journal = {J. Medical Syst.}, volume = {44}, number = {11}, pages = {194}, year = {2020}, url = {https://doi.org/10.1007/s10916-020-01664-w}, doi = {10.1007/S10916-020-01664-W}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/ParkKSHLLJLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeHLLKKKHJNLCK20, author = {Jongsoo Lee and Jae{-}Yeol Han and Chilun Lo and Jongmi Lee and Wan Kim and Seungjin Kim and Byoungjoong Kang and Juyoung Han and Sangdon Jung and Takahiro Nomiyama and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {NB-IoT and {GNSS} All-In-One System-On-Chip Integrating {RF} Transceiver, 23-dBm {CMOS} Power Amplifier, Power Management Unit, and Clock Management System for Low Cost Solution}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3400--3413}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3012742}, doi = {10.1109/JSSC.2020.3012742}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeHLLKKKHJNLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PangLKLWWYFSNAM20, author = {Jian Pang and Zheng Li and Ryo Kubozoe and Xueting Luo and Rui Wu and Yun Wang and Dongwon You and Ashbir Aviat Fadila and Rattanan Saengchan and Takeshi Nakamura and Joshua Alvin and Daiki Matsumoto and Bangan Liu and Aravind Tharayil Narayanan and Junjun Qiu and Hanli Liu and Zheng Sun and Hongye Huang and Korkut Kaan Tokgoz and Keiichi Motoi and Naoki Oshima and Shinichi Hori and Kazuaki Kunihiro and Tomoya Kaneko and Atsushi Shirane and Kenichi Okada}, title = {A 28-GHz {CMOS} Phased-Array Beamformer Utilizing Neutralized Bi-Directional Technique Supporting Dual-Polarized {MIMO} for 5G {NR}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {9}, pages = {2371--2386}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2995039}, doi = {10.1109/JSSC.2020.2995039}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/PangLKLWWYFSNAM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangWPYFSFMNKKL20, author = {Yun Wang and Rui Wu and Jian Pang and Dongwon You and Ashbir Aviat Fadila and Rattanan Saengchan and Xi Fu and Daiki Matsumoto and Takeshi Nakamura and Ryo Kubozoe and Masaru Kawabuchi and Bangan Liu and Haosheng Zhang and Junjun Qiu and Hanli Liu and Naoki Oshima and Keiichi Motoi and Shinichi Hori and Kazuaki Kunihiro and Tomoya Kaneko and Atsushi Shirane and Kenichi Okada}, title = {A 39-GHz 64-Element Phased-Array Transceiver With Built-In Phase and Amplitude Calibrations for Large-Array 5G {NR} in 65-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {5}, pages = {1249--1269}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.2980509}, doi = {10.1109/JSSC.2020.2980509}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangWPYFSFMNKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JinCLSCSJSKH20, author = {Donghyun Jin and Sung{-}Rae Chung and Kyeong{-}Sang Lee and Minji Seo and Sungwon Choi and Noh{-}Hun Seong and Daeseong Jung and Suyoung Sim and Jinsoo Kim and Kyung{-}Soo Han}, title = {Development of Geo-KOMPSAT-2A Algorithm for Sea-Ice Detection Using Himawari-8/AHI Data}, journal = {Remote. Sens.}, volume = {12}, number = {14}, pages = {2262}, year = {2020}, url = {https://doi.org/10.3390/rs12142262}, doi = {10.3390/RS12142262}, timestamp = {Tue, 28 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/JinCLSCSJSKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeCLSCSJKYRJSH20, author = {Kyeong{-}Sang Lee and Sung{-}Rae Chung and Chang{-}Suk Lee and Minji Seo and Sungwon Choi and Noh{-}Hun Seong and Donghyun Jin and Minseok Kang and Jong{-}Min Yeom and Jean{-}Louis Roujean and Daeseong Jung and Suyoung Sim and Kyung{-}Soo Han}, title = {Development of Land Surface Albedo Algorithm for the {GK-2A/AMI} Instrument}, journal = {Remote. Sens.}, volume = {12}, number = {15}, pages = {2500}, year = {2020}, url = {https://doi.org/10.3390/rs12152500}, doi = {10.3390/RS12152500}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeCLSCSJKYRJSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeSOHLY20, author = {Jungshin Lee and Chang{-}Ky Sung and Juhyun Oh and Kyungjun Han and Sangwoo Lee and Myeong{-}Jong Yu}, title = {A Pragmatic Approach to the Design of Advanced Precision Terrain-Aided Navigation for UAVs and Its Verification}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1396}, year = {2020}, url = {https://doi.org/10.3390/rs12091396}, doi = {10.3390/RS12091396}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeSOHLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanWJHLLJLJK20, author = {Sangyeob Han and Ruchire Eranga Wijesinghe and Deokmin Jeon and Youngmin Han and Jaeyul Lee and Junsoo Lee and Hosung Jo and Dong{-}Eun Lee and Mansik Jeon and Jeehyun Kim}, title = {Optical Interferometric Fringe Pattern-Incorporated Spectrum Calibration Technique for Enhanced Sensitivity of Spectral Domain Optical Coherence Tomography}, journal = {Sensors}, volume = {20}, number = {7}, pages = {2067}, year = {2020}, url = {https://doi.org/10.3390/s20072067}, doi = {10.3390/S20072067}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HanWJHLLJLJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeYHK20, author = {Jong{-}Sub Lee and Jung{-}Doung Yu and Kyungsoo Han and Sang Yeob Kim}, title = {Strength Characteristics of Sand-Silt Mixtures Subjected to Cyclic Freezing-Thawing-Repetitive Loading}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5381}, year = {2020}, url = {https://doi.org/10.3390/s20185381}, doi = {10.3390/S20185381}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeYHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RohHPS20, author = {Donggeun Roh and Sangjin Han and Junyung Park and Hangsik Shin}, title = {Development of a Multi-Array Pressure Sensor Module for Radial Artery Pulse Wave Measurement}, journal = {Sensors}, volume = {20}, number = {1}, pages = {33}, year = {2020}, url = {https://doi.org/10.3390/s20010033}, doi = {10.3390/S20010033}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RohHPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeongKJWLRHLKJK20, author = {Daewoon Seong and Jaehwan Kwon and Deokmin Jeon and Ruchire Eranga Wijesinghe and Jaeyul Lee and Naresh Kumar Ravichandran and Sangyeob Han and Junsoo Lee and Pilun Kim and Mansik Jeon and Jeehyun Kim}, title = {In Situ Characterization of Micro-Vibration in Natural Latex Membrane Resembling Tympanic Membrane Functionally Using Optical Doppler Tomography}, journal = {Sensors}, volume = {20}, number = {1}, pages = {64}, year = {2020}, url = {https://doi.org/10.3390/s20010064}, doi = {10.3390/S20010064}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeongKJWLRHLKJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/LiTJHLDZS20, author = {Junqing Li and Xin{-}rui Tao and Baoxian Jia and Yu{-}Yan Han and Chuang Liu and Peng Duan and Zhi{-}xin Zheng and Hong{-}yan Sang}, title = {Efficient multi-objective algorithm for the lot-streaming hybrid flowshop with variable sub-lots}, journal = {Swarm Evol. Comput.}, volume = {52}, year = {2020}, url = {https://doi.org/10.1016/j.swevo.2019.100600}, doi = {10.1016/J.SWEVO.2019.100600}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/swevo/LiTJHLDZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/GuQLLLC20, author = {Ke Gu and Junfei Qiao and Sanghoon Lee and Hantao Liu and Weisi Lin and Patrick Le Callet}, title = {Multiscale Natural Scene Statistical Analysis for No-Reference Quality Evaluation of DIBR-Synthesized Views}, journal = {{IEEE} Trans. Broadcast.}, volume = {66}, number = {1}, pages = {127--139}, year = {2020}, url = {https://doi.org/10.1109/TBC.2019.2906768}, doi = {10.1109/TBC.2019.2906768}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/GuQLLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YouHPLC20, author = {Tae{-}Hee You and Sangwoo Han and Young Min Park and Hyuk{-}Jun Lee and Eui{-}Young Chung}, title = {Multitoken-Based Power Management for {NAND} Flash Storage Devices}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2898--2910}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2953948}, doi = {10.1109/TCAD.2019.2953948}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YouHPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ImHCKY20, author = {Dongseok Im and Donghyeon Han and Sungpill Choi and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {{DT-CNN:} An Energy-Efficient Dilated and Transposed Convolutional Neural Network Processor for Region of Interest Based Image Segmentation}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3471--3483}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2991189}, doi = {10.1109/TCSI.2020.2991189}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ImHCKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JungUHKL20, author = {Hyunki Jung and Dzuhri Radityo Utomo and Seok{-}Kyun Han and Jusung Kim and Sang{-}Gug Lee}, title = {An 80 MHz Bandwidth and 26.8 dBm {OOB} {IIP3} Transimpedance Amplifier With Improved Nested Feedforward Compensation and Multi-Order Filtering}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3410--3421}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2991772}, doi = {10.1109/TCSI.2020.2991772}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/JungUHKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeKLSHY20, author = {Jinsu Lee and Sanghoon Kang and Jinmook Lee and Dongjoo Shin and Donghyeon Han and Hoi{-}Jun Yoo}, title = {The Hardware and Algorithm Co-Design for Energy-Efficient {DNN} Processor on Edge/Mobile Devices}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3458--3470}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.3021397}, doi = {10.1109/TCSI.2020.3021397}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LeeKLSHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ShinKKLYLJKJ20, author = {Soyeong Shin and Han{-}Gon Ko and Chan{-}Ho Kye and Sang{-}Yoon Lee and Jaekwang Yun and Doobock Lee and Hae{-}Kang Jung and Suhwan Kim and Deog{-}Kyoon Jeong}, title = {A 0.45 pJ/b, 6.4 Gb/s Forwarded-Clock Receiver With DLL-Based Self-Tracking Loop for Unmatched Memory Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {10}, pages = {1814--1818}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2957042}, doi = {10.1109/TCSII.2019.2957042}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/ShinKKLYLJKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/LiSWDHSP20, author = {Jun{-}Qing Li and Mei{-}xian Song and Ling Wang and Pei{-}Yong Duan and Yu{-}Yan Han and Hong{-}yan Sang and Quan{-}Ke Pan}, title = {Hybrid Artificial Bee Colony Algorithm for a Parallel Batching Distributed Flow-Shop Problem With Deteriorating Jobs}, journal = {{IEEE} Trans. Cybern.}, volume = {50}, number = {6}, pages = {2425--2439}, year = {2020}, url = {https://doi.org/10.1109/TCYB.2019.2943606}, doi = {10.1109/TCYB.2019.2943606}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/LiSWDHSP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKHKKY20, author = {Soyeon Kim and Sanghoon Kang and Donghyeon Han and Sangyeob Kim and Sangjin Kim and Hoi{-}Jun Yoo}, title = {An Energy-Efficient {GAN} Accelerator with On-chip Training for Domain Specific Optimization}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336128}, doi = {10.1109/A-SSCC48613.2020.9336128}, timestamp = {Fri, 12 Feb 2021 13:30:23 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKHKKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/SongPHK20, author = {Junho Song and Hyekyoung Park and Kyungsik Han and Sang{-}Wook Kim}, editor = {Mathieu d'Aquin and Stefan Dietze and Claudia Hauff and Edward Curry and Philippe Cudr{\'{e}}{-}Mauroux}, title = {Do You Really Like Her Post?: Network-Based Analysis for Understanding Like Activities in {SNS}}, booktitle = {{CIKM} '20: The 29th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Ireland, October 19-23, 2020}, pages = {2221--2224}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3340531.3412166}, doi = {10.1145/3340531.3412166}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/SongPHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/NtavelisRBTHWGS20, author = {Evangelos Ntavelis and Andr{\'{e}}s Romero and Siavash Bigdeli and Radu Timofte and Zheng Hui and Xiumei Wang and Xinbo Gao and Chajin Shin and Taeoh Kim and Hanbin Son and Sangyoun Lee and Chao Li and Fu Li and Dongliang He and Shilei Wen and Errui Ding and Mengmeng Bai and Shuchen Li and Yu Zeng and Zhe Lin and Jimei Yang and Jianming Zhang and Eli Shechtman and Huchuan Lu and Weijian Zeng and Haopeng Ni and Yiyang Cai and Chenghua Li and Dejia Xu and Haoning Wu and Yu Han and S. M. Nadim Uddin and Hae Woong Jang and Soikat Hasan Ahmed and Jungmin Yoon and Yong Ju Jung and Chu{-}Tak Li and Zhi{-}Song Liu and Li{-}Wen Wang and Wan{-}Chi Siu and Daniel Pak{-}Kong Lun and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Pratik Narang and Murari Mandal and Pranjal Singh Chauhan}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Image Extreme Inpainting}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {716--741}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_43}, doi = {10.1007/978-3-030-67070-2\_43}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/NtavelisRBTHWGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhaoGZCHJGZSS20, author = {Shizhen Zhao and Changxin Gao and Jun Zhang and Hao Cheng and Chuchu Han and Xinyang Jiang and Xiaowei Guo and Wei{-}Shi Zheng and Nong Sang and Xing Sun}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Do Not Disturb Me: Person Re-identification Under the Interference of Other Pedestrians}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {12351}, pages = {647--663}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58539-6\_39}, doi = {10.1007/978-3-030-58539-6\_39}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ZhaoGZCHJGZSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccde/HanC20, author = {Sangkon Han and Jung{-}In Choi}, title = {V2X-Based Event Acquisition and Reproduction Architecture with Event-Sourcing}, booktitle = {{ICCDE} 2020: The 6th International Conference on Computing and Data Engineering, Sanya, China, January 4-6, 2020}, pages = {164--167}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379247.3379290}, doi = {10.1145/3379247.3379290}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccde/HanC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimSPHC20, author = {Jungyeong Kim and Jaehong Seo and Sangshin Park and Sangchul Han and Jungsan Cho}, title = {Design and Implementation of Hydraulic-Cable driven Manipulator for Disaster Response Operation}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {8215--8221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9196554}, doi = {10.1109/ICRA40945.2020.9196554}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimSPHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeYRB20, author = {Bongho Lee and Kyutae Yang and Sang{-}Jung Ra and Byungjun Bae}, title = {Implementation of {ATSC} 3.0 Service Handoff}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1429--1432}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289581}, doi = {10.1109/ICTC49870.2020.9289581}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeYRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLJUKSLPJHLLH20, author = {Yongsung Ji and Hyunjae Goo and Jungman Lim and Tae{-}Young Jeong and Taiki Uemura and Gun Rae Kim and Boil Seo and Seungbae Lee and Goeun Park and Jeongmin Jo and Sang{-}Il Han and Kilho Lee and Junghyuk Lee and Sohee Hwang and Daesop Lee and Suksoo Pyo and Hyun Taek Jung and Shinhee Han and Seungmo Noh and Kiseok Suh and Sungyoung Yoon and Hyeonwoo Nam and Hyewon Hwang and Hai Jiang and J. W. Kim and D. Kwon and Yoonjong Song and K. H. Koh and Hwasung Rhee and Sangwoo Pae and E. Lee}, title = {Reliability of Industrial grade Embedded-STT-MRAM}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129178}, doi = {10.1109/IRPS45951.2020.9129178}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiKPLPBLY20, author = {Jungyun Choi and Kyungsu Kang and Sangho Park and Seunghan Lee and YoHan Park and Byeongwook Bae and Byunghoon Lee and ByungChul Yoo}, title = {On-chip Interconnect Optimization and Validation using Virtual Platform}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {53--54}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9333094}, doi = {10.1109/ISOCC50952.2020.9333094}, timestamp = {Fri, 12 Feb 2021 11:57:12 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChoiKPLPBLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuhCLNPOKCLKPKL20, author = {Hwang Huh and Wanik Cho and Jinhaeng Lee and Yujong Noh and Yongsoon Park and Sunghwa Ok and Jongwoo Kim and Kayoung Cho and Hyunchul Lee and Geonu Kim and Kangwoo Park and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Chankeun Kwon and Hanna Cho and Chanhui Jeong and Yujin Yang and Jayoon Goo and Jangwon Park and Juhyeong Lee and Heonki Kirr and Kangwook Jo and Cheoljoong Park and Hyeonsu Nam and Hyunseok Song and Sangkyu Lee and Woopyo Jeong and Kun{-}Ok Ahn and Tae{-}Sung Jung}, title = {13.2 {A} 1Tb 4b/Cell 96-Stacked-WL 3D {NAND} Flash Memory with 30MB/s Program Throughput Using Peripheral Circuit Under Memory Cell Array Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {220--221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063117}, doi = {10.1109/ISSCC19947.2020.9063117}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuhCLNPOKCLKPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangHLIKKY20, author = {Sanghoon Kang and Donghyeon Han and Juhyoung Lee and Dongseok Im and Sangyeob Kim and Soyeon Kim and Hoi{-}Jun Yoo}, title = {7.4 {GANPU:} {A} 135TFLOPS/W Multi-DNN Training Processor for GANs with Speculative Dual-Sparsity Exploitation}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {140--142}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062989}, doi = {10.1109/ISSCC19947.2020.9062989}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangHLIKKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJKKCLLPHCKL20, author = {Hyunchul Kim and Jongeun Park and Insung Joe and Doowon Kwon and Joo Hyoung Kim and Dongsuk Cho and Taehun Lee and Changkyu Lee and Haeyong Park and Soojin Hong and Chongkwang Chang and Jingyun Kim and Hanjin Lim and Youngsun Oh and Yitae Kim and Seungjoo Nah and Sangil Jung and Jaekyu Lee and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.6 {A} 1/2.65in 44Mpixel {CMOS} Image Sensor with 0.7{\(\mathrm{\mu}\)}m Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062924}, doi = {10.1109/ISSCC19947.2020.9062924}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJKKCLLPHCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeHLLKKKHJNLCK20, author = {Jongsoo Lee and Jae{-}Yeol Han and Chilun Lo and Jongmi Lee and Wan Kim and Seungjin Kim and Byoungjoong Kang and Juyoung Han and Sangdon Jung and Takahiro Nomiyama and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {30.2 NB-IoT and {GNSS} All-in-One System-on-Chip Integrating {RF} Transceiver, 23dBm {CMOS} Power Amplifier, Power Management Unit and Clock Management System for Low-Cost Solution}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {462--464}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063004}, doi = {10.1109/ISSCC19947.2020.9063004}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeHLLKKKHJNLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HanYJKSPLB30, author = {Young{-}Tak Han and Seok{-}Jun Yun and Hyun{-}Do Jung and Seok{-}Tae Kim and Jang{-}Uk Shin and Sang{-}Ho Park and Seo{-}Young Lee and Yongsoon Baek}, title = {A Hybrid-Integrated 400G {TROSA} Module Using Chip-to-Chip Optical Butt-Coupling}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083106}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HanYJKSPLB30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YunHKSPLLB30, author = {Seok{-}Jun Yun and Young{-}Tak Han and Seok{-}Tae Kim and Jang{-}Uk Shin and Sang{-}Ho Park and Dong{-}Hoon Lee and Seo{-}Young Lee and Yongsoon Baek}, title = {Bandwidth Enhancement of Directly Modulated Lasers Butt-coupled with Silica-Based {AWG} by External Optical Feedback Effect}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083426}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YunHKSPLLB30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/SongH0K20, author = {Junho Song and Kyungsik Han and Dongwon Lee and Sang{-}Wook Kim}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {Understanding emotions in {SNS} images from posters' perspectives}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {450--457}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3373923}, doi = {10.1145/3341105.3373923}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/SongH0K20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semco/AhnLKHL20, author = {Junguk Ahn and Sanghun Lee and Sungyun Kang and Hyegyung Han and Byung Mun Lee}, title = {In-Sleep Activity Detecting Algorithm for Sleepcare System}, booktitle = {{IEEE} 14th International Conference on Semantic Computing, {ICSC} 2020, San Diego, CA, USA, February 3-5, 2020}, pages = {350--353}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSC.2020.00070}, doi = {10.1109/ICSC.2020.00070}, timestamp = {Sat, 21 Mar 2020 17:55:16 +0100}, biburl = {https://dblp.org/rec/conf/semco/AhnLKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HanJLJLLLHLBCLK20, author = {Sangwook Han and Jaehyuk Jang and Jaeseung Lee and Daechul Jeong and Joonhee Lee and Jongsoo Lee and Chung Lau and Juyoung Han and Sung{-}Jun Lee and Jeongyeol Bae and Ikkyun Cho and Sang{-}Yun Lee and Shinwoong Kim and Jae Hoon Lee and Yanghoon Lee and Jaehong Jung and Junho Huh and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {An {RF} Transceiver with Full Digital Interface Supporting 5G New Radio {FR1} with 3.84Gbps DL/1.92Gbps {UL} and Dual-Band {GNSS} in 14nm FinFET {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162850}, doi = {10.1109/VLSICIRCUITS18222.2020.9162850}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HanJLJLLLHLBCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ImKHCY20, author = {Dongseok Im and Sanghoon Kang and Donghyeon Han and Sungpill Choi and Hoi{-}Jun Yoo}, title = {A 4.45 ms Low-Latency 3D Point-Cloud-Based Neural Network Processor for Hand Pose Estimation in Immersive Wearable Devices}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162895}, doi = {10.1109/VLSICIRCUITS18222.2020.9162895}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ImKHCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JungJLJKHOL20, author = {Jaehong Jung and Sangdon Jung and Kyungmin Lee and Jun{-}Hee Jung and Seungjin Kim and Byungki Han and Seunghyun Oh and Jongwoo Lee}, title = {A 4GHz 0.73psrms-Integrated-Jitter PVT-Insensitive Fractional-N Sub-Sampling Ring {PLL} with a Jitter-Tracking DLL-Assisted {DTC}}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162861}, doi = {10.1109/VLSICIRCUITS18222.2020.9162861}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JungJLJKHOL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-12506, author = {Jaehyeok Han and Jungheum Park and Hyunji Chung and Sangjin Lee}, title = {Forensic analysis of the Windows telemetry for diagnostics}, journal = {CoRR}, volume = {abs/2002.12506}, year = {2020}, url = {https://arxiv.org/abs/2002.12506}, eprinttype = {arXiv}, eprint = {2002.12506}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-12506.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-03879, author = {Sanghyuk Chun and Seong Joon Oh and Sangdoo Yun and Dongyoon Han and Junsuk Choe and Youngjoon Yoo}, title = {An Empirical Evaluation on Robustness and Uncertainty of Regularization Methods}, journal = {CoRR}, volume = {abs/2003.03879}, year = {2020}, url = {https://arxiv.org/abs/2003.03879}, eprinttype = {arXiv}, eprint = {2003.03879}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-03879.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-13255, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Jun Kyun Choi}, title = {Joint Orthogonal Band and Power Allocation for Energy Fairness in {WPT} System with Nonlinear Logarithmic Energy Harvesting Model}, journal = {CoRR}, volume = {abs/2003.13255}, year = {2020}, url = {https://arxiv.org/abs/2003.13255}, eprinttype = {arXiv}, eprint = {2003.13255}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-13255.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-08217, author = {Byeongho Heo and Sanghyuk Chun and Seong Joon Oh and Dongyoon Han and Sangdoo Yun and Youngjung Uh and Jung{-}Woo Ha}, title = {Slowing Down the Weight Norm Increase in Momentum-based Optimizers}, journal = {CoRR}, volume = {abs/2006.08217}, year = {2020}, url = {https://arxiv.org/abs/2006.08217}, eprinttype = {arXiv}, eprint = {2006.08217}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-08217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-08966, author = {Jie Zhang and Miryeong Kwon and Sanghyun Han and Nam Sung Kim and Mahmut T. Kandemir and Myoungsoo Jung}, title = {FastDrain: Removing Page Victimization Overheads in NVMe Storage Stack}, journal = {CoRR}, volume = {abs/2006.08966}, year = {2020}, url = {https://arxiv.org/abs/2006.08966}, eprinttype = {arXiv}, eprint = {2006.08966}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-08966.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02642, author = {Sang{-}Woo Lee and Hyunhoon Jung and SukHyun Ko and Sunyoung Kim and Hyewon Kim and Kyoungtae Doh and Hyunjung Park and Joseph Yeo and Sang{-}Houn Ok and Joonhaeng Lee and Seongjae Choi and SeungTae Hwang and Eun{-}Young Park and Gwang{-}Ja Ma and Seok{-}Joo Han and Kwang{-}Seung Cha and Nako Sung and Jung{-}Woo Ha}, title = {CareCall: a Call-Based Active Monitoring Dialog Agent for Managing {COVID-19} Pandemic}, journal = {CoRR}, volume = {abs/2007.02642}, year = {2020}, url = {https://arxiv.org/abs/2007.02642}, eprinttype = {arXiv}, eprint = {2007.02642}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-06963, author = {Shizhen Zhao and Changxin Gao and Jun Zhang and Hao Cheng and Chuchu Han and Xinyang Jiang and Xiaowei Guo and Wei{-}Shi Zheng and Nong Sang and Xing Sun}, title = {Do Not Disturb Me: Person Re-identification Under the Interference of Other Pedestrians}, journal = {CoRR}, volume = {abs/2008.06963}, year = {2020}, url = {https://arxiv.org/abs/2008.06963}, eprinttype = {arXiv}, eprint = {2008.06963}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-06963.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-05352, author = {Junzhe Shi and Min Tian and Sangwoo Han and Tung{-}Yan Wu and Yifan Tang}, title = {Electric Vehicle Battery Remaining Charging Time Estimation Considering Charging Accuracy and Charging Profile Prediction}, journal = {CoRR}, volume = {abs/2012.05352}, year = {2020}, url = {https://arxiv.org/abs/2012.05352}, eprinttype = {arXiv}, eprint = {2012.05352}, timestamp = {Sat, 02 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-05352.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-13763, author = {Hopyong Gil and Sangwoo Park and Yusang Park and Wongoo Han and Juyean Hong and Juneyoung Jung}, title = {Balance-Oriented Focal Loss with Linear Scheduling for Anchor Free Object Detection}, journal = {CoRR}, volume = {abs/2012.13763}, year = {2020}, url = {https://arxiv.org/abs/2012.13763}, eprinttype = {arXiv}, eprint = {2012.13763}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-13763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanLGS19, author = {Yuyan Han and Jun{-}Qing Li and Dunwei Gong and Hongyan Sang}, title = {Multi-Objective Migrating Birds Optimization Algorithm for Stochastic Lot-Streaming Flow Shop Scheduling With Blocking}, journal = {{IEEE} Access}, volume = {7}, pages = {5946--5962}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2889373}, doi = {10.1109/ACCESS.2018.2889373}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanLGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLWRHKJJK19, author = {Junsoo Lee and Seung{-}Yeol Lee and Ruchire Eranga Wijesinghe and Naresh Kumar Ravichandran and Sangyeob Han and Pilun Kim and Mansik Jeon and Hee{-}Young Jung and Jeehyun Kim}, title = {On-Field In situ Inspection for Marssonina Coronaria Infected Apple Blotch Based on Non-Invasive Bio-Photonic Imaging Module}, journal = {{IEEE} Access}, volume = {7}, pages = {148684--148691}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2946388}, doi = {10.1109/ACCESS.2019.2946388}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeLWRHKJJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RenaudinOPTJPMS19, author = {Val{\'{e}}rie Renaudin and Miguel Ortiz and Johan Perul and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Yael Landau and Revital Marbel and Boaz Ben{-}Moshe and Xingyu Zheng and Feng Ye and Jian Kuang and Yu Li and Xiaoji Niu and Vlad Landa and Shlomi Hacohen and Nir Shvalb and Chuanhua Lu and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Zhenxing Ding and Feng Xu and Nikolai Kronenwett and Blagovest Vladimirov and Soyeon Lee and Eunyoung Cho and Sungwoo Jun and Chang{-}Eun Lee and Sangjoon Park and Yonghyun Lee and Jehyeok Rew and Changjun Park and Hyeongyo Jeong and Jaeseung Han and Keumryeol Lee and Wenchao Zhang and Xianghong Li and Dongyan Wei and Ying Zhang and So Young Park and Chan Gook Park and Stefan Knauth and Georgios Pipelidis and Nikolaos Tsiamitros and Tom{\'{a}}s Lungenstrass and Juan Pablo Morales and Jens Trogh and David Plets and Miroslav Opiela and Shih{-}Hau Fang and Yu Tsao and Ying{-}Ren Chien and Shi{-}Shen Yang and Shih{-}Jyun Ye and Muhammad Usman Ali and Soojung Hur and Yongwan Park}, title = {Evaluating Indoor Positioning Systems in a Shopping Mall: The Lessons Learned From the {IPIN} 2018 Competition}, journal = {{IEEE} Access}, volume = {7}, pages = {148594--148628}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2944389}, doi = {10.1109/ACCESS.2019.2944389}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RenaudinOPTJPMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/LiBDSHZ19, author = {Jun{-}Qing Li and Shun{-}Chang Bai and Pei{-}Yong Duan and Hongyan Sang and Yu{-}Yan Han and Zhi{-}xin Zheng}, title = {An improved artificial bee colony algorithm for addressing distributed flow shop with distance coefficient in a prefabricated system}, journal = {Int. J. Prod. Res.}, volume = {57}, number = {22}, pages = {6922--6942}, year = {2019}, url = {https://doi.org/10.1080/00207543.2019.1571687}, doi = {10.1080/00207543.2019.1571687}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/LiBDSHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimHYKA19, author = {Sangjoon J. Kim and Sang Yun Han and Gi{-}Hun Yang and Jung Kim and Bummo Ahn}, title = {Development of an interactive game-based mirror image hand rehabilitation system}, journal = {Intell. Serv. Robotics}, volume = {12}, number = {2}, pages = {149--157}, year = {2019}, url = {https://doi.org/10.1007/s11370-018-00272-5}, doi = {10.1007/S11370-018-00272-5}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isrob/KimHYKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisis/JungKCHS19, author = {Jaemin Jung and Hyun{-}Jin Kim and Seong{-}je Cho and Sangchul Han and Kyoungwon Suh}, title = {Efficient Android Malware Detection Using {API} Rank and Machine Learning}, journal = {J. Internet Serv. Inf. Secur.}, volume = {9}, number = {1}, pages = {48--59}, year = {2019}, url = {https://doi.org/10.22667/JISIS.2019.02.28.048}, doi = {10.22667/JISIS.2019.02.28.048}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisis/JungKCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jits/LeeCJPS19, author = {Haengju Lee and Saerona Choi and Hojin Jung and Byungkyu Brian Park and Sang H. Son}, title = {A route guidance system considering travel time unreliability}, journal = {J. Intell. Transp. Syst.}, volume = {23}, number = {3}, pages = {282--299}, year = {2019}, url = {https://doi.org/10.1080/15472450.2018.1542303}, doi = {10.1080/15472450.2018.1542303}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jits/LeeCJPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HanLISLH19, author = {Daehyeon Han and Juhyun Lee and Jungho Im and Seongmun Sim and Sanggyun Lee and Hyangsun Han}, title = {A Novel Framework of Detecting Convective Initiation Combining Automated Sampling, Machine Learning, and Repeated Model Tuning from Geostationary Satellite Data}, journal = {Remote. Sens.}, volume = {11}, number = {12}, pages = {1454}, year = {2019}, url = {https://doi.org/10.3390/rs11121454}, doi = {10.3390/RS11121454}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HanLISLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkJCMH19, author = {Sang{-}Eun Park and Yoon Taek Jung and Jae{-}Hyung Cho and Hyoi Moon and Seung{-}Hoon Han}, title = {Theoretical Evaluation of Water Cloud Model Vegetation Parameters}, journal = {Remote. Sens.}, volume = {11}, number = {8}, pages = {894}, year = {2019}, url = {https://doi.org/10.3390/rs11080894}, doi = {10.3390/RS11080894}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkJCMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanRPS19, author = {Sangjin Han and Donggeun Roh and Junyung Park and Hangsik Shin}, title = {Design of Multi-Wavelength Optical Sensor Module for Depth-Dependent Photoplethysmography}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5441}, year = {2019}, url = {https://doi.org/10.3390/s19245441}, doi = {10.3390/S19245441}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HanRPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonHKJ19, author = {Moonyoung Kwon and Sangjun Han and Kiwoong Kim and Sung Chan Jun}, title = {Super-Resolution for Improving {EEG} Spatial Resolution using Deep Convolutional Neural Network - Feasibility Study}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5317}, year = {2019}, url = {https://doi.org/10.3390/s19235317}, doi = {10.3390/S19235317}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwonHKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/SangPLWHGD19, author = {Hongyan Sang and Quan{-}Ke Pan and Jun{-}Qing Li and Ping Wang and Yu{-}Yan Han and Kai{-}Zhou Gao and Peng Duan}, title = {Effective invasive weed optimization algorithms for distributed assembly permutation flowshop problem with total flowtime criterion}, journal = {Swarm Evol. Comput.}, volume = {44}, pages = {64--73}, year = {2019}, url = {https://doi.org/10.1016/j.swevo.2018.12.001}, doi = {10.1016/J.SWEVO.2018.12.001}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/swevo/SangPLWHGD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/HanSKLH19, author = {Sung{-}Ju Han and Jun{-}Sup Shin and Kyungnyun Kim and Sang{-}Yoon Lee and Hyunki Hong}, title = {Using Human Objects for Illumination Estimation and Shadow Generation in Outdoor Environments}, journal = {Symmetry}, volume = {11}, number = {10}, pages = {1266}, year = {2019}, url = {https://doi.org/10.3390/sym11101266}, doi = {10.3390/SYM11101266}, timestamp = {Fri, 22 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/HanSKLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeBKLSHS19, author = {Geon Seok Lee and Su Sik Bang and Gu{-}Young Kwon and Yeong Ho Lee and Song{-}Ho Sohn and Sang{-}Chul Han and Yong{-}June Shin}, title = {Time-Frequency-Based Condition Monitoring of 22.9-kV {HTS} Cable Systems: Cooling Process and Current Imbalance}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {10}, pages = {8116--8125}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2881937}, doi = {10.1109/TIE.2018.2881937}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeBKLSHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeBYGKCHC19, author = {Sang{-}Han Lee and Jun{-}Suk Bang and Kye{-}Seok Yoon and Hui{-}Dong Gwon and Sang{-}Won Kim and In{-}Kui Cho and Sung{-}Wan Hong and Gyu{-}Hyeong Cho}, title = {Voltage-Boosted Current-Mode Wireless Power Receiver for Directly Charging a Low-Voltage Battery in Implantable Medical Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {11}, pages = {8860--8865}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2873525}, doi = {10.1109/TIE.2018.2873525}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeBYGKCHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/ChangKK19, author = {Handdeut Chang and Sangjoon Jonathan Kim and Jung Kim}, title = {Feedforward Motion Control With a Variable Stiffness Actuator Inspired by Muscle Cross-Bridge Kinematics}, journal = {{IEEE} Trans. Robotics}, volume = {35}, number = {3}, pages = {747--760}, year = {2019}, url = {https://doi.org/10.1109/TRO.2019.2900567}, doi = {10.1109/TRO.2019.2900567}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/ChangKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimSPCCKH19, author = {Junhyeong Kim and Mathis Schmieder and Michael Peter and Hee{-}Sang Chung and Sung{-}Woo Choi and Ilgyu Kim and Youngnam Han}, title = {A Comprehensive Study on mmWave-Based Mobile Hotspot Network System for High-Speed Train Communications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {3}, pages = {2087--2101}, year = {2019}, url = {https://doi.org/10.1109/TVT.2018.2865700}, doi = {10.1109/TVT.2018.2865700}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimSPCCKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeHJLL19, author = {Keon{-}Myung Lee and Chan Sik Han and Joong Nam Jun and Jee{-}Hyong Lee and Sang Ho Lee}, title = {Batch-Free Event Sequence Pattern Mining for Communication Stream Data with Instant and Persistent Events}, journal = {Wirel. Pers. Commun.}, volume = {105}, number = {2}, pages = {673--689}, year = {2019}, url = {https://doi.org/10.1007/s11277-018-5985-x}, doi = {10.1007/S11277-018-5985-X}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeHJLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhaoSDHDY19, author = {Xin Zhao and Liufang Sang and Guiguang Ding and Jungong Han and Na Di and Chenggang Yan}, title = {Recurrent Attention Model for Pedestrian Attribute Recognition}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {9275--9282}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33019275}, doi = {10.1609/AAAI.V33I01.33019275}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhaoSDHDY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aike/JungLKCHS19, author = {Jaemin Jung and Kyeonghwan Lim and Byoungchul Kim and Seong{-}je Cho and Sangchul Han and Kyoungwon Suh}, title = {Detecting Malicious Android Apps using the Popularity and Relations of APIs}, booktitle = {2nd {IEEE} International Conference on Artificial Intelligence and Knowledge Engineering, {AIKE} 2019, Sardinia, Italy, June 3-5, 2019}, pages = {309--312}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AIKE.2019.00062}, doi = {10.1109/AIKE.2019.00062}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aike/JungLKCHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungJHOL19, author = {Sangdon Jung and Jaehong Jung and Byungki Han and Seunghyun Oh and Jongwoo Lee}, title = {A 9.4MHz-to-2.4GHz Jitter-Power Reconfigurable Fractional-N Ring {PLL} for Multi-Standard Applications in 7nm FinFET {CMOS} Technology}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {87--90}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056931}, doi = {10.1109/A-SSCC47793.2019.9056931}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/JungJHOL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SungLLJKJBCLCSC19, author = {Barosaim Sung and Chilun Lo and Jaehoon Lee and Sangdon Jung and Seungjin Kim and Jaehong Jung and Seungyong Bae and Youngsea Cho and Yong Lim and Dooseok Choi and Myeongcheol Shin and Soonwoo Choi and Byungki Han and Seunghyun Oh and Jongwoo Lee}, title = {A Blocker-Tolerant Direct Sampling Receiver for Wireless Multi-Channel Communication in 14nm FinFET {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {165--168}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056898}, doi = {10.1109/A-SSCC47793.2019.9056898}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/SungLLJKJBCLCSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KimKLKSBLKJYHLL19, author = {Sang Joon Kim and Joonseong Kang and Wonseok Lee and Jonghan Kim and Junyeub Suh and Chisung Bae and Hyungwoo Lee and Jongpal Kim and Seungchul Jung and Seok{-}Ju Yun and Young{-}Jun Hong and Hankyu Lee and Jaechun Lee and Seong Joong Kim}, title = {Micro Bio Processor: a 0.144cc 70uW closed loop platform for body implant electroceutical systems}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918718}, doi = {10.1109/BIOCAS.2019.8918718}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/KimKLKSBLKJYHLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/camad/KimLLKH19, author = {Jung{-}In Kim and Seung{-}Jae Lee and Sang{-}Hyun Lee and Jong{-}Han Kim and Woon{-}Haing Hur}, title = {Test Framework for Communication Protocol Stack Software}, booktitle = {24th {IEEE} International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, {CAMAD} 2019, Limassol, Cyprus, September 11-13, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CAMAD.2019.8858495}, doi = {10.1109/CAMAD.2019.8858495}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/camad/KimLLKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/HanLLZPSL19, author = {Yuyan Han and Junqing Li and Yiping Liu and Zhi{-}xin Zheng and Yuxia Pan and Hongyan Sang and Lili Liu}, title = {Migrating Birds Optimization for Lot-streaming flow shop scheduling problem}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2019, Wellington, New Zealand, June 10-13, 2019}, pages = {667--672}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CEC.2019.8790017}, doi = {10.1109/CEC.2019.8790017}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cec/HanLLZPSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 27 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/JungSLSHKHC19, author = {Sangil Jung and Changyong Son and Seohyung Lee and JinWoo Son and Jae{-}Joon Han and Youngjun Kwak and Sung Ju Hwang and Changkyu Choi}, title = {Learning to Quantize Deep Networks by Optimizing Quantization Intervals With Task Loss}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {4350--4359}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Jung\_Learning\_to\_Quantize\_Deep\_Networks\_by\_Optimizing\_Quantization\_Intervals\_With\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00448}, timestamp = {Mon, 30 Aug 2021 17:01:14 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/JungSLSHKHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NahTGBHMSL19, author = {Seungjun Nah and Radu Timofte and Shuhang Gu and Sungyong Baik and Seokil Hong and Gyeongsik Moon and Sanghyun Son and Kyoung Mu Lee and Xintao Wang and Kelvin C. K. Chan and Ke Yu and Chao Dong and Chen Change Loy and Yuchen Fan and Jiahui Yu and Ding Liu and Thomas S. Huang and Xiao Liu and Chao Li and Dongliang He and Yukang Ding and Shilei Wen and Fatih Porikli and Ratheesh Kalarot and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Peng Yi and Zhongyuan Wang and Kui Jiang and Junjun Jiang and Jiayi Ma and Hang Dong and Xinyi Zhang and Zhe Hu and Kwan{-}Young Kim and Dong Un Kang and Se Young Chun and Kuldeep Purohit and A. N. Rajagopalan and Yapeng Tian and Yulun Zhang and Yun Fu and Chenliang Xu and Ahmet Murat Tekalp and Mustafa Akin Yilmaz and Cansu Korkmaz and Manoj Sharma and Megh Makwana and Anuj Badhwar and Ajay Pratap Singh and Avinash Upadhyay and Rudrabha Mukhopadhyay and Ankit Shukla and Dheeraj Khanna and A. S. Mandal and Santanu Chaudhury and Si Miao and Yongxin Zhu and Xiao Huo}, title = {{NTIRE} 2019 Challenge on Video Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {1985--1995}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Nah\_NTIRE\_2019\_Challenge\_on\_Video\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00250}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/NahTGBHMSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimLCLLCLSK19, author = {Sang{-}Hyo Kim and Eun{-}Gyu Lee and Sun{-}Kyu Choi and Jung{-}Taek Lim and Jae{-}Eun Lee and Han{-}Woong Choi and Kyung{-}Hyeok Lee and Jae{-}Hyeok Song and Choul{-}Young Kim}, title = {Design and Analyze of Compact Ku-Band Wilkinson Power Combiner in 65 nm {CMOS} Technology}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706442}, doi = {10.23919/ELINFOCOM.2019.8706442}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimLCLLCLSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/SongLCLLCLKK19, author = {Jae{-}Hyeok Song and Eun{-}Gyu Lee and Sun{-}Kyu Choi and Jung{-}Taek Lim and Jae{-}Eun Lee and Han{-}Woong Choi and Kyung{-}Hyeok Lee and Sang{-}Hyo Kim and Choul{-}Young Kim}, title = {Wireless {DC} power Generator for Passive {RFID} by using Cockcroft-Walton Voltage Multiplier in {CMOS} 0.18um Technology}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706387}, doi = {10.23919/ELINFOCOM.2019.8706387}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/SongLCLLCLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ParkKCH19, author = {Jun{-}U. Park and Sang{-}Ki Ko and Marco Cognetta and Yo{-}Sub Han}, editor = {Kentaro Inui and Jing Jiang and Vincent Ng and Xiaojun Wan}, title = {SoftRegex: Generating Regex from Natural Language Descriptions using Softened Regex Equivalence}, booktitle = {Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, {EMNLP-IJCNLP} 2019, Hong Kong, China, November 3-7, 2019}, pages = {6424--6430}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-1677}, doi = {10.18653/V1/D19-1677}, timestamp = {Thu, 07 Apr 2022 09:14:07 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ParkKCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/LeeJH19, author = {Sang{-}Oun Lee and Hyunseok Jung and Bosuk Han}, title = {Security Assured Vehicle Data Collection Platform by Blockchain: Service Provider's Perspective}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {265--268}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8701965}, doi = {10.23919/ICACT.2019.8701965}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/LeeJH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkHJL19, author = {Jungbae Park and Kijong Han and Yuneui Jeong and Sang Wan Lee}, title = {Phonemic-level Duration Control Using Attention Alignment for Natural Speech Synthesis}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {5896--5900}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683827}, doi = {10.1109/ICASSP.2019.8683827}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkHJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/EmersicPNKSKK0Y19, author = {Ziga Emersic and Hyeonjung Park and Gi Pyo Nam and Ig{-}Jae Kim and Sagar G. Sangodkar and Umit Kacar and Murvet Kirci and Li Yuan and Jishou Yuan and Haonan Zhao and Fei Lu and S. V. Aruna Kumar and Junying Mao and Xiaoshuang Zhang and Doggucan Yaman and Fevziye Irem Eyiokur and Kadir Bulut {\"{O}}zler and Hazim Kemal Ekenel and Debbrota Paul Chowdhury and Sambit Bakshi and Pankaj Kumar Sa and Banshidhar Majhi and B. S. Harish and Peter Peer and Vitomir Struc and Weronika Gutfeter and Jalil Nourmohammadi{-}Khiarak and Andrzej Pacut and Earnest E. Hansley and Maur{\'{\i}}cio Pamplona Segundo and Sudeep Sarkar}, title = {The Unconstrained Ear Recognition Challenge 2019}, booktitle = {2019 International Conference on Biometrics, {ICB} 2019, Crete, Greece, June 4-7, 2019}, pages = {1--15}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICB45273.2019.8987337}, doi = {10.1109/ICB45273.2019.8987337}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icb/EmersicPNKSKK0Y19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/CaiD0WPH19, author = {He Cai and Jun Deng and Sheng Chen and Xiaofei Wang and Sangheon Pack and Zhu Han}, title = {Improved Flow Awareness by Spatio-Temporal Collaborative Sampling in Software Defined Networks}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8762093}, doi = {10.1109/ICC.2019.8762093}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/CaiD0WPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/JungRI19, author = {Joon{-}Young Jung and Sang{-}Jung Ra and Han{-}Jae Im}, title = {A Method for Delivery of IP-based Broadcast Stream over Cable {TV} Network}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991982}, doi = {10.1109/ICCE-TW46550.2019.8991982}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/JungRI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/RaJI19, author = {Sang{-}Jung Ra and Joon{-}Young Jung and Han{-}Jae Im}, title = {Design of {IP} to {RF} Multi-modulation Transmission Platform in Convergence Transmission Network}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991730}, doi = {10.1109/ICCE-TW46550.2019.8991730}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/RaJI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/BaekKLPHYOL19, author = {Jeonghun Baek and Geewook Kim and Junyeop Lee and Sungrae Park and Dongyoon Han and Sangdoo Yun and Seong Joon Oh and Hwalsuk Lee}, title = {What Is Wrong With Scene Text Recognition Model Comparisons? Dataset and Model Analysis}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {4714--4722}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00481}, doi = {10.1109/ICCV.2019.00481}, timestamp = {Thu, 05 Mar 2020 10:01:04 +0100}, biburl = {https://dblp.org/rec/conf/iccv/BaekKLPHYOL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/YunHCOYC19, author = {Sangdoo Yun and Dongyoon Han and Sanghyuk Chun and Seong Joon Oh and Youngjoon Yoo and Junsuk Choe}, title = {CutMix: Regularization Strategy to Train Strong Classifiers With Localizable Features}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {6022--6031}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00612}, doi = {10.1109/ICCV.2019.00612}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/YunHCOYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/IshiiIKKNOOHBH19, author = {Masatoshi Ishii and Megumi Ito and Wanki Kim and SangBum Kim and Akiyo Nomura and Atsuya Okazaki and Junka Okazawa and Kohji Hosokawa and Matthew BrightSky and Wilfried Haensch}, editor = {Tom Gedeon and Kok Wai Wong and Minho Lee}, title = {Performance Analysis of Spiking {RBM} with Measurement-Based Phase Change Memory Model}, booktitle = {Neural Information Processing - 26th International Conference, {ICONIP} 2019, Sydney, NSW, Australia, December 12-15, 2019, Proceedings, Part {V}}, series = {Communications in Computer and Information Science}, volume = {1143}, pages = {591--599}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-36802-9\_63}, doi = {10.1007/978-3-030-36802-9\_63}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/IshiiIKKNOOHBH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ItoRIOKONHH19, author = {Megumi Ito and Malte J. Rasch and Masatoshi Ishii and Atsuya Okazaki and SangBum Kim and Junka Okazawa and Akiyo Nomura and Kohji Hosokawa and Wilfried Haensch}, editor = {Tom Gedeon and Kok Wai Wong and Minho Lee}, title = {Training Large-Scale Spiking Neural Networks on Multi-core Neuromorphic System Using Backpropagation}, booktitle = {Neural Information Processing - 26th International Conference, {ICONIP} 2019, Sydney, NSW, Australia, December 12-15, 2019, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11955}, pages = {185--194}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-36718-3\_16}, doi = {10.1007/978-3-030-36718-3\_16}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ItoRIOKONHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJHJCC19, author = {Byoungchul Kim and Jaemin Jung and Sangchul Han and Soyeon Jeon and Seong{-}je Cho and Jongmoo Choi}, title = {A New Technique for Detecting Android App Clones Using Implicit Intent and Method Information}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {478--483}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806121}, doi = {10.1109/ICUFN.2019.8806121}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimJHJCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/HanSL19, author = {Jihye Han and Young June Sah and Sangwon Lee}, editor = {Sukhan Lee and Roslan Ismail and Hyunseung Choo}, title = {Effects of Emotion-Based Color Feedback on User' Perceptions in Diary Context}, booktitle = {Proceedings of the 13th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2019, Phuket, Thailand, January 4-6, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {935}, pages = {539--546}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-19063-7\_42}, doi = {10.1007/978-3-030-19063-7\_42}, timestamp = {Sun, 30 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/HanSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLLLUPHSLSLSH19, author = {Y. Ji and H. J. Goo and J. Lim and S. B. Lee and S. Lee and Taiki Uemura and J. C. Park and S. I. Han and S. C. Shin and J. H. Lee and Y. J. Song and K. M. Lee and H. M. Shin and S. H. Hwang and B. Y. Seo and Y. K. Lee and J. C. Kim and Gwanhyeob Koh and K. C. Park and Sangwoo Pae and Gi{-}Tae Jeong and J. S. Yoon and E. S. Jung}, title = {Reliability of 8Mbit Embedded-STT-MRAM in 28nm {FDSOI} Technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720429}, doi = {10.1109/IRPS.2019.8720429}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiGLLLUPHSLSLSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ImHCKY19, author = {Dongseok Im and Donghyeon Han and Sungpill Choi and Sanghoon Kang and Hoi{-}Jun Yoo}, title = {{DT-CNN:} Dilated and Transposed Convolution Neural Network Accelerator for Real-Time Image Segmentation on Mobile Devices}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702243}, doi = {10.1109/ISCAS.2019.8702243}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ImHCKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJBGPSCKKKJMH19, author = {Inhak Lee and Hanwool Jeong and Sangyeop Baeck and Siddharth Gupta and Changnam Park and Dongwook Seo and Jaeseung Choi and Jaeyoung Kim and Hoon Kim and Jungmyung Kang and Sunyung Jang and Daeyoung Moon and Sangshin Han and Taehyung Kim and Jaehyun Lim and Younghwan Park and Hyejin Hwang and Jeonseung Kang and Taejoong Song}, title = {A Voltage and Temperature Tracking {SRAM} Assist Supporting 740mV Dual-Rail Offset for Low-Power and High-Performance Applications in 7nm {EUV} FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {392--394}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662439}, doi = {10.1109/ISSCC.2019.8662439}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJBGPSCKKKJMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PangLKLWWYFSNAM19, author = {Jian Pang and Zheng Li and Ryo Kubozoe and Xueting Luo and Rui Wu and Yun Wang and Dongwon You and Ashbir Aviat Fadila and Rattanan Saengchan and Takeshi Nakamura and Joshua Alvin and Daiki Matsumoto and Aravind Tharayil Narayanan and Bangan Liu and Junjun Qiu and Hanli Liu and Zheng Sun and Hongye Huang and Korkut Kaan Tokgoz and Keiichi Motoi and Naoki Oshima and Shinichi Hori and Kazuaki Kunihiro and Tomoya Kaneko and Atsushi Shirane and Kenichi Okada}, title = {A 28GHz {CMOS} Phased-Array Beamformer Utilizing Neutralized Bi-Directional Technique Supporting Dual-Polarized {MIMO} for 5G {NR}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {344--346}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662324}, doi = {10.1109/ISSCC.2019.8662324}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PangLKLWWYFSNAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeHHVML19, author = {Sang Ho Lee and Peijin Han and Russell K. Hales and K. Ranh Voong and Todd R. McNutt and Junghoon Lee}, editor = {Kensaku Mori and Horst K. Hahn}, title = {Identifying optimal input using multilevel radiomics and nested cross-validation for predicting pulmonary function in lung cancer patients treated with radiotherapy}, booktitle = {Medical Imaging 2019: Computer-Aided Diagnosis, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10950}, pages = {109501C}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2513083}, doi = {10.1117/12.2513083}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/LeeHHVML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/KimKKPSCKKSH19, author = {Kyung{-}Min Kim and Dong{-}Hyun Kwak and Hanock Kwak and Young{-}Jin Park and Sangkwon Sim and Jae{-}Han Cho and Minkyu Kim and Jihun Kwon and Nako Sung and Jung{-}Woo Ha}, editor = {Marko Tkalcic and Sole Pera}, title = {Tripartite Heterogeneous Graph Propagation for Large-scale Social Recommendation}, booktitle = {Proceedings of {ACM} RecSys 2019 Late-Breaking Results co-located with the 13th {ACM} Conference on Recommender Systems, RecSys 2019 Late-Breaking Results, Copenhagen, Denmark, September 16-20, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2431}, pages = {56--60}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2431/paper12.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/recsys/KimKKPSCKKSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChoHCH19, author = {Sanghun Cho and Jaewan Hong and Jungsik Choi and Hwansoo Han}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {Multithreaded double queuing for balanced {CPU-GPU} memory copying}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {1444--1450}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297426}, doi = {10.1145/3297280.3297426}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChoHCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tabletop/ParkCPYJ19, author = {Chanho Park and Hyunwoo Cho and Sangheon Park and Young{-}Suk Yoon and Sung{-}Uk Jung}, editor = {Bongshin Lee and Geehyuk Lee and Stacey D. Scott and Melanie Tory and Jeonghyun Kim}, title = {HandPoseMenu: Hand Posture-Based Virtual Menus for Changing Interaction Mode in 3D Space}, booktitle = {Proceedings of the 2019 {ACM} International Conference on Interactive Surfaces and Spaces, {ISS} 2019, Daejeon, South Korea, November 10-13, 2019}, pages = {361--366}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3343055.3360752}, doi = {10.1145/3343055.3360752}, timestamp = {Fri, 02 Dec 2022 08:27:05 +0100}, biburl = {https://dblp.org/rec/conf/tabletop/ParkCPYJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KoSKLYJLKJ19, author = {Han{-}Gon Ko and Soyeong Shin and Chan{-}Ho Kye and Sang{-}Yoon Lee and Jaekwang Yun and Hae{-}Kang Jung and Doobock Lee and Suhwan Kim and Deog{-}Kyoon Jeong}, title = {A 370-fJ/b, 0.0056 mm\({}^{\mbox{2}}\)/DQ, 4.8-Gb/s {DQ} Receiver for {HBM3} with a Baud-Rate Self-Tracking Loop}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {94}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778082}, doi = {10.23919/VLSIC.2019.8778082}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KoSKLYJLKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-04143, author = {Ziga Emersic and S. V. Aruna Kumar and B. S. Harish and Weronika Gutfeter and Jalil Nourmohammadi{-}Khiarak and Andrzej Pacut and Earnest E. Hansley and Maur{\'{\i}}cio Pamplona Segundo and Sudeep Sarkar and Hyeonjung Park and Gi Pyo Nam and Ig{-}Jae Kim and Sagar G. Sangodkar and {\"{U}}mit Ka{\c{c}}ar and Murvet Kirci and Li Yuan and Jishou Yuan and Haonan Zhao and Fei Lu and Junying Mao and Xiaoshuang Zhang and Dogucan Yaman and Fevziye Irem Eyiokur and Kadir Bulut {\"{O}}zler and Hazim Kemal Ekenel and Debbrota Paul Chowdhury and Sambit Bakshi and Pankaj Kumar Sa and Banshidhar Majhi and Peter Peer and Vitomir Struc}, title = {The Unconstrained Ear Recognition Challenge 2019 - ArXiv Version With Appendix}, journal = {CoRR}, volume = {abs/1903.04143}, year = {2019}, url = {http://arxiv.org/abs/1903.04143}, eprinttype = {arXiv}, eprint = {1903.04143}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-04143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-01906, author = {Jeonghun Baek and Geewook Kim and Junyeop Lee and Sungrae Park and Dongyoon Han and Sangdoo Yun and Seong Joon Oh and Hwalsuk Lee}, title = {What is wrong with scene text recognition model comparisons? dataset and model analysis}, journal = {CoRR}, volume = {abs/1904.01906}, year = {2019}, url = {http://arxiv.org/abs/1904.01906}, eprinttype = {arXiv}, eprint = {1904.01906}, timestamp = {Wed, 24 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-01906.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-04899, author = {Sangdoo Yun and Dongyoon Han and Seong Joon Oh and Sanghyuk Chun and Junsuk Choe and Youngjoon Yoo}, title = {CutMix: Regularization Strategy to Train Strong Classifiers with Localizable Features}, journal = {CoRR}, volume = {abs/1905.04899}, year = {2019}, url = {http://arxiv.org/abs/1905.04899}, eprinttype = {arXiv}, eprint = {1905.04899}, timestamp = {Tue, 28 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-04899.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02569, author = {Kyung{-}Min Kim and Dong{-}Hyun Kwak and Hanock Kwak and Young{-}Jin Park and Sangkwon Sim and Jae{-}Han Cho and Minkyu Kim and Jihun Kwon and Nako Sung and Jung{-}Woo Ha}, title = {Tripartite Heterogeneous Graph Propagation for Large-scale Social Recommendation}, journal = {CoRR}, volume = {abs/1908.02569}, year = {2019}, url = {http://arxiv.org/abs/1908.02569}, eprinttype = {arXiv}, eprint = {1908.02569}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02569.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/KimLH18, author = {SangBin Kim and Sinjae Lee and JungHyun Han}, title = {StretchArms: Promoting Stretching Exercise with a Smartwatch}, journal = {Int. J. Hum. Comput. Interact.}, volume = {34}, number = {3}, pages = {218--225}, year = {2018}, url = {https://doi.org/10.1080/10447318.2017.1342408}, doi = {10.1080/10447318.2017.1342408}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/KimLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jet/HanS18, author = {Jungsuk Han and Francesco Sangiorgi}, title = {Searching for information}, journal = {J. Econ. Theory}, volume = {175}, pages = {342--373}, year = {2018}, url = {https://doi.org/10.1016/j.jet.2018.01.021}, doi = {10.1016/J.JET.2018.01.021}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jet/HanS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/ChoLHKPH18, author = {Seong{-}je Cho and Kyeonghwan Lim and Jungkyu Han and Byoung{-}chir Kim and Minkyu Park and Sangchul Han}, title = {Open-Source Android App Detection considering the Effects of Code Obfuscation}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {9}, number = {3}, pages = {50--61}, year = {2018}, url = {https://doi.org/10.22667/JOWUA.2018.09.30.050}, doi = {10.22667/JOWUA.2018.09.30.050}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/ChoLHKPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AnHKYKHYJLJBHK18, author = {Jae{-}Sung An and Sang{-}Hyun Han and Ju Eon Kim and Dong{-}Hyun Yoon and Young{-}Hwan Kim and Han{-}Hee Hong and Jae{-}Hun Ye and Sung{-}Jin Jung and Seung{-}Hwan Lee and Ji{-}Yong Jeong and Kwang{-}Hyun Baek and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {A 3.9-kHz Frame Rate and 61.0-dB {SNR} Analog Front-End {IC} With 6-bit Pressure and Tilt Angle Expressions of Active Stylus Using Multiple-Frequency Driving Method for Capacitive Touch Screen Panels}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {187--203}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2759191}, doi = {10.1109/JSSC.2017.2759191}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AnHKYKHYJLJBHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimKLGK18, author = {Sangjoon J. Kim and Yeongjin Kim and Hyosang Lee and Pouya Ghasemlou and Jung Kim}, title = {Development of an MR-compatible hand exoskeleton that is capable of providing interactive robotic rehabilitation during fMRI imaging}, journal = {Medical Biol. Eng. Comput.}, volume = {56}, number = {2}, pages = {261--272}, year = {2018}, url = {https://doi.org/10.1007/s11517-017-1681-3}, doi = {10.1007/S11517-017-1681-3}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimKLGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HanCLYKBYKLKLKJ18, author = {Heonjong Han and Jae{-}Won Cho and Sang{-}Young Lee and Ayoung Yun and Hyojin Kim and Dasom Bae and Sunmo Yang and Chan Yeong Kim and Muyoung Lee and Eunbeen Kim and Sungho Lee and Byunghee Kang and Dabin Jeong and Yaeji Kim and Hyeon{-}Nae Jeon and Haein Jung and Sunhwee Nam and Michael Chung and Jong{-}Hoon Kim and Insuk Lee}, title = {{TRRUST} v2: an expanded reference database of human and mouse transcriptional regulatory interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D380--D386}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1013}, doi = {10.1093/NAR/GKX1013}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HanCLYKBYKLKLKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimCPK18, author = {Sangjoon Jonathan Kim and Handdeut Chang and Junghoon Park and Jung Kim}, title = {Design of a Portable Pneumatic Power Source With High Output Pressure for Wearable Robotic Applications}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {4}, pages = {4351--4358}, year = {2018}, url = {https://doi.org/10.1109/LRA.2018.2864823}, doi = {10.1109/LRA.2018.2864823}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimCPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimOKJLC18, author = {Sang{-}Hun Kim and Sunjong Oh and Kyu Bum Kim and Youngdo Jung and Hyuneui Lim and Kyu{-}Jin Cho}, title = {Design of a Bioinspired Robotic Hand: Magnetic Synapse Sensor Integration for a Robust Remote Tactile Sensing}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {4}, pages = {3545--3552}, year = {2018}, url = {https://doi.org/10.1109/LRA.2018.2853715}, doi = {10.1109/LRA.2018.2853715}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/KimOKJLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rsa/KimLN18, author = {Jeong Han Kim and Sang June Lee and Joohan Na}, title = {On the total variation distance between the binomial random graph and the random intersection graph}, journal = {Random Struct. Algorithms}, volume = {52}, number = {4}, pages = {662--679}, year = {2018}, url = {https://doi.org/10.1002/rsa.20750}, doi = {10.1002/RSA.20750}, timestamp = {Thu, 21 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rsa/KimLN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JunCKYKH18, author = {Jaeyung Jun and Kyu Hyun Choi and Hokwon Kim and Sang Ho Yu and Seon Wook Kim and Youngsun Han}, title = {Recovering from Biased Distribution of Faulty Cells in Memory by Reorganizing Replacement Regions through Universal Hashing}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {2}, pages = {16:1--16:21}, year = {2018}, url = {https://doi.org/10.1145/3131241}, doi = {10.1145/3131241}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/JunCKYKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/LeeHNPKKLCM18, author = {Juchang Lee and Wook{-}Shin Han and Hyoung Jun Na and Chang Gyoo Park and Kyu Hwan Kim and Deok Hoe Kim and Joo{-}Yeon Lee and Sang Kyun Cha and SeungHyun Moon}, title = {Parallel replication across formats for scaling out mixed {OLTP/OLAP} workloads in main-memory databases}, journal = {{VLDB} J.}, volume = {27}, number = {3}, pages = {421--444}, year = {2018}, url = {https://doi.org/10.1007/s00778-018-0503-z}, doi = {10.1007/S00778-018-0503-Z}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/LeeHNPKKLCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LeeHJ18, author = {Sunghan Lee and Sangjun Han and Sung Chan Jun}, title = {{EEG} Hyperscanning for Eight or more Persons - Feasibility Study for Emotion Recognition using Deep Learning Technique}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2018, Honolulu, HI, USA, November 12-15, 2018}, pages = {488--492}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/APSIPA.2018.8659738}, doi = {10.23919/APSIPA.2018.8659738}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LeeHJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/HanWKOKJJLYJA18, author = {Inhwa Han and Sanghyun Won and Yungeui Kang and Kyungseok Oh and Kyeonghui Kim and Janghwan Jekal and Sang Hyeon Jin and Gi Hyun Lee and Seung Tae Yang and Seon Yoon Jung and Jinung An}, title = {Cortical activation patterns of electrical pain stimulation using fNIRS}, booktitle = {6th International Conference on Brain-Computer Interface, {BCI} 2018, Gangwon, Korea (South), January 15-17, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IWW-BCI.2018.8311511}, doi = {10.1109/IWW-BCI.2018.8311511}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/HanWKOKJJLYJA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimPHKC18, author = {Minkyung Kim and Sangdon Park and Kireem Han and Nakyoung Kim and Jun Kyun Choi}, title = {Dynamics of Electricity Consumers for Classifying Power Consumption Data Using {PCA}}, booktitle = {2018 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2018, Shanghai, China, January 15-17, 2018}, pages = {697--700}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigComp.2018.00129}, doi = {10.1109/BIGCOMP.2018.00129}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/KimPHKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/NamKKJHC18, author = {Sangha Nam and Eun{-}Kyung Kim and Jiho Kim and Yoosung Jung and Kijong Han and Key{-}Sun Choi}, editor = {Dongyan Zhao}, title = {A Korean Knowledge Extraction System for Enriching a KBox}, booktitle = {{COLING} 2018, The 27th International Conference on Computational Linguistics: System Demonstrations, Santa Fe, New Mexico, August 20-26, 2018}, pages = {20--24}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://aclanthology.org/C18-2005/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/NamKKJHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimLJC18, author = {Boeun Kim and Young Han Lee and Hyedong Jung and Choong Sang Cho}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {Distinctive-Attribute Extraction for Image Captioning}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {11132}, pages = {133--144}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11018-5\_12}, doi = {10.1007/978-3-030-11018-5\_12}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimLJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WenZDBLHLCLMNWW18, author = {Longyin Wen and Pengfei Zhu and Dawei Du and Xiao Bian and Haibin Ling and Qinghua Hu and Chenfeng Liu and Hao Cheng and Xiaoyu Liu and Wenya Ma and Qinqin Nie and Haotian Wu and Lianjie Wang and Asanka G. Perera and Baochang Zhang and Byeongho Heo and Chunlei Liu and Dongdong Li and Emmanouil Michail and Hanlin Chen and Hao Liu and Haojie Li and Ioannis Kompatsiaris and Jian Cheng and Jiaqing Fan and Jie Zhang and Jin Young Choi and Jing Li and Jinyu Yang and Jongwon Choi and Juanping Zhao and Jungong Han and Kaihua Zhang and Kaiwen Duan and Ke Song and Konstantinos Avgerinakis and Kyuewang Lee and Lu Ding and Martin Lauer and Panagiotis Giannakeris and Peizhen Zhang and Qiang Wang and Qianqian Xu and Qingming Huang and Qingshan Liu and Robert Lagani{\`{e}}re and Ruixin Zhang and Sangdoo Yun and Shengyin Zhu and Sihang Wu and Stefanos Vrochidis and Wei Tian and Wei Zhang and Weidong Chen and Weiming Hu and Wenhao Wang and Wenhua Zhang and Wenrui Ding and Xiaohao He and Xiaotong Li and Xin Zhang and Xinbin Luo and Xixi Hu and Yang Meng and Yangliu Kuai and Yanyun Zhao and Yaxuan Li and Yifan Yang and Yifan Zhang and Yong Wang and Yuankai Qi and Zhipeng Deng and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {VisDrone-SOT2018: The Vision Meets Drone Single-Object Tracking Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {469--495}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_28}, doi = {10.1007/978-3-030-11021-5\_28}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/WenZDBLHLCLMNWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KimHSMKPYRYLCLL18, author = {Dae{-}Ub Kim and Kyeong{-}Eun Han and Jongtae Song and Sang{-}Rok Moon and Bup{-}Joong Kim and Chansung Park and Sanghwa Yoo and Yongwook Ra and JiWook Youn and Young{-}Wuk Lee and Junseok Choi and Jongmin Lim and Daehun Lim and Changhyun Kang and Kwangjoon Kim}, title = {Photonic Frame Based Packet Switching Optical Network}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535297}, doi = {10.1109/ECOC.2018.8535297}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/KimHSMKPYRYLCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiHWJ18, author = {Jinyoung Choi and Sangjun Han and Kyungho Won and Sung Chan Jun}, title = {The Neurophysiological Effect of Acoustic Stimulation with Real-time Sleep Spindle Detection}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {470--473}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512323}, doi = {10.1109/EMBC.2018.8512323}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiHWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/HanPLKSC18, author = {Jaeseob Han and Sangdon Park and Gyeong Ho Lee and Minkyung Kim and Hyeonseok Seo and Jun Kyun Choi}, title = {Energy Trading in Wireless Power Transmission System Considering Nonlinear Rectifier}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {145--146}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574855}, doi = {10.1109/GCCE.2018.8574855}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/HanPLKSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HanLSTBS18, author = {Yuyan Han and Junqing Li and Hongyan Sang and Tian Tian and Yun Bao and Qun Sun}, editor = {De{-}Shuang Huang and Vitoantonio Bevilacqua and Prashan Premaratne and Phalguni Gupta}, title = {An Improved Discrete Migrating Birds Optimization for Lot-Streaming Flow Shop Scheduling Problem with Blocking}, booktitle = {Intelligent Computing Theories and Application - 14th International Conference, {ICIC} 2018, Wuhan, China, August 15-18, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10954}, pages = {780--791}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-95930-6\_79}, doi = {10.1007/978-3-319-95930-6\_79}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/HanLSTBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/NomuraIOIKOHH18, author = {Akiyo Nomura and Megumi Ito and Atsuya Okazaki and Masatoshi Ishii and SangBum Kim and Junka Okazawa and Kohji Hosokawa and Wilfried Haensch}, editor = {Long Cheng and Andrew Chi{-}Sing Leung and Seiichi Ozawa}, title = {{NVM} Weight Variation Impact on Analog Spiking Neural Network Chip}, booktitle = {Neural Information Processing - 25th International Conference, {ICONIP} 2018, Siem Reap, Cambodia, December 13-16, 2018, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {11307}, pages = {676--685}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04239-4\_61}, doi = {10.1007/978-3-030-04239-4\_61}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/NomuraIOIKOHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungLSYJJK18, author = {Han Gyun Jung and Ki{-}Taeg Lim and Dae Kyo Shin and Sang Hun Yoon and Seong Keun Jin and Soo Hyun Jang and Jae Min Kwak}, title = {Reliability Verification Procedure of Secured {V2X} Communication for Autonomous Cooperation Driving}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1356--1360}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539617}, doi = {10.1109/ICTC.2018.8539617}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JungLSYJJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JinJSYJ18, author = {Seong Keun Jin and Soo Hyun Jang and Dae Kyo Shin and Sang Hun Yoon and Han Gyun Jung}, title = {Performance Analysis of {WAVE} Communication for Emergency Broadcasting in Metro Environments}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {557--560}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8437032}, doi = {10.1109/ICUFN.2018.8437032}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JinJSYJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/ParkSHK18, author = {Hyekyoung Park and Junho Song and Kyungsik Han and Sang{-}Wook Kim}, title = {I Like Your Tagged Photos, But Do We Know Each Other?: Analyzing the Role of Tags in Like Networks}, booktitle = {2018 {IEEE} International Conference on Web Services, {ICWS} 2018, San Francisco, CA, USA, July 2-7, 2018}, pages = {335--338}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICWS.2018.00055}, doi = {10.1109/ICWS.2018.00055}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icws/ParkSHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JungUSHLK18, author = {Hyunki Jung and Dzuhri Radityo Utomo and Saebyeok Shin and Seok{-}Kyun Han and Sang{-}Gug Lee and Jusung Kim}, title = {Ka-band {RF} Front-End with 5dB {NF} and 16dB conversion gain in 45nm {CMOS} technology}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {105--106}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649986}, doi = {10.1109/ISOCC.2018.8649986}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JungUSHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ShinUJHLK18, author = {Saebyeok Shin and Dzuhri Radityo Utomo and Hyunki Jung and Seok{-}Kyun Han and Sang{-}Gug Lee and Jusung Kim}, title = {A 22.8-to-32.4 GHz Injection-locked Frequency Tripler with Source Degeneration}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {107--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649927}, doi = {10.1109/ISOCC.2018.8649927}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ShinUJHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AnHPKYLJKBCHK18, author = {Jae{-}Sung An and Sang{-}Hyun Han and Kyeong{-}Bin Park and Ju Eon Kim and Jae{-}Hun Ye and Seung{-}Hwan Lee and Ji{-}Yong Jeong and Jung Soo Kim and Kwang{-}Hyun Baek and Ki{-}Seok Chung and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {Multi-way interactive capacitive touch system with palm rejection of active stylus for 86" touch screen panels}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {182--184}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310244}, doi = {10.1109/ISSCC.2018.8310244}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AnHPKYLJKBCHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yong{-}Gyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Dae{-}Sik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Mon, 28 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangKBKKLLYCJL18, author = {Kyu{-}Dong Hwang and Boram Kim and Sang{-}Yeon Byeon and Kyu{-}Young Kim and Dae{-}Han Kwon and Hyun{-}Bae Lee and Geun{-}Il Lee and Sang{-}Sic Yoon and Jin{-}Youp Cha and Soo{-}Young Jang and Seung{-}Hun Lee and Yongsuk Joo and Gang{-}Sik Lee and Sung{-}Soo Xi and Soo{-}Bin Lim and Kyung{-}Ho Chu and Joohwan Cho and Junhyun Chun and Jonghoon Oh and Jinkook Kim and Seok Hee Lee}, title = {A 16Gb/s/pin 8Gb {GDDR6} {DRAM} with bandwidth extension techniques for high-speed applications}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {210--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310258}, doi = {10.1109/ISSCC.2018.8310258}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HwangKBKKLLYCJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimSKOH18, author = {Sang{-}Yuep Kim and Takahiro Suzuki and Junichi Kani and Akihiro Otaka and Toshihiro Hanawa}, title = {Coherent Receiver {DSP} Implemented on a General-Purpose Server for Full Software-Defined Optical Access}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8385988}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimSKOH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SuzukiKKOH18, author = {Takahiro Suzuki and Sang{-}Yuep Kim and Junichi Kani and Akihiro Otaka and Toshihiro Hanawa}, title = {10-Gbps Real-time Burst-Frame Synchronization Using Dual-Stage Detection for Full-Software Optical Access Systems}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8385992}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SuzukiKKOH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/JungCCHPH18, author = {Jaemin Jung and Jongmoo Choi and Seong{-}je Cho and Sangchul Han and Minkyu Park and Young{-}Sup Hwang}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Android malware detection using convolutional neural networks and data section images}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {149--153}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264780}, doi = {10.1145/3264746.3264780}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/JungCCHPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/LeeYCJ18, author = {Young Han Lee and Jong{-}Yeol Yang and Choong Sang Cho and Hyedong Jung}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Phoneme segmentation using deep learning for speech synthesis}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {59--61}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264801}, doi = {10.1145/3264746.3264801}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/LeeYCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/SeokHPRS18, author = {Hyeon Seok Seok and Sangjin Han and Junyung Park and Donggeun Roh and Hangsik Shin}, title = {Photoplethysmographic Pulse Quality Assessment Methods Based on Similarity Analysis}, booktitle = {2018 Joint 10th International Conference on Soft Computing and Intelligent Systems {(SCIS)} and 19th International Symposium on Advanced Intelligent Systems (ISIS), Toyama, Japan, December 5-8, 2018}, pages = {350--353}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SCIS-ISIS.2018.00067}, doi = {10.1109/SCIS-ISIS.2018.00067}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/SeokHPRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanKLJ18, author = {Sangjun Han and Moonyoung Kwon and Sunghan Lee and Sung Chan Jun}, title = {Feasibility Study of {EEG} Super-Resolution Using Deep Convolutional Networks}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {1033--1038}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00184}, doi = {10.1109/SMC.2018.00184}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HanKLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/syroco/ParkKKKN18, author = {Junghoon Park and Sangjoon J. Kim and Seulki Kyeong and Jung Kim and Youngjin Na}, editor = {Mihoko Niitsuma}, title = {Feasibility of proportional {EMG} control for a hand exoskeleton: {A} Fitts' Law approach}, booktitle = {12th {IFAC} Symposium on Robot Control, SyRoCo 2018, Budapest, Hungary, August 27-30, 2018}, series = {IFAC-PapersOnline}, volume = {51}, number = {22}, pages = {214--219}, publisher = {International Federation of Automatic Control}, year = {2018}, url = {https://doi.org/10.1016/j.ifacol.2018.11.544}, doi = {10.1016/J.IFACOL.2018.11.544}, timestamp = {Fri, 28 May 2021 12:04:05 +0200}, biburl = {https://dblp.org/rec/conf/syroco/ParkKKKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/um/HanJJKSK18, author = {Kyungsik Han and Yonggeol Jo and Youngseung Jeon and Bogoan Kim and Junho Song and Sang{-}Wook Kim}, editor = {Tanja Mitrovic and Jie Zhang and Li Chen and David Chin}, title = {Photos Don't Have Me, But How Do You Know Me?: Analyzing and Predicting Users on Instagram}, booktitle = {Adjunct Publication of the 26th Conference on User Modeling, Adaptation and Personalization, {UMAP} 2018, Singapore, July 08-11, 2018}, pages = {251--256}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3213586.3225232}, doi = {10.1145/3213586.3225232}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/um/HanJJKSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-00097, author = {Alexey Kurakin and Ian J. Goodfellow and Samy Bengio and Yinpeng Dong and Fangzhou Liao and Ming Liang and Tianyu Pang and Jun Zhu and Xiaolin Hu and Cihang Xie and Jianyu Wang and Zhishuai Zhang and Zhou Ren and Alan L. Yuille and Sangxia Huang and Yao Zhao and Yuzhe Zhao and Zhonglin Han and Junjiajia Long and Yerkebulan Berdibekov and Takuya Akiba and Seiya Tokui and Motoki Abe}, title = {Adversarial Attacks and Defences Competition}, journal = {CoRR}, volume = {abs/1804.00097}, year = {2018}, url = {http://arxiv.org/abs/1804.00097}, eprinttype = {arXiv}, eprint = {1804.00097}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-00097.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-09434, author = {Boeun Kim and Young Han Lee and Hyedong Jung and Choong Sang Cho}, title = {Distinctive-attribute Extraction for Image Captioning}, journal = {CoRR}, volume = {abs/1807.09434}, year = {2018}, url = {http://arxiv.org/abs/1807.09434}, eprinttype = {arXiv}, eprint = {1807.09434}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-09434.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-05779, author = {Sangil Jung and Changyong Son and Seohyung Lee and JinWoo Son and Youngjun Kwak and Jae{-}Joon Han and Changkyu Choi}, title = {Joint Training of Low-Precision Neural Network with Quantization Interval Parameters}, journal = {CoRR}, volume = {abs/1808.05779}, year = {2018}, url = {http://arxiv.org/abs/1808.05779}, eprinttype = {arXiv}, eprint = {1808.05779}, timestamp = {Sun, 02 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-05779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-05486, author = {Hyunsun Park and Jun Haeng Lee and Youngmin Oh and Sangwon Ha and Seungwon Lee}, title = {Training Deep Neural Network in Limited Precision}, journal = {CoRR}, volume = {abs/1810.05486}, year = {2018}, url = {http://arxiv.org/abs/1810.05486}, eprinttype = {arXiv}, eprint = {1810.05486}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-05486.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-05488, author = {Jun Haeng Lee and Sangwon Ha and Saerom Choi and Won{-}Jo Lee and Seungwon Lee}, title = {Quantization for Rapid Deployment of Deep Neural Networks}, journal = {CoRR}, volume = {abs/1810.05488}, year = {2018}, url = {http://arxiv.org/abs/1810.05488}, eprinttype = {arXiv}, eprint = {1810.05488}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-05488.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-12627, author = {Hyungu Kahng and Yonghyun Jung and Yoon{-}Sang Cho and Gonie Ahn and Youngjoon Park and Uk Jo and Hankyu Lee and Hyungrok Do and Junseung Lee and Hyunjin Choi and Iljoo Yoon and Hyunjae Lee and Daehun Jun and Changhyeon Bae and Seoung Bum Kim}, title = {Clear the Fog: Combat Value Assessment in Incomplete Information Games with Convolutional Encoder-Decoders}, journal = {CoRR}, volume = {abs/1811.12627}, year = {2018}, url = {http://arxiv.org/abs/1811.12627}, eprinttype = {arXiv}, eprint = {1811.12627}, timestamp = {Mon, 03 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-12627.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/KimJPTLM17, author = {Byungchul Kim and Young{-}Jun Jeon and Sangjin Park and Hans Teijgeler and David Leal and Duhwan Mun}, title = {Toward standardized exchange of plant 3D {CAD} models using {ISO} 15926}, journal = {Comput. Aided Des.}, volume = {83}, pages = {80--95}, year = {2017}, url = {https://doi.org/10.1016/j.cad.2016.10.005}, doi = {10.1016/J.CAD.2016.10.005}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/KimJPTLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KooHPRRKBH17, author = {Junmo Koo and Daegeun Ha and Damdae Park and Hyun{-}Joon Roh and Sangwon Ryu and Gon{-}Ho Kim and Kye Hyun Baek and Chonghun Han}, title = {Design of optical emission spectroscopy based plasma parameter controller for real-time advanced equipment control}, journal = {Comput. Chem. Eng.}, volume = {100}, pages = {38--47}, year = {2017}, url = {https://doi.org/10.1016/j.compchemeng.2017.02.009}, doi = {10.1016/J.COMPCHEMENG.2017.02.009}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cce/KooHPRRKBH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JunNJLPL17, author = {Hongshin Jun and Sang Kyun Nam and Han Ho Jin and Jong{-}Chern Lee and Yong Jae Park and Jaejin Lee}, title = {High-Bandwidth Memory {(HBM)} Test Challenges and Solutions}, journal = {{IEEE} Des. Test}, volume = {34}, number = {1}, pages = {16--25}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2016.2624283}, doi = {10.1109/MDAT.2016.2624283}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/JunNJLPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/ChoiKJLH17, author = {Sang Su Choi and Gyhun Kang and Chan Mo Jun and Ju{-}Yeon Lee and Seukjoo Han}, title = {Cyber-physical systems: a case study of development for manufacturing industry}, journal = {Int. J. Comput. Appl. Technol.}, volume = {55}, number = {4}, pages = {289--297}, year = {2017}, url = {https://doi.org/10.1504/IJCAT.2017.10006845}, doi = {10.1504/IJCAT.2017.10006845}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/ChoiKJLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HeGSQH17, author = {Yi He and Changxin Gao and Nong Sang and Zhiguo Qu and Jun Han}, title = {Graph coloring based surveillance video synopsis}, journal = {Neurocomputing}, volume = {225}, pages = {64--79}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.11.011}, doi = {10.1016/J.NEUCOM.2016.11.011}, timestamp = {Mon, 25 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HeGSQH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimCLJ17, author = {Jung{-}Yeon Kim and Ye{-}Rin Cha and Sang{-}Heon Lee and Bong{-}Keun Jung}, title = {Development and Evaluation of Tip Pinch Strength Measurement on a Paretic hand Rehabilitation Device}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {2}, pages = {1201--1216}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.02.033}, doi = {10.3837/TIIS.2017.02.033}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/KimCLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ParkJKSOPSLH17, author = {Na{-}Yeon Park and Jae{-}Dong Jang and Youngmi Kim and Eun{-}Ha Sohn and Mi{-}Lim Ou and Jun{-}Dong Park and Minji Seo and Kyeong{-}Sang Lee and Kyung{-}Soo Han}, title = {COMS-Based Retrieval of Daily Actual Evapotranspiration over Korea}, journal = {J. Sensors}, volume = {2017}, pages = {2151809:1--2151809:16}, year = {2017}, url = {https://doi.org/10.1155/2017/2151809}, doi = {10.1155/2017/2151809}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ParkJKSOPSLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/NaKJK17, author = {Youngjin Na and Sangjoon J. Kim and Sungho Jo and Jung Kim}, title = {Ranking hand movements for myoelectric pattern recognition considering forearm muscle structure}, journal = {Medical Biol. Eng. Comput.}, volume = {55}, number = {8}, pages = {1507--1518}, year = {2017}, url = {https://doi.org/10.1007/s11517-016-1608-4}, doi = {10.1007/S11517-016-1608-4}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/NaKJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LeeMKKCHPNL17, author = {Juchang Lee and SeungHyun Moon and Kyu Hwan Kim and Deok Hoe Kim and Sang Kyun Cha and Wook{-}Shin Han and Chang Gyoo Park and Hyoung Jun Na and Joo{-}Yeon Lee}, title = {Parallel Replication across Formats in {SAP} {HANA} for Scaling Out Mixed {OLTP/OLAP} Workloads}, journal = {Proc. {VLDB} Endow.}, volume = {10}, number = {12}, pages = {1598--1609}, year = {2017}, url = {http://www.vldb.org/pvldb/vol10/p1598-han.pdf}, doi = {10.14778/3137765.3137767}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LeeMKKCHPNL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PhamHKKLLJJ17, author = {Xuan{-}Hung Pham and Eunil Hahm and Tae Han Kim and Hyung{-}Mo Kim and Sang Hun Lee and Yoon{-}Sik Lee and Dae Hong Jeong and Bong{-}Hyun Jun}, title = {Adenosine Triphosphate-Encapsulated Liposomes with Plasmonic Nanoparticles for Surface Enhanced Raman Scattering-Based Immunoassays}, journal = {Sensors}, volume = {17}, number = {7}, pages = {1480}, year = {2017}, url = {https://doi.org/10.3390/s17071480}, doi = {10.3390/S17071480}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PhamHKKLLJJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ChoGHKPS17, author = {Da{-}Jung Cho and Daniel Goc and Yo{-}Sub Han and Sang{-}Ki Ko and Alexandros Palioudakis and Kai Salomaa}, title = {State complexity of permutation on finite languages over a binary alphabet}, journal = {Theor. Comput. Sci.}, volume = {682}, pages = {67--78}, year = {2017}, url = {https://doi.org/10.1016/j.tcs.2017.03.007}, doi = {10.1016/J.TCS.2017.03.007}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/ChoGHKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/ChangKK17, author = {Handdeut Chang and Sangjoon Jonathan Kim and Jung Kim}, title = {Development of Self-Stabilizing Manipulator Inspired by the Musculoskeletal System Using the Lyapunov Method}, journal = {{IEEE} Trans. Robotics}, volume = {33}, number = {6}, pages = {1425--1437}, year = {2017}, url = {https://doi.org/10.1109/TRO.2017.2723627}, doi = {10.1109/TRO.2017.2723627}, timestamp = {Fri, 29 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/ChangKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/HanLB17, author = {Myoung{-}Seok Han and Sang Jun Lee and Woo{-}Sik Bae}, title = {A Secure and Efficient {V2V} Authentication Method in Heavy Traffic Environment}, journal = {Wirel. Pers. Commun.}, volume = {93}, number = {1}, pages = {245--254}, year = {2017}, url = {https://doi.org/10.1007/s11277-016-3884-6}, doi = {10.1007/S11277-016-3884-6}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/HanLB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/JangRKKHJ17, author = {Hyeongwon Jang and Sang Youp Rhee and Jae Eun Kim and Sooyong Kang and Hyuck Han and Hyungsoo Jung}, title = {{AUTOBAHN:} Accelerating Concurrent, Durable File {I/O} via a Non-volatile Buffer}, booktitle = {2017 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2017, Honolulu, HI, USA, September 5-8, 2017}, pages = {228--232}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CLUSTER.2017.46}, doi = {10.1109/CLUSTER.2017.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/JangRKKHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/TimofteAG0ZLSKN17, author = {Radu Timofte and Eirikur Agustsson and Luc Van Gool and Ming{-}Hsuan Yang and Lei Zhang and Bee Lim and Sanghyun Son and Heewon Kim and Seungjun Nah and Kyoung Mu Lee and Xintao Wang and Yapeng Tian and Ke Yu and Yulun Zhang and Shixiang Wu and Chao Dong and Liang Lin and Yu Qiao and Chen Change Loy and Woong Bae and Jae Jun Yoo and Yoseob Han and Jong Chul Ye and Jae{-}Seok Choi and Munchurl Kim and Yuchen Fan and Jiahui Yu and Wei Han and Ding Liu and Haichao Yu and Zhangyang Wang and Honghui Shi and Xinchao Wang and Thomas S. Huang and Yunjin Chen and Kai Zhang and Wangmeng Zuo and Zhimin Tang and Linkai Luo and Shaohui Li and Min Fu and Lei Cao and Wen Heng and Giang Bui and Truc Le and Ye Duan and Dacheng Tao and Ruxin Wang and Xu Lin and Jianxin Pang and Jinchang Xu and Yu Zhao and Xiangyu Xu and Jin{-}shan Pan and Deqing Sun and Yujin Zhang and Xibin Song and Yuchao Dai and Xueying Qin and Xuan{-}Phung Huynh and Tiantong Guo and Hojjat Seyed Mousavi and Tiep Huu Vu and Vishal Monga and Crist{\'{o}}v{\~{a}}o Cruz and Karen O. Egiazarian and Vladimir Katkovnik and Rakesh Mehta and Arnav Kumar Jain and Abhinav Agarwalla and Ch V. Sai Praveen and Ruofan Zhou and Hongdiao Wen and Che Zhu and Zhiqiang Xia and Zhengtao Wang and Qi Guo}, title = {{NTIRE} 2017 Challenge on Single Image Super-Resolution: Methods and Results}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {1110--1121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.149}, doi = {10.1109/CVPRW.2017.149}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/TimofteAG0ZLSKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeeLAKMJH17, author = {Sangjae Lee and Namkyoung Lee and Jeonghee Ahn and Jaewon Kim and Byoungchul Moon and Suk Hoon Jung and Dongsoo Han}, title = {Construction of an indoor positioning system for home IoT applications}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7997159}, doi = {10.1109/ICC.2017.7997159}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeeLAKMJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChangNKK17, author = {Handdeut Chang and Youngjin Na and Sangjoon J. Kim and Jung Kim}, title = {Stochastic sEMG processor based manipulator control toward man-machine interface with minimal electro-mechanical delay}, booktitle = {2017 {IEEE} International Conference on Robotics and Automation, {ICRA} 2017, Singapore, Singapore, May 29 - June 3, 2017}, pages = {6555--6561}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRA.2017.7989775}, doi = {10.1109/ICRA.2017.7989775}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChangNKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/JungMKH17, author = {Sang{-}Min Jung and Kyoung{-}Hak Mun and Soo{-}Min Kang and Sang{-}Kook Han}, title = {Carrier-suppressed reflective coherent passive optical network uplink transmission for optical interference mitigation}, booktitle = {2017 19th International Conference on Transparent Optical Networks (ICTON), Girona, Spain, July 2-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTON.2017.8024889}, doi = {10.1109/ICTON.2017.8024889}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icton/JungMKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/ParkKJH17, author = {Hyoung Joon Park and Chang{-}Hoon Kim and Sun{-}Young Jung and Sang{-}Kook Han}, title = {A novel {OBI} noise reduction technique by using similar-OBI estimation in optical multiple access uplink}, booktitle = {2017 19th International Conference on Transparent Optical Networks (ICTON), Girona, Spain, July 2-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTON.2017.8024890}, doi = {10.1109/ICTON.2017.8024890}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icton/ParkKJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeSJPOL17, author = {Deok{-}Kwon Lee and Ju{-}Seok Shin and Je{-}Han Jung and Sang{-}Jun Park and Se{-}Jin Oh and In{-}Soo Lee}, title = {Real-time lane detection and tracking system using simple filter and Kalman filter}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {275--277}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993792}, doi = {10.1109/ICUFN.2017.7993792}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeSJPOL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/KimCCJJKLLLYCLC17, author = {Won Kim and Ahyoung Choi and Jaehyuk Choi and Ok{-}Ran Jeong and Yong Ju Jung and Sangwoo Kang and Joohyung Lee and Sang{-}Woong Lee and Woong{-}Kee Loh and Joon Yoo and Seongcheol Chung and Hyungchul Lee and Sungmi Chon and YoungCheol Jeon and Han Sook Kim and Jin{-}Whan Kim and Jung{-}Hun Lee and Youna Min and Geun{-}Sil Song and Sun Ok Yang}, editor = {Maria Indrawan{-}Santiago and Matthias Steinbauer and Ivan Luiz Salvadori and Ismail Khalil and Gabriele Anderst{-}Kotsis}, title = {Korea's software education initiative}, booktitle = {Proceedings of the 19th International Conference on Information Integration and Web-based Applications {\&} Services, iiWAS 2017, Salzburg, Austria, December 4-6, 2017}, pages = {553--557}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3151759.3151800}, doi = {10.1145/3151759.3151800}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiwas/KimCCJJKLLLYCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/HanLHKJZ17, author = {Cheolho Han and Sang{-}Woo Lee and Yu{-}Jung Heo and Woo{-}Young Kang and Jaehyun Jun and Byoung{-}Tak Zhang}, editor = {Rafal Rzepka and Jordi Vallverd{\'{u}} and Andre Wlodarczyk}, title = {Criteria for Human-Compatible {AI} in Two-Player Vision-Language Tasks}, booktitle = {Proceedings of the Linguistic and Cognitive Approaches To Dialog Agents Workshop co-located with the 26th International Joint Conference on Artificial Intelligence, LaCATODA@IJCAI 2017, Melbourne, Australia, August 21, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {1926}, pages = {28--33}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-1926/paper4.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:32 +0100}, biburl = {https://dblp.org/rec/conf/ijcai/HanLHKJZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChangKNPK17, author = {Handdeut Chang and Sangjoon J. Kim and Youngjin Na and Junghoon Park and Jung Kim}, title = {Development and control of a variable stiffness actuator using a variable radius gear transmission mechanism}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {4749--4755}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8206349}, doi = {10.1109/IROS.2017.8206349}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChangKNPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AnHKYKHYJLJBHK17, author = {Jae{-}Sung An and Sang{-}Hyun Han and Ju Eon Kim and Dong{-}Hyun Yoon and Young{-}Hwan Kim and Han{-}Hee Hong and Jae{-}Hun Ye and Sung{-}Jin Jung and Seung{-}Hwan Lee and Ji{-}Yong Jeong and Kwang{-}Hyun Baek and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {9.6 {A} 3.9kHz-frame-rate capacitive touch system with pressure/tilt angle expressions of active stylus using multiple-frequency driving method for 65{\({''}\)} 104{\texttimes}64 touch screen panel}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {168--169}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870314}, doi = {10.1109/ISSCC.2017.7870314}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AnHKYKHYJLJBHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/LeeKCK17, author = {Sang Jun Lee and Gyogwon Koo and Hyeyeon Choi and Sang Woo Kim}, title = {Transfer learning of a deep convolutional neural network for localizing handwritten slab identification numbers}, booktitle = {Fifteenth {IAPR} International Conference on Machine Vision Applications, {MVA} 2017, Nagoya, Japan, May 8-12, 2017}, pages = {330--333}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/MVA.2017.7986868}, doi = {10.23919/MVA.2017.7986868}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/mva/LeeKCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeLLJK17, author = {Kyeong Ha Lee and Hyuk Jin Lee and Junghoon Lee and Sang{-}Hoon Ji and Ja Choon Koo}, title = {A simple method to estimate the impedance of the human hand for physical human-robot interaction}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {152--154}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992697}, doi = {10.1109/URAI.2017.7992697}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeLLJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MyungSYL16, author = {Jaeseok Myung and Junho Shim and Jongheum Yeon and Sang{-}goo Lee}, title = {Handling data skew in join algorithms using MapReduce}, journal = {Expert Syst. Appl.}, volume = {51}, pages = {286--299}, year = {2016}, url = {https://doi.org/10.1016/j.eswa.2015.12.024}, doi = {10.1016/J.ESWA.2015.12.024}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/MyungSYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/HanJL16, author = {Dongsoo Han and Suk Hoon Jung and Sangjae Lee}, title = {A sensor fusion method for Wi-Fi-based indoor positioning}, journal = {{ICT} Express}, volume = {2}, number = {2}, pages = {71--74}, year = {2016}, url = {https://doi.org/10.1016/j.icte.2016.04.002}, doi = {10.1016/J.ICTE.2016.04.002}, timestamp = {Thu, 07 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/HanJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NguyenNKSS16, author = {Van Ha Nguyen and Sanguk Nam and Boo Kang Kim and Keun Yong Sohn and Han Jung Song}, title = {Variable cubic-polynomial memristor based canonical Chua's chaotic circuit}, journal = {{IEICE} Electron. Express}, volume = {13}, number = {3}, pages = {20150987}, year = {2016}, url = {https://doi.org/10.1587/elex.13.20150987}, doi = {10.1587/ELEX.13.20150987}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NguyenNKSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/KimKCYNHKKJKKLP16, author = {Yongkang Kim and Min{-}Seok Kwon and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Wooil Kwon and Sun Whe Kim and Jin{-}Young Jang and Hyunsoo Kim and Youngsoo Kim and Seungyeoun Lee and Taesung Park}, title = {Comparative studies for developing protein based cancer prediction model to maximise the {ROC-AUC} with various variable selection methods}, journal = {Int. J. Data Min. Bioinform.}, volume = {16}, number = {1}, pages = {64--76}, year = {2016}, url = {https://doi.org/10.1504/IJDMB.2016.10000565}, doi = {10.1504/IJDMB.2016.10000565}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/KimKCYNHKKJKKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/KangKJH16, author = {Soo{-}Min Kang and Chang{-}Hun Kim and Sang{-}Min Jung and Sang{-}Kook Han}, title = {Timing-Offset-Tolerant Universal-Filtered Multicarrier Passive Optical Network for Asynchronous Multiservices-Over-Fiber}, journal = {{JOCN}}, volume = {8}, number = {4}, pages = {229--237}, year = {2016}, url = {https://doi.org/10.1364/jocn.8.000229}, doi = {10.1364/JOCN.8.000229}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/KangKJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeongIKNSCYKKPK16, author = {Woopyo Jeong and Jae{-}Woo Im and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Jeong{-}Don Ihm and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Moosung Kim and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {A 128 Gb 3b/cell {V-NAND} Flash Memory With 1 Gb/s {I/O} Rate}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {204--212}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2474117}, doi = {10.1109/JSSC.2015.2474117}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeongIKNSCYKKPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nc/ChoHKKKS16, author = {Da{-}Jung Cho and Yo{-}Sub Han and Shin{-}Dong Kang and Hwee Kim and Sang{-}Ki Ko and Kai Salomaa}, title = {Pseudo-inversion: closure properties and decidability}, journal = {Nat. Comput.}, volume = {15}, number = {1}, pages = {31--39}, year = {2016}, url = {https://doi.org/10.1007/s11047-015-9502-9}, doi = {10.1007/S11047-015-9502-9}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nc/ChoHKKKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/JungLH16, author = {Suk Hoon Jung and Sangjae Lee and Dongsoo Han}, title = {A crowdsourcing-based global indoor positioning and navigation system}, journal = {Pervasive Mob. Comput.}, volume = {31}, pages = {94--106}, year = {2016}, url = {https://doi.org/10.1016/j.pmcj.2016.02.002}, doi = {10.1016/J.PMCJ.2016.02.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/percom/JungLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKJBLLGJKCO16, author = {Woogyung Kim and Jhoon Kim and Yeonjin Jung and Hartmut Boesch and Hanlim Lee and Sanghee Lee and Tae{-}Young Goo and Ukkyo Jeong and Mijin Kim and Chun{-}Ho Cho and Mi{-}Lim Ou}, title = {Retrieving X\({}_{\mbox{CO2}}\) from {GOSAT} {FTS} over East Asia Using Simultaneous Aerosol Information from {CAI}}, journal = {Remote. Sens.}, volume = {8}, number = {12}, pages = {994}, year = {2016}, url = {https://doi.org/10.3390/rs8120994}, doi = {10.3390/RS8120994}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKJBLLGJKCO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/XiangSHHG16, author = {Jun Xiang and Nong Sang and Jianhua Hou and Rui Huang and Changxin Gao}, title = {Hough Forest-based Association Framework with Occlusion Handling for Multi-Target Tracking}, journal = {{IEEE} Signal Process. Lett.}, volume = {23}, number = {2}, pages = {257--261}, year = {2016}, url = {https://doi.org/10.1109/LSP.2015.2512878}, doi = {10.1109/LSP.2015.2512878}, timestamp = {Mon, 16 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/XiangSHHG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ChoHKS16, author = {Da{-}Jung Cho and Yo{-}Sub Han and Sang{-}Ki Ko and Kai Salomaa}, title = {State complexity of inversion operations}, journal = {Theor. Comput. Sci.}, volume = {610}, pages = {2--12}, year = {2016}, url = {https://doi.org/10.1016/j.tcs.2015.04.017}, doi = {10.1016/J.TCS.2015.04.017}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/ChoHKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ChangKK16, author = {Handdeut Chang and Sangjoon J. Kim and Jung Kim}, title = {Design of self-stabilizing manipulator inspired by the musculoskeletal system and its analytical investigation using Lyapunov method}, booktitle = {6th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2016, Singapore, June 26-29, 2016}, pages = {567--573}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BIOROB.2016.7523686}, doi = {10.1109/BIOROB.2016.7523686}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ChangKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/WooHLLKHY16, author = {Sang{-}Yoon Woo and Jung{-}Joon Han and SangJeong Lee and Woo{-}Jin Lee and Se{-}Ryong Kang and Soon{-}Jung Hwang and Won{-}Jin Yi}, title = {Development of a robot-assisted orthognathic surgery system integrated with image-guided navigation}, booktitle = {6th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2016, Singapore, June 26-29, 2016}, pages = {395}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BIOROB.2016.7523658}, doi = {10.1109/BIOROB.2016.7523658}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/WooHLLKHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/BaePLM16, author = {Jun Han Bae and Jee Hwan Park and Sangjun Lee and Byung{-}Cheol Min}, title = {Tri-SedimentBot: An underwater sediment sampling robot}, booktitle = {{IEEE} International Conference on Automation Science and Engineering, {CASE} 2016, Fort Worth, TX, USA, August 21-25, 2016}, pages = {1360--1365}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/COASE.2016.7743567}, doi = {10.1109/COASE.2016.7743567}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/BaePLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccve/JungCPLS16, author = {Hojin Jung and Saerona Choi and Byungkyu Brian Park and Haengju Lee and Sang Hyuk Son}, title = {Bi-level optimization for eco-traffic signal system}, booktitle = {2016 International Conference on Connected Vehicles and Expo, {ICCVE} 2016, Seattle, WA, USA, September 12-16, 2016}, pages = {29--35}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCVE.2016.6}, doi = {10.1109/ICCVE.2016.6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccve/JungCPLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimJJKH16, author = {Chang{-}Hun Kim and Sang{-}Min Jung and Sun{-}Young Jung and Soo{-}Min Kang and Sang{-}Kook Han}, title = {Multi-band {OFDMA} based passive optical network with windowing technique for asynchronous multiple access}, booktitle = {2016 International Conference on Information Networking, {ICOIN} 2016, Kota Kinabalu, Malaysia, January 13-15, 2016}, pages = {433--435}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICOIN.2016.7427152}, doi = {10.1109/ICOIN.2016.7427152}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KimJJKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HuiKCK16, author = {Bing Hui and Junhyeong Kim and Hee{-}Sang Chung and Il{-}Gyu Kim}, title = {Creation and control of handover zone using antenna radiation pattern for high-speed train communications in unidirectional networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {737--740}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763282}, doi = {10.1109/ICTC.2016.7763282}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/HuiKCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/JungKYH16, author = {Sun{-}Young Jung and Do{-}Hoon Kwon and Se{-}Hoon Yang and Sang{-}Kook Han}, title = {Reduction of inter-cell interference in asynchronous multi-cellular {VLC} by using OFDMA-based cell partitioning}, booktitle = {18th International Conference on Transparent Optical Networks, {ICTON} 2016, Trento, Italy, July 10-14, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTON.2016.7550320}, doi = {10.1109/ICTON.2016.7550320}, timestamp = {Tue, 15 Feb 2022 09:39:38 +0100}, biburl = {https://dblp.org/rec/conf/icton/JungKYH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/KimJH16, author = {Chang{-}Hun Kim and Sang{-}Min Jung and Sang{-}Kook Han}, title = {All-optical virtual private network based on microwave photonic bandpass filter in {OFDM-PON} system}, booktitle = {18th International Conference on Transparent Optical Networks, {ICTON} 2016, Trento, Italy, July 10-14, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTON.2016.7550417}, doi = {10.1109/ICTON.2016.7550417}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icton/KimJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChangKK16, author = {Handdeut Chang and Sangjoon J. Kim and Jung Kim}, title = {Analytical investigation of the stabilizing function of the musculoskeletal system using Lyapunov stability criteria and its robotic applications}, booktitle = {2016 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2016, Daejeon, South Korea, October 9-14, 2016}, pages = {5391--5398}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IROS.2016.7759793}, doi = {10.1109/IROS.2016.7759793}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChangKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BangKYLPKSKC16, author = {Jun{-}Suk Bang and Hyunsik Kim and Kye{-}Seok Yoon and Sang{-}Han Lee and Se{-}Hong Park and Ohjo Kwon and Choongsun Shin and Seonki Kim and Gyu{-}Hyeong Cho}, title = {11.7 {A} load-aware pre-emphasis column driver with 27{\%} settling-time reduction in {\(\pm\)}18{\%} panel-load {RC} delay variation for 240Hz {UHD} flat-panel displays}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {212--213}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417982}, doi = {10.1109/ISSCC.2016.7417982}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BangKYLPKSKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/LeeKH16, author = {Sinjae Lee and SangBin Kim and JungHyun Han}, editor = {G{\"{u}}nter Wallner and Simone Kriglstein and Helmut Hlavacs and Rainer Malaka and Artur Lugmayr and Hyun{-}Seung Yang}, title = {Promoting Stretching Activity with Smartwatch - {A} Pilot Study}, booktitle = {Entertainment Computing - {ICEC} 2016 - 15th {IFIP} {TC} 14 International Conference, Vienna, Austria, September 28-30, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9926}, pages = {211--216}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-46100-7\_19}, doi = {10.1007/978-3-319-46100-7\_19}, timestamp = {Thu, 14 Oct 2021 10:40:20 +0200}, biburl = {https://dblp.org/rec/conf/iwec/LeeKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/JungKLS16, author = {Hojin Jung and Seong Kyung Kwon and Haengju Lee and Sang Hyuk Son}, title = {Traffic Caution System for Pedestrian Safety}, booktitle = {22nd {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2016, Daegu, South Korea, August 17-19, 2016}, pages = {262}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/RTCSA.2016.60}, doi = {10.1109/RTCSA.2016.60}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/JungKLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scsc/ChoiLLYKK16, author = {Seon Han Choi and Jun Hee Lee and Sang Hyun Lee and Ho Dong Yoo and Jung Koo and Tag Gon Kim}, editor = {Floriano De Rango and Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n}, title = {6 dof aircraft simulation model capable of handling maneuver events {(WIP)}}, booktitle = {Proceedings of the Summer Computer Simulation Conference, SummerSim 2016, Montreal, QC, Canada, July 24-27, 2016}, pages = {54}, publisher = {Society for Computer Simulation International / {ACM} {DL}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3015628}, timestamp = {Thu, 10 Nov 2016 08:11:42 +0100}, biburl = {https://dblp.org/rec/conf/scsc/ChoiLLYKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/websci/HanLJJL16, author = {Kyungsik Han and Sanghack Lee and Jin Yea Jang and Yong Jung and Dongwon Lee}, editor = {Wolfgang Nejdl and Wendy Hall and Paolo Parigi and Steffen Staab}, title = {Teens are from mars, adults are from venus: analyzing and predicting age groups with behavioral characteristics in instagram}, booktitle = {Proceedings of the 8th {ACM} Conference on Web Science, WebSci 2016, Hannover, Germany, May 22-25, 2016}, pages = {35--44}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2908131.2908160}, doi = {10.1145/2908131.2908160}, timestamp = {Sat, 09 Apr 2022 12:47:40 +0200}, biburl = {https://dblp.org/rec/conf/websci/HanLJJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/HanKKYMKK15, author = {Xiong Zhe Han and Hak{-}Jin Kim and Joon Yong Kim and Sang Yup Yi and Hee Chang Moon and Jung Hun Kim and Young Joo Kim}, title = {Path-tracking simulation and field tests for an auto-guidance tillage tractor for a paddy field}, journal = {Comput. Electron. Agric.}, volume = {112}, pages = {161--171}, year = {2015}, url = {https://doi.org/10.1016/j.compag.2014.12.025}, doi = {10.1016/J.COMPAG.2014.12.025}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/HanKKYMKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/KimPSH15, author = {Kwang{-}Baek Kim and Hyun Jun Park and Doo Heon Song and Sang{-}suk Han}, title = {Developing an Intelligent Automatic Appendix Extraction Method from Ultrasonography Based on Fuzzy {ART} and Image Processing}, journal = {Comput. Math. Methods Medicine}, volume = {2015}, pages = {389057:1--389057:10}, year = {2015}, url = {https://doi.org/10.1155/2015/389057}, doi = {10.1155/2015/389057}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/KimPSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/LiuCJJ15, author = {Chang Liu and Sang{-}Hwa Chung and Han{-}You Jeong and Ik{-}Joo Jung}, title = {An Enhanced Message Priority Mechanism in {IEEE} 802.11p Based Vehicular Networks}, journal = {J. Inf. Process. Syst.}, volume = {11}, number = {3}, pages = {465--482}, year = {2015}, url = {https://doi.org/10.3745/JIPS.03.0027}, doi = {10.3745/JIPS.03.0027}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/LiuCJJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15, author = {Ki{-}Tae Park and Sangwan Nam and Dae{-}Han Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Doo{-}Hyun Kim and Minsu Kim and Hyun Wook Park and Sang{-}Won Shim and Kyung{-}Min Kang and Sang{-}Won Park and Kangbin Lee and Hyun{-}Jun Yoon and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dae{-}Seok Byeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Jeong{-}Hyuk Choi and Kinam Kim}, title = {Three-Dimensional 128 Gb {MLC} Vertical nand Flash Memory With 24-WL Stacked Layers and 50 MB/s High-Speed Programming}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {204--213}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2352293}, doi = {10.1109/JSSC.2014.2352293}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiKLJAKJPYCKK15, author = {Mi{-}Ri Choi and Hyung{-}Giun Kim and Taeg{-}Woo Lee and Young{-}Jun Jeon and Yong{-}Keun Ahn and Kyo{-}Wang Koo and You{-}Cheol Jang and So{-}Yeon Park and Jae{-}Hak Yee and Nam{-}Kwon Cho and Il{-}Tae Kang and Sangshik Kim and Seung{-}Zeon Han and Sung{-}Hwan Lim}, title = {Microstructural evaluation and failure analysis of Ag wire bonded to Al pads}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2306--2315}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.07.038}, doi = {10.1016/J.MICROREL.2015.07.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChoiKLJAKJPYCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HanLIKLAC15, author = {Hyangsun Han and Sanggyun Lee and Jungho Im and Miae Kim and Myong{-}In Lee and Myoung Hwan Ahn and Sung{-}Rae Chung}, title = {Detection of Convective Initiation Using Meteorological Imager Onboard Communication, Ocean, and Meteorological Satellite Based on Machine Learning Approaches}, journal = {Remote. Sens.}, volume = {7}, number = {7}, pages = {9184--9204}, year = {2015}, url = {https://doi.org/10.3390/rs70709184}, doi = {10.3390/RS70709184}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HanLIKLAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKCKL15, author = {Kwangtaek Kim and Joongrock Kim and Jaesung Choi and Junghyun Kim and Sangyoun Lee}, title = {Depth Camera-Based 3D Hand Gesture Controls with Immersive Tactile Feedback for Natural Mid-Air Gesture Interactions}, journal = {Sensors}, volume = {15}, number = {1}, pages = {1022--1046}, year = {2015}, url = {https://doi.org/10.3390/s150101022}, doi = {10.3390/S150101022}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKCKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/smartcr/BaekXHC15, author = {Youngkyun Baek and Yan Xu and Sanghoon Han and Jungwon Cho}, title = {Exploring Effects of Intrinsic Motivation and Prior Knowledge on Student Achievements in Game-based Learning}, journal = {Smart Comput. Rev.}, volume = {5}, number = {5}, pages = {368--377}, year = {2015}, url = {https://doi.org/10.6029/smartcr.2015.05.001}, doi = {10.6029/SMARTCR.2015.05.001}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/smartcr/BaekXHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/NamCHPW15, author = {Junghyun Nam and Kim{-}Kwang Raymond Choo and Sangchul Han and Juryon Paik and Dongho Won}, title = {Two-Round Password-Only Authenticated Key Exchange in the Three-Party Setting}, journal = {Symmetry}, volume = {7}, number = {1}, pages = {105--124}, year = {2015}, url = {https://doi.org/10.3390/sym7010105}, doi = {10.3390/SYM7010105}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/NamCHPW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/KimJHLK15, author = {Mingu Kim and Bokyung Jung and Bumku Han and Sangchul Lee and Youdan Kim}, title = {Lyapunov-based impact time control guidance laws against stationary targets}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {51}, number = {2}, pages = {1111--1122}, year = {2015}, url = {https://doi.org/10.1109/TAES.2014.130717}, doi = {10.1109/TAES.2014.130717}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/KimJHLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/virology/OhGJRH15, author = {Sang{-}Yeob Oh and Supratip Ghose and Yu{-}Keum Jeong and Joong{-}Kyung Ryu and Jung{-}Soo Han}, title = {Convergence security systems}, journal = {J. Comput. Virol. Hacking Tech.}, volume = {11}, number = {3}, pages = {119--121}, year = {2015}, url = {https://doi.org/10.1007/s11416-015-0248-9}, doi = {10.1007/S11416-015-0248-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/virology/OhGJRH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:books/sp/15/JungRHL15, author = {Sohyeon Jung and Seonghan Ryu and Sangdo Han and Gary Geunbae Lee}, editor = {Gary Geunbae Lee and Hong Kook Kim and Minwoo Jeong and Ji{-}Hwan Kim}, title = {DietTalk: Diet and Health Assistant Based on Spoken Dialog System}, booktitle = {Natural Language Dialog Systems and Intelligent Assistants, 6th International Workshop on Spoken Dialogue Systems, {IWSDS} 2015, Busan, Korea, January 11-13, 2015}, pages = {113--118}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19291-8\_11}, doi = {10.1007/978-3-319-19291-8\_11}, timestamp = {Thu, 29 Apr 2021 14:03:13 +0200}, biburl = {https://dblp.org/rec/books/sp/15/JungRHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/WuSHMHLZZ15, author = {Danny T. Y. Wu and Nikolas Smart and Sang{-}Jung Han and Maria Majeed and Jing Han and Suinan Li and Fan Zhang and Kai Zheng}, title = {Visualizing Clinical Workflow using Time and Motion Data}, booktitle = {{AMIA} 2015, American Medical Informatics Association Annual Symposium, San Francisco, CA, USA, November 14-18, 2015}, publisher = {{AMIA}}, year = {2015}, url = {https://knowledge.amia.org/59310-amia-1.2741865/t005-1.2744350/f005-1.2744351/2248216-1.2744409/2249172-1.2744406}, timestamp = {Wed, 17 Apr 2024 11:47:40 +0200}, biburl = {https://dblp.org/rec/conf/amia/WuSHMHLZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/SuzukiKKSOH15, author = {Takahiro Suzuki and Sang{-}Yuep Kim and Junichi Kani and Ken{-}Ichi Suzuki and Akihiro Otaka and Toshihiro Hanawa}, title = {Parallelization of cipher algorithm on {CPU/GPU} for real-time software-defined access network}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2015, Hong Kong, December 16-19, 2015}, pages = {484--487}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APSIPA.2015.7415318}, doi = {10.1109/APSIPA.2015.7415318}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/SuzukiKKSOH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KimLKNCYNHKKJKK15, author = {Yongkang Kim and Seungyeoun Lee and Min{-}Seok Kwon and Ahrum Na and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Meejoo Kang and Sun Whe Kim and Jin{-}Young Jang and Yikwon Kim and Youngsoo Kim and Taesung Park}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Developing cancer prediction model based on stepwise selection by {AUC} measure for proteomics data}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {1345--1350}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359874}, doi = {10.1109/BIBM.2015.7359874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KimLKNCYNHKKJKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/JeonKHKKS15, author = {Sungho Jeon and Junghyun Kim and Jae{-}Shin Han and Sang{-}Hun Kim and Jeong{-}Deok Kim and Jong{-}Soo Seo}, title = {Numerical analysis on the net Gross {MISO-SFNG} in {DVB-T2} system}, booktitle = {2015 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2015, Ghent, Belgium, June 17-19, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BMSB.2015.7177217}, doi = {10.1109/BMSB.2015.7177217}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/JeonKHKKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcfs/PalioudakisCGHK15, author = {Alexandros Palioudakis and Da{-}Jung Cho and Daniel Goc and Yo{-}Sub Han and Sang{-}Ki Ko and Kai Salomaa}, editor = {Jeffrey O. Shallit and Alexander Okhotin}, title = {The State Complexity of Permutations on Finite Languages over Binary Alphabets}, booktitle = {Descriptional Complexity of Formal Systems - 17th International Workshop, {DCFS} 2015, Waterloo, ON, Canada, June 25-27, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9118}, pages = {220--230}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19225-3\_19}, doi = {10.1007/978-3-319-19225-3\_19}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dcfs/PalioudakisCGHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimK15, author = {Sangjoon J. Kim and Jung Kim}, title = {MR-compatible hand exoskeleton for monitoring brain activity during active assistance}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {5752--5755}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319699}, doi = {10.1109/EMBC.2015.7319699}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/KimLHKLJCC15, author = {Jin Kim and Yoon Suk Lee and Sang Su Han and Sang Ho Kim and Gun Hyuk Lee and Ho Jun Ji and Hye Ji Choi and Kwang Nam Choi}, editor = {Soon{-}Young Park and Hironobu Fujiyoshi and Kunihito Kato and Hongbin Zha and Chil{-}Woo Lee and Kang{-}Hyun Jo}, title = {Autonomous flight system using marker recognition on drone}, booktitle = {21st Korea-Japan Joint Workshop on Frontiers of Computer Vision, {FCV} 2015, Mokpo, South Korea, January 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FCV.2015.7103712}, doi = {10.1109/FCV.2015.7103712}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fcv/KimLHKLJCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JungYSKC15, author = {Soon{-}Gyo Jung and Sanggil Yeom and Min Han Shon and Dongsoo Stephen Kim and Hyunseung Choo}, editor = {Osvaldo Gervasi and Beniamino Murgante and Sanjay Misra and Marina L. Gavrilova and Ana Maria Alves Coutinho Rocha and Carmelo Maria Torre and David Taniar and Bernady O. Apduhan}, title = {Clustering Wireless Sensor Networks Based on Bird Flocking Behavior}, booktitle = {Computational Science and Its Applications - {ICCSA} 2015 - 15th International Conference, Banff, AB, Canada, June 22-25, 2015, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {9158}, pages = {128--137}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21410-8\_10}, doi = {10.1007/978-3-319-21410-8\_10}, timestamp = {Sun, 25 Jul 2021 11:52:52 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JungYSKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/JungMH15, author = {Sang{-}Min Jung and Kyung{-}Hak Mun and Sang{-}Kook Han}, title = {Mitigation of the polarization sensitivity in {OFDMA} {PON} uplink transmission}, booktitle = {2015 International Conference on Information Networking, {ICOIN} 2015, Siem Reap, Cambodia, January 12-14, 2015}, pages = {392--394}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICOIN.2015.7057923}, doi = {10.1109/ICOIN.2015.7057923}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/JungMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkLKKCILCPSP15, author = {Jongwoo Park and Miji Lee and Hanbyul Kang and Wooram Ko and Eunkyeong Choi and Junsik Im and Minwoo Lee and Dohwan Chung and Jinchul Park and Sangchul Shin and Sangwoo Pae}, title = {Effects of front-end-of line process variations and defects on retention failure of flash memory: Charge loss/gain mechanism}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112674}, doi = {10.1109/IRPS.2015.7112674}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ParkLKKCILCPSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiLHKHC15, author = {Jungryoul Choi and Jungwoo Lee and Sangyun Han and Sungwook Kim and Soonwon Hong and Joongho Choi}, title = {A readout circuit with novel zero-g offset calibration for tri-axes capacitive {MEMS} accelerometer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1062--1065}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168820}, doi = {10.1109/ISCAS.2015.7168820}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiLHKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeBYHSJC15, author = {Sang{-}Han Lee and Jun{-}Suk Bang and Kye{-}Seok Yoon and Sung{-}Wan Hong and Changsik Shin and Min{-}Yong Jung and Gyu{-}Hyeong Cho}, title = {12.1 {A} 0.518mm\({}^{\mbox{2}}\) quasi-current-mode hysteretic buck {DC-DC} converter with 3{\(\mu\)}s load transient response in 0.35{\(\mu\)}m {BCDMOS}}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063002}, doi = {10.1109/ISSCC.2015.7063002}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeBYHSJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/JungJH15, author = {Sun{-}Young Jung and Sang{-}Min Jung and Sang{-}Kook Han}, title = {{AMO-FBMC} for reduction of multiple access interference between asynchronous ONUs in {PON}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7121664}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/JungJH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/HanKCKK15, author = {Sang Kwon Han and Jun Hyeok Kim and Keun Ha Choi and Kyung{-}Soo Kim and Soohyun Kim}, title = {The analysis of new 3 {DOF} parallel delta type leg for a quadruped robot to maximize actuation torque reduction}, booktitle = {2015 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2015, Zhuhai, China, December 6-9, 2015}, pages = {994--999}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ROBIO.2015.7418901}, doi = {10.1109/ROBIO.2015.7418901}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/HanKCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scivis/ParkSCN15, author = {Sanghun Park and Hyunggoog Seo and Seunghoon Cha and Junyong Noh}, editor = {James P. Ahrens and Huamin Qu and Jos B. T. M. Roerdink}, title = {Auto-calibration of multi-projector displays with a single handheld camera}, booktitle = {2015 {IEEE} Scientific Visualization Conference, SciVis 2015, Chicago, IL, USA, October 25-30, 2015}, pages = {65--72}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SciVis.2015.7429493}, doi = {10.1109/SCIVIS.2015.7429493}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/scivis/ParkSCN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/BakJYS15, author = {Sangwook Bak and Kyoung{-}Hak Jung and Chansu Yu and Young{-}Joo Suh}, title = {Guided tour of handoff steering for bandwidth in indoor venues}, booktitle = {2015 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2015, New Orleans, LA, USA, March 9-12, 2015}, pages = {1930--1935}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WCNC.2015.7127763}, doi = {10.1109/WCNC.2015.7127763}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/BakJYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/NamCHKPW15, author = {Junghyun Nam and Kim{-}Kwang Raymond Choo and Sangchul Han and Moonseong Kim and Juryon Paik and Dongho Won}, title = {Efficient and Anonymous Two-Factor User Authentication in Wireless Sensor Networks: Achieving User Anonymity with Lightweight Sensor Computation}, journal = {CoRR}, volume = {abs/1509.06813}, year = {2015}, url = {http://arxiv.org/abs/1509.06813}, eprinttype = {arXiv}, eprint = {1509.06813}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/NamCHKPW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/HanSYJK14, author = {Kiwan Han and Jungeun Shin and Sang Young Yoon and Dong{-}Pyo Jang and Jae{-}Jin Kim}, title = {Deficient gaze pattern during virtual multiparty conversation in patients with schizophrenia}, journal = {Comput. Biol. Medicine}, volume = {49}, pages = {60--66}, year = {2014}, url = {https://doi.org/10.1016/j.compbiomed.2014.03.012}, doi = {10.1016/J.COMPBIOMED.2014.03.012}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/HanSYJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/LeeHC14, author = {Jung{-}Ryun Lee and Sang{-}Hyuck Han and Yong{-}Hoon Choi}, title = {Vehicle mobility pattern-based handover scheme using discrete-time Markov chain}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {1}, pages = {100--108}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2013.10.014}, doi = {10.1016/J.COMPELECENG.2013.10.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/LeeHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/SeoJSKL14, author = {Dongmin Seo and Hanmin Jung and Won{-}Kyung Sung and Sukil Kim and Sangho Lee}, title = {Development of Korean spine database and ontology for realizing e-Spine}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {1069--1080}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0344-x}, doi = {10.1007/S10586-013-0344-X}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/SeoJSKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JiHJLM14, author = {Jae Deok Ji and Dong{-}Guk Han and Seok Won Jung and Sangjin Lee and Jongsub Moon}, title = {Practical Electromagnetic Disturbance Analysis on Commercial Contactless Smartcards}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/142610}, doi = {10.1155/2014/142610}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/JiHJLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/KimKPLKJ14, author = {Younghyun Kim and Haneul Ko and Sangheon Pack and Jong{-}Hyouk Lee and Seok Joo Koh and Heeyoung Jung}, title = {Performance analysis of distributed mapping system in ID/locator separation architectures}, journal = {J. Netw. Comput. Appl.}, volume = {39}, pages = {223--232}, year = {2014}, url = {https://doi.org/10.1016/j.jnca.2013.07.005}, doi = {10.1016/J.JNCA.2013.07.005}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/KimKPLKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JeongCCSJLC14, author = {Chang{-}Hoo Jeong and Yunsoo Choi and Hong{-}Woo Chun and Sa{-}Kwang Song and Hanmin Jung and Sangkwan Lee and Sung{-}Pil Choi}, title = {Grid-based framework for high-performance processing of scientific knowledge}, journal = {Multim. Tools Appl.}, volume = {71}, number = {2}, pages = {783--798}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1411-2}, doi = {10.1007/S11042-013-1411-2}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JeongCCSJLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JungHJLPCKLCLC14, author = {Da Jung Jung and Mun Han and Seong{-}Uk Jin and Sang{-}Heun Lee and Il{-}Yong Park and Hyun{-}Ju Cho and Tae{-}Jun Kwon and Hui Joong Lee and Jin{-}Ho Cho and Kyu{-}yup Lee and Yongmin Chang}, title = {Functional mapping of the auditory tract in rodent tinnitus model using manganese-enhanced magnetic resonance imaging}, journal = {NeuroImage}, volume = {100}, pages = {642--649}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2014.06.055}, doi = {10.1016/J.NEUROIMAGE.2014.06.055}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/JungHJLPCKLCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sac/ChiouKY14, author = {Sy Han Chiou and Sangwook Kang and Jun Yan}, title = {Fast accelerated failure time modeling for case-cohort data}, journal = {Stat. Comput.}, volume = {24}, number = {4}, pages = {559--568}, year = {2014}, url = {https://doi.org/10.1007/s11222-013-9388-2}, doi = {10.1007/S11222-013-9388-2}, timestamp = {Wed, 25 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sac/ChiouKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamdm/KimL14, author = {Jeong Han Kim and Sangjune Lee}, title = {Universality of Random Graphs for Graphs of Maximum Degree Two}, journal = {{SIAM} J. Discret. Math.}, volume = {28}, number = {3}, pages = {1467--1478}, year = {2014}, url = {https://doi.org/10.1137/130942437}, doi = {10.1137/130942437}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamdm/KimL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ChoHK14, author = {Da{-}Jung Cho and Yo{-}Sub Han and Sang{-}Ki Ko}, title = {Decidability of involution hypercodes}, journal = {Theor. Comput. Sci.}, volume = {550}, pages = {90--99}, year = {2014}, url = {https://doi.org/10.1016/j.tcs.2014.07.016}, doi = {10.1016/J.TCS.2014.07.016}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/ChoHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HanKR14, author = {Sanghwa Han and Kyungtae Kang and Junhee Ryu}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Determination of Delay Bound over Multi-hop Real-Time Switches with Virtual Output Queuing}, booktitle = {28th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2014, Victoria, BC, Canada, May 13-16, 2014}, pages = {892--898}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/AINA.2014.144}, doi = {10.1109/AINA.2014.144}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/HanKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/artsit/ParkH14, author = {JungHyun Anna Park and Sang{-}Yeal Han}, editor = {Anthony Lewis Brooks and Elif E. Ayiter and Onur Yazicigil}, title = {Google DevArt: Following the Success of Google's Android Market in the Visual Arts?}, booktitle = {Arts and Technology - Fourth International Conference, ArtsIT 2014, Istanbul, Turkey, November 10-12, 2014, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {145}, pages = {13--19}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-18836-2\_2}, doi = {10.1007/978-3-319-18836-2\_2}, timestamp = {Thu, 01 Jun 2017 18:58:46 +0200}, biburl = {https://dblp.org/rec/conf/artsit/ParkH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimJEKYCHLP14, author = {Sang Gyun Kim and Seung{-}Hwan Jung and Yun Seong Eo and Seung{-}Hoon Kim and Xiao Ying and Hanbyul Choi and Chaerin Hong and Kyungmin Lee and Sung Min Park}, title = {A 50-Gb/s differential transimpedance amplifier in 65nm {CMOS} technology}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {357--360}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008934}, doi = {10.1109/ASSCC.2014.7008934}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/KimJEKYCHLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KwonKLNYYHKKJP14, author = {Min{-}Seok Kwon and Yongkang Kim and Seungyeoun Lee and Junghyun Namkung and Taegyun Yun and Sung{-}Gon Yi and Sangjo Han and Meejoo Kang and Sun Whe Kim and Jin{-}Young Jang and Taesung Park}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Biomarker development for pancreatic ductal adenocarcinoma using integrated analysis of mRNA and miRNA expression}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {273--278}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999167}, doi = {10.1109/BIBM.2014.6999167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KwonKLNYYHKKJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimHKPMLC14, author = {Yong{-}hwan Kim and Youn{-}Hee Han and Min Kim and Yong Seok Park and Sang Jun Moon and Jin Ho Lee and Dae{-}Kyu Choi}, title = {Distributed {PDN} gateway support for scalable {LTE/EPC} networks}, booktitle = {11th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {139--144}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CCNC.2014.6866561}, doi = {10.1109/CCNC.2014.6866561}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/KimHKPMLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChoiPC14, author = {Jun{-}Han Choi and Sang{-}Hui Park and Gyu{-}Hyeong Cho}, title = {A tri-stack buck converter with gate coupling control {(GCC)} and quasi adaptive dead time control {(QADTC)}}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946093}, doi = {10.1109/CICC.2014.6946093}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChoiPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcfs/ChoHKS14, author = {Da{-}Jung Cho and Yo{-}Sub Han and Sang{-}Ki Ko and Kai Salomaa}, editor = {Helmut J{\"{u}}rgensen and Juhani Karhum{\"{a}}ki and Alexander Okhotin}, title = {State Complexity of Inversion Operations}, booktitle = {Descriptional Complexity of Formal Systems - 16th International Workshop, {DCFS} 2014, Turku, Finland, August 5-8, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8614}, pages = {102--113}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09704-6\_10}, doi = {10.1007/978-3-319-09704-6\_10}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dcfs/ChoHKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/ChoRKLJSJHJ14, author = {Hye{-}Kyung Cho and Jae{-}Sung Ryu and Hyo{-}Yong Kim and Dong{-}Hoon Lee and Yong{-}Gyu Jin and Jung{-}Yun Sung and Hyun{-}Sung Jung and Soohee Han and Sang{-}Hoon Ji}, editor = {Gerhard Sagerer and Michita Imai and Tony Belpaeme and Andrea Lockerd Thomaz}, title = {A new creation environment for learning through interaction with robots}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, HRI'14, Bielefeld, Germany, March 3-6, 2014}, pages = {327}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559636.2559782}, doi = {10.1145/2559636.2559782}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/ChoRKLJSJHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKJKKPJPLPCC14, author = {JungChak Ahn and Kyungho Lee and Yitae Kim and Heegeun Jeong and Bumsuk Kim and Hongki Kim and Jongeun Park and Taesub Jung and Wonje Park and Taeheon Lee and Eunkyung Park and Sangjun Choi and Gyehun Choi and Haeyong Park and Yujung Choi and Seungwook Lee and Yunkyung Kim and Y. J. Jung and Donghyuk Park and Seungjoo Nah and Youngsun Oh and Mihye Kim and Yooseung Lee and Youngwoo Chung and Ihara Hisanori and Joon{-}Hyuk Im and Daniel{-}K J Lee and Byunghyun Yim and GiDoo Lee and Heesang Kown and Sungho Choi and Jeonsook Lee and Dongyoung Jang and Youngchan Kim and Tae Chan Kim and Hiroshige Goto and Chi{-}Young Choi and Duckhyung Lee and Gab{-}soo Han}, title = {7.1 {A} 1/4-inch 8Mpixel {CMOS} image sensor with 3D backside-illuminated 1.12{\(\mu\)}m pixel with front-side deep-trench isolation and vertical transfer gate}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {124--125}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757365}, doi = {10.1109/ISSCC.2014.6757365}, timestamp = {Sun, 04 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKJKKPJPLPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKNCKKLCKCK14, author = {Ki{-}Tae Park and Jin{-}Man Han and Dae{-}Han Kim and Sangwan Nam and Kihwan Choi and Minsu Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Kyung{-}Min Kang and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Hyun Wook Park and Sang{-}Won Shim and Hyun{-}Jun Yoon and Doo{-}Hyun Kim and Sang{-}Won Park and Kangbin Lee and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jeunghwan Park and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dongkyu Youn and Won{-}Tae Kim and Taehyun Kim and Sung{-}Jun Kim and Sungwhan Seo and Hyunggon Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Moosung Kim and Myong{-}Seok Kim and Jinseon Yeon and Jae{-}hoon Jang and Han{-}Soo Kim and Woonkyung Lee and Duheon Song and Sungsoo Lee and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {19.5 Three-dimensional 128Gb {MLC} vertical {NAND} Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {334--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757458}, doi = {10.1109/ISSCC.2014.6757458}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKNCKKLCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uc/ChoHKKKS14, author = {Da{-}Jung Cho and Yo{-}Sub Han and Shin{-}Dong Kang and Hwee Kim and Sang{-}Ki Ko and Kai Salomaa}, editor = {Oscar H. Ibarra and Lila Kari and Steffen Kopecki}, title = {Pseudo-inversion on Formal Languages}, booktitle = {Unconventional Computation and Natural Computation - 13th International Conference, {UCNC} 2014, London, ON, Canada, July 14-18, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8553}, pages = {93--104}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-08123-6\_8}, doi = {10.1007/978-3-319-08123-6\_8}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uc/ChoHKKKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiLAKHLCKP14, author = {Jung{-}Hyun Choi and Sang{-}Mun Lee and Jinung An and Jeong{-}Hwan Kwak and Dae{-}Han Hong and Yoo{-}Jung Lee and Jang{-}Yoon Choi and Youn Sik Kang and Yong Woon Park}, title = {On-task adaptive design of spatula-shaped end effector for human rescue robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {230--231}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057446}, doi = {10.1109/URAI.2014.7057446}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiLAKHLCKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKKLBCJNLCH14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Kang Seol Lee and Sang Jin Byeon and Jin{-}Hee Cho and Han Ho Jin and Sang Kyun Nam and Jaejin Lee and Jun Hyun Chun and Sung{-}Joo Hong}, title = {An exact measurement and repair circuit of {TSV} connections for 128GB/s high-bandwidth memory(HBM) stacked {DRAM}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858368}, doi = {10.1109/VLSIC.2014.6858368}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKKLBCJNLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icimcs/2014, editor = {Hanzi Wang and Larry Davis and Wenwu Zhu and Stephan Kopf and Yanyun Qu and Jun Yu and Jitao Sang and Tao Mei}, title = {International Conference on Internet Multimedia Computing and Service, {ICIMCS} '14, Xiamen, China, July 10-12, 2014}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2632856}, isbn = {978-1-4503-2810-4}, timestamp = {Mon, 18 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icimcs/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MoonJKHHLPK13, author = {Sanghoon Moon and Kwang Su Jung and Young Jin Kim and Mi Yeong Hwang and Kyungsook Han and Jong{-}Young Lee and Kiejung Park and Bong{-}Jo Kim}, title = {{KGVDB:} a population-based genomic map of CNVs tagged by SNPs in Koreans}, journal = {Bioinform.}, volume = {29}, number = {11}, pages = {1481--1483}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/btt173}, doi = {10.1093/BIOINFORMATICS/BTT173}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/MoonJKHHLPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/HanSKSK13, author = {Jong{-}Woo Han and Suryanto and Jun{-}Hyung Kim and Sanghoon Sull and Sung{-}Jea Ko}, title = {New edge-adaptive image interpolation using anisotropic Gaussian filters}, journal = {Digit. Signal Process.}, volume = {23}, number = {1}, pages = {110--117}, year = {2013}, url = {https://doi.org/10.1016/j.dsp.2012.07.016}, doi = {10.1016/J.DSP.2012.07.016}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/HanSKSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/AndraCDGHKKKLMMMSSSRWZ13, author = {Heiko Andr{\"{a}} and Nicolas Combaret and Jack Dvorkin and Erik Glatt and Junehee Han and Matthias Kabel and Youngseuk Keehm and Fabian Krzikalla and Minhui Lee and Claudio Madonna and Mike Marsh and Tapan Mukerji and Erik H. Saenger and Ratnanabha Sain and Nishank Saxena and Sarah Ricker and Andreas Wiegmann and Xin Zhan}, title = {Digital rock physics benchmarks - Part {I:} Imaging and segmentation}, journal = {Comput. Geosci.}, volume = {50}, pages = {25--32}, year = {2013}, url = {https://doi.org/10.1016/j.cageo.2012.09.005}, doi = {10.1016/J.CAGEO.2012.09.005}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gandc/AndraCDGHKKKLMMMSSSRWZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/AndraCDGHKKKLMMMSSSRWZ13a, author = {Heiko Andr{\"{a}} and Nicolas Combaret and Jack Dvorkin and Erik Glatt and Junehee Han and Matthias Kabel and Youngseuk Keehm and Fabian Krzikalla and Minhui Lee and Claudio Madonna and Mike Marsh and Tapan Mukerji and Erik H. Saenger and Ratnanabha Sain and Nishank Saxena and Sarah Ricker and Andreas Wiegmann and Xin Zhan}, title = {Digital rock physics benchmarks - part {II:} Computing effective properties}, journal = {Comput. Geosci.}, volume = {50}, pages = {33--43}, year = {2013}, url = {https://doi.org/10.1016/j.cageo.2012.09.008}, doi = {10.1016/J.CAGEO.2012.09.008}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gandc/AndraCDGHKKKLMMMSSSRWZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/YangJH13, author = {Se{-}Hoon Yang and Eun{-}Mi Jung and Sang{-}Kook Han}, title = {Indoor Location Estimation Based on {LED} Visible Light Communication Using Multiple Optical Receivers}, journal = {{IEEE} Commun. Lett.}, volume = {17}, number = {9}, pages = {1834--1837}, year = {2013}, url = {https://doi.org/10.1109/LCOMM.2013.070913.131120}, doi = {10.1109/LCOMM.2013.070913.131120}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/YangJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeSCC13, author = {Haeng{-}Gon Lee and Jungsuk Song and Sang{-}Soo Choi and Gi{-}Hwan Cho}, title = {An Advanced Incident Response Methodology Based on Correlation Analysis of Polymorphic Security Events}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {7}, pages = {1803--1813}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.1803}, doi = {10.1587/TRANSCOM.E96.B.1803}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/HanCKJH13, author = {Soohee Han and Hyungsig Cho and Sangmin Kim and Jaehoon Jung and Joon Heo}, title = {Automated and Efficient Method for Extraction of Tunnel Cross Sections Using Terrestrial Laser Scanned Data}, journal = {J. Comput. Civ. Eng.}, volume = {27}, number = {3}, pages = {274--281}, year = {2013}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000211}, doi = {10.1061/(ASCE)CP.1943-5487.0000211}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/HanCKJH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimHYKKKYLPSLRC13, author = {Hyunsik Kim and Sang{-}Wook Han and Junhyeok Yang and Sung{-}il Kim and Young Kim and Sangwook Kim and Dae{-}Kun Yoon and Jun Su Lee and Jae{-}Chul Park and Younghun Sung and Seong{-}Deok Lee and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {An Asynchronous Sampling-Based 128x128 Direct Photon-Counting X-Ray Image Detector with Multi-Energy Discrimination and High Spatial Resolution}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {2}, pages = {541--558}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2221196}, doi = {10.1109/JSSC.2012.2221196}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimHYKKKYLPSLRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/NamHBK13, author = {Hyun{-}Jun Nam and Seong Kyu Han and James U. Bowie and Sanguk Kim}, title = {Rampant Exchange of the Structure and Function of Extramembrane Domains between Membrane and Water Soluble Proteins}, journal = {PLoS Comput. Biol.}, volume = {9}, number = {3}, year = {2013}, url = {https://doi.org/10.1371/journal.pcbi.1002997}, doi = {10.1371/JOURNAL.PCBI.1002997}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/NamHBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonKJPKHRPJ13, author = {Yong Seok Kwon and Myeong Ock Ko and Mi Sun Jung and Ik Gon Park and Namje Kim and Sang Pil Han and Han{-}Cheol Ryu and Kyunghyun Park and Min{-}Yong Jeon}, title = {Dynamic Sensor Interrogation Using Wavelength-Swept Laser with a Polygon-Scanner-Based Wavelength Filter}, journal = {Sensors}, volume = {13}, number = {8}, pages = {9669--9678}, year = {2013}, url = {https://doi.org/10.3390/s130809669}, doi = {10.3390/S130809669}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwonKJPKHRPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/RyuYHOK13, author = {Joong{-}Kyung Ryu and Junseok Yoo and Jung{-}Soo Han and Sang Yeob Oh and Kuinam J. Kim}, title = {Special Issue on "Recent Trends on Convergence Personal Wireless System" - Selected Topics from the {ICITCS} Conference on December 5-7 2012 in Pyeongchang, Korea}, journal = {Wirel. Pers. Commun.}, volume = {73}, number = {2}, pages = {169--174}, year = {2013}, url = {https://doi.org/10.1007/s11277-013-1227-4}, doi = {10.1007/S11277-013-1227-4}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/RyuYHOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiasim/ParkKLJKKJJ13, author = {Jinsoo Park and Yun Bae Kim and Haneul Lee and Gisun Jung and Sangeun Kim and Jeong Seok Kang and Jay Jou and Yeon{-}Jae Jung}, editor = {Gary S. H. Tan and Gee Kin Yeo and Stephen John Turner and Yong Meng Teo}, title = {Generating the Confidence Interval of Time Averaged Estimator Using Threshold Bootstrap}, booktitle = {AsiaSim 2013 - 13th International Conference on Systems Simulation, Singapore, November 6-8, 2013. Proceedings}, series = {Communications in Computer and Information Science}, volume = {402}, pages = {212--218}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-45037-2\_20}, doi = {10.1007/978-3-642-45037-2\_20}, timestamp = {Mon, 14 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiasim/ParkKLJKKJJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KimKYC13, author = {Si{-}Jung Kim and Bong{-}Han Kim and Sang{-}Soo Yeo and Do{-}Eun Cho}, title = {Network Anomaly Detection for M-Connected {SCADA} Networks}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {351--354}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.61}, doi = {10.1109/BWCCA.2013.61}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/KimKYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/LeeSLKNJLPH13, author = {Won{-}Jong Lee and Youngsam Shin and Jaedon Lee and Jin{-}Woo Kim and Jae{-}Ho Nah and Seokyoon Jung and Shihwa Lee and Hyun{-}Sang Park and Tack{-}Don Han}, editor = {Kayvon Fatahalian and Christian Theobalt and Jaakko Lehtinen}, title = {{SGRT:} {A} Mobile {GPU} Architecture for Real-Time Ray Tracing}, booktitle = {High-Performance Graphics 2013, Anaheim, California, USA, July 19-21, 2013. Proceedings}, pages = {109--120}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2492045.2492057}, doi = {10.1145/2492045.2492057}, timestamp = {Sat, 30 Sep 2023 09:39:43 +0200}, biburl = {https://dblp.org/rec/conf/egh/LeeSLKNJLPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChoiKOPCJHCPJOA13, author = {Sung Ho Choi and Yi Tae Kim and Min Seok Oh and Younghwan Park and Jeongjin Cho and Youngheup Jang and Hyungjun Han and Jongwon Choi and Howoo Park and Sangil Jung and Hoon Sang Oh and Jung Chak Ahn and Hiroshige Goto and Chi{-}Young Choi and Yonghan Roh}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {A novel pixel design with hybrid type isolation scheme for low dark current in {CMOS} image sensor}, booktitle = {Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8659}, pages = {86590F}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2003697}, doi = {10.1117/12.2003697}, timestamp = {Wed, 02 Aug 2023 16:01:06 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChoiKOPCJHCPJOA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ShinPSSCJ13, author = {Sungho Shin and Sangkeun Park and Jinseop Shin and Sa{-}Kwang Song and Sung{-}Pil Choi and Hanmin Jung}, editor = {Sakae Yamamoto}, title = {Analytics on Online Discussion and Commenting Services}, booktitle = {Human Interface and the Management of Information. Information and Interaction Design - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8016}, pages = {250--258}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39209-2\_29}, doi = {10.1007/978-3-642-39209-2\_29}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/ShinPSSCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CrossleyPLYNJKNLSASA13, author = {John Crossley and Alberto Puggelli and Hanh{-}Phuc Le and B. Yang and R. Nancollas and Kwangmo Jung and Lingkai Kong and Nathan Narevsky and Yue Lu and Nicholas Sutardja and E. J. An and Alberto L. Sangiovanni{-}Vincentelli and Elad Alon}, editor = {J{\"{o}}rg Henkel}, title = {{BAG:} a designer-oriented integrated framework for the development of {AMS} circuit generators}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {74--81}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691100}, doi = {10.1109/ICCAD.2013.6691100}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/CrossleyPLYNJKNLSASA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimKSKL13, author = {Jun{-}Seong Kim and Hansang Kim and Jae{-}Young Sim and Chang{-}Su Kim and Sang{-}Uk Lee}, title = {Video saliency detection based on random walk with restart}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {2465--2469}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738508}, doi = {10.1109/ICIP.2013.6738508}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/KimKSKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/LeeHRK13, author = {Jaemyoun Lee and Sanghwa Han and Junhee Ryu and Kyungtae Kang}, title = {Strategy to Reduce {I/O} Access Time of Applications in Virtual Machines}, booktitle = {2013 International Conference on {IT} Convergence and Security, {ICITCS} 2013, Macau, China, December 16-18, 2013}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICITCS.2013.6717888}, doi = {10.1109/ICITCS.2013.6717888}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/LeeHRK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanK13, author = {Jun Sang Han and Myoung Jin Kim}, title = {Bit error probability and power spectral density of quadrature multiplexed {GMSK}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {223--227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675344}, doi = {10.1109/ICTC.2013.6675344}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanK13a, author = {Jun Sang Han and Myoung Jin Kim}, title = {Offset Quadrature-Quadrature Phase Shift Keying with Half-Sine Pulse Shaping}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {931--935}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675521}, doi = {10.1109/ICTC.2013.6675521}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanK13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungWH13, author = {Sang{-}Min Jung and Yong{-}Yuk Won and Sang{-}Kook Han}, title = {Optical beating interference reduction in {DMT} based passive optical network by using homodyne balanced detection technique}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {703--704}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675455}, doi = {10.1109/ICTC.2013.6675455}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JungWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungKHL13, author = {Oh{-}Yong Jung and Seungjin Kim and Seok{-}Kyun Han and Sang{-}Gug Lee}, title = {A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1087--1090}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572039}, doi = {10.1109/ISCAS.2013.6572039}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JungKHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeLJN13, author = {Sang{-}Mun Lee and Kyoung{-}Don Lee and Sang{-}Hyuek Jung and Tae{-}Sung Noh}, title = {Kinematic calibration system of robot hands using vision cameras}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {175--177}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677506}, doi = {10.1109/URAI.2013.6677506}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeLJN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/sps/HongO13, author = {Sangjin Hong and Seong{-}Jun Oh}, editor = {Shuvra S. Bhattacharyya and Ed F. Deprettere and Rainer Leupers and Jarmo Takala}, title = {Architectures for Particle Filtering}, booktitle = {Handbook of Signal Processing Systems}, pages = {639--670}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-1-4614-6859-2\_20}, doi = {10.1007/978-1-4614-6859-2\_20}, timestamp = {Wed, 14 Jun 2017 20:39:06 +0200}, biburl = {https://dblp.org/rec/reference/sps/HongO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/HanKJKYH12, author = {Soohee Han and Sangmin Kim and Jae Hoon Jung and Changjae Kim and Kiyun Yu and Joon Heo}, title = {Development of a hashing-based data structure for the fast retrieval of 3D terrestrial laser scanned data}, journal = {Comput. Geosci.}, volume = {39}, pages = {1--10}, year = {2012}, url = {https://doi.org/10.1016/j.cageo.2011.05.005}, doi = {10.1016/J.CAGEO.2011.05.005}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gandc/HanKJKYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HanPJK12, author = {Sang{-}Keun Han and KeeChan Park and Young{-}Hyun Jun and Bai{-}Sun Kong}, title = {High-Speed Low-Power Boosted Level Converters for Dual Supply Systems}, journal = {{IEICE} Trans. Electron.}, volume = {95-C}, number = {11}, pages = {1824--1826}, year = {2012}, url = {https://doi.org/10.1587/transele.E95.C.1824}, doi = {10.1587/TRANSELE.E95.C.1824}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HanPJK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HanSK12, author = {Junghyun Han and Jitae Shin and Sang{-}Hyo Kim}, title = {Optimal Bit Allocation with Priority Layer Dropping for {H.264} Scalable Video}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {2}, pages = {684--688}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.684}, doi = {10.1587/TRANSCOM.E95.B.684}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HanSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimPHK12, author = {Junghwan Kim and Minkyu Park and Sangchul Han and Jinsoo Kim}, title = {An Efficient Prefix Caching Scheme with Bounded Prefix Expansion for High-Speed {IP} Lookup}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {10}, pages = {3298--3301}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.3298}, doi = {10.1587/TRANSCOM.E95.B.3298}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimPHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeCOH12, author = {Jinseok Lee and Shung Han Cho and Seong{-}Jun Oh and Sangjin Hong}, title = {Local and Global Information Exchange for Enhancing Object Detection and Tracking}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {6}, number = {5}, pages = {1400--1420}, year = {2012}, url = {https://doi.org/10.3837/tiis.2012.05.009}, doi = {10.3837/TIIS.2012.05.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeCOH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/YunJKKLCKPS12, author = {Sangseok Yun and Bongjin Jun and Daijin Kim and Jaewoong Kim and Sukhan Lee and Mun{-}Taek Choi and Munsang Kim and Joong{-}Tae Park and Jae{-}Bok Song}, title = {Proactive Human Search for the Designated Person with Prior Context Information in an Undiscovered Environments}, journal = {J. Intell. Robotic Syst.}, volume = {67}, number = {2}, pages = {79--99}, year = {2012}, url = {https://doi.org/10.1007/s10846-011-9641-x}, doi = {10.1007/S10846-011-9641-X}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/YunJKKLCKPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/NamPHPW12, author = {Junghyun Nam and Minkyu Park and Sangchul Han and Juryon Paik and Dongho Won}, title = {Scalable Group Key Exchange for Securing Distributed Operating Systems}, journal = {J. Inf. Sci. Eng.}, volume = {28}, number = {5}, pages = {829--857}, year = {2012}, url = {http://www.iis.sinica.edu.tw/page/jise/2012/201209\_02.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/NamPHPW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SonCKCLCPK12, author = {Young{-}Don Son and Zang{-}Hee Cho and Hang{-}Keun Kim and Eun{-}Jung Choi and Sang{-}Yoon Lee and Je{-}Geun Chi and Chan{-}Woong Park and Young{-}Bo Kim}, title = {Glucose metabolism of the midline nuclei raphe in the brainstem observed by {PET-MRI} fusion imaging}, journal = {NeuroImage}, volume = {59}, number = {2}, pages = {1094--1097}, year = {2012}, url = {https://doi.org/10.1016/j.neuroimage.2011.09.036}, doi = {10.1016/J.NEUROIMAGE.2011.09.036}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/SonCKCLCPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/HanK12, author = {Yo{-}Sub Han and Sang{-}Ki Ko}, title = {Analysis of a cellular automaton model for car traffic with a junction}, journal = {Theor. Comput. Sci.}, volume = {450}, pages = {54--67}, year = {2012}, url = {https://doi.org/10.1016/j.tcs.2012.04.027}, doi = {10.1016/J.TCS.2012.04.027}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/HanK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/LeeJH12, author = {Sangjae Lee and Suk Hoon Jung and Dongsoo Han}, editor = {Chi{-}Yin Chow and Shashi Shekhar}, title = {Uncaught signal imputation for accuracy enhancement of WLAN-based positioning systems}, booktitle = {Proceedings of the First {ACM} {SIGSPATIAL} International Workshop on Mobile Geographic Information Systems, MobiGIS 2012, Redondo Beach, CA, USA, November 6, 2012}, pages = {80--85}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2442810.2442825}, doi = {10.1145/2442810.2442825}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gis/LeeJH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeePKKLH12, author = {Junghoon Lee and Gyung{-}Leen Park and Min{-}Jae Kang and Ho{-}Young Kwak and Sang Joon Lee and Jikwang Han}, editor = {Beniamino Murgante and Osvaldo Gervasi and Sanjay Misra and Nadia Nedjah and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan}, title = {Middleware Integration for Ubiquitous Sensor Networks in Agriculture}, booktitle = {Computational Science and Its Applications - {ICCSA} 2012 - 12th International Conference, Salvador de Bahia, Brazil, June 18-21, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7335}, pages = {217--226}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31137-6\_16}, doi = {10.1007/978-3-642-31137-6\_16}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeePKKLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanK12, author = {Jun Sang Han and Myoung Jin Kim}, title = {Quadrature multiplexed Gaussian minimum shift keying}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, pages = {610--611}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICTC.2012.6387124}, doi = {10.1109/ICTC.2012.6387124}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungLMLWLH12, author = {Eui{-}Suk Jung and Eun{-}Gu Lee and Sil{-}Gu Mun and Jong Hyun Lee and Yong{-}Yuk Won and Sang Soo Lee and Sang{-}Kook Han}, title = {Wavelength initialization technique for colorless gigabit {WDM-PON}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2012, "Global Open Innovation Summit for Smart {ICT} Convergence", Jeju Island, Korea, October 15-17, 2012}, pages = {579--580}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICTC.2012.6387204}, doi = {10.1109/ICTC.2012.6387204}, timestamp = {Sun, 06 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JungLMLWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OuhLHKYH12, author = {Hyun Kyu Ouh and Jungwoo Lee and Sangyun Han and Hyunjip Kim and Insik Yoon and Soonwon Hong}, title = {A programmable mutual capacitance sensing circuit for a large-sized touch panel}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1395--1398}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271504}, doi = {10.1109/ISCAS.2012.6271504}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OuhLHKYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/LiuHAZCAR12, author = {Jun Liu and Xu Han and Manal Al{-}Bzoor and Michael Zuba and Jun{-}Hong Cui and Reda A. Ammar and Sanguthevar Rajasekaran}, title = {{PADP:} Prediction assisted dynamic surface gateway placement for mobile underwater networks}, booktitle = {2012 {IEEE} Symposium on Computers and Communications, {ISCC} 2012, Cappadocia, Turkey, July 1-4, 2012}, pages = {139--144}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISCC.2012.6249282}, doi = {10.1109/ISCC.2012.6249282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/LiuHAZCAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismis/ChoiLSSJLK12, author = {Jung{-}Whoan Choi and Seungwoo Lee and Dongmin Seo and Sa{-}Kwang Song and Hanmin Jung and Sang Hwan Lee and Pyung Kim}, editor = {Li Chen and Alexander Felfernig and Jiming Liu and Zbigniew W. Ras}, title = {On Supporting Weapon System Information Analysis with Ontology Model and Text Mining}, booktitle = {Foundations of Intelligent Systems - 20th International Symposium, {ISMIS} 2012, Macau, China, December 4-7, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7661}, pages = {293--300}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34624-8\_34}, doi = {10.1007/978-3-642-34624-8\_34}, timestamp = {Sun, 02 Jun 2019 21:17:12 +0200}, biburl = {https://dblp.org/rec/conf/ismis/ChoiLSSJLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12, author = {Youngdon Choi and Ickhyun Song and Mu{-}Hui Park and Hoeju Chung and Sanghoan Chang and Beakhyoung Cho and Jinyoung Kim and Younghoon Oh and Dukmin Kwon and Jung Sunwoo and Junho Shin and Yoohwan Rho and Changsoo Lee and Min Gu Kang and Jaeyun Lee and Yongjin Kwon and Soehee Kim and Jaewhan Kim and Yong{-}jun Lee and Qi Wang and Sooho Cha and Sujin Ahn and Hideki Horii and Jaewook Lee and KiSeung Kim and Han{-}Sung Joo and KwangJin Lee and Yeong{-}Taek Lee and Jei{-}Hwan Yoo and Gitae Jeong}, title = {A 20nm 1.8V 8Gb {PRAM} with 40MB/s program bandwidth}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {46--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176872}, doi = {10.1109/ISSCC.2012.6176872}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimHYKKKYLPSLRC12, author = {Hyunsik Kim and Sang{-}Wook Han and Junhyeok Yang and Sung{-}il Kim and Young Kim and Sangwook Kim and Dae{-}Kun Yoon and Jun Su Lee and Jae{-}Chul Park and Younghun Sung and Seong{-}Deok Lee and Seung{-}Tak Ryu and Gyu{-}Hyeong Cho}, title = {A sampling-based 128{\texttimes}128 direct photon-counting X-ray image sensor with 3 energy bins and spatial resolution of 60{\(\mu\)}m/pixel}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {110--112}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176941}, doi = {10.1109/ISSCC.2012.6176941}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimHYKKKYLPSLRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/MinHHLLSS12, author = {Chulhong Min and Wookhyun Han and Inseok Hwang and Sang Jeong Lee and Youngki Lee and Insik Shin and Junehwa Song}, editor = {Nigel Davies and Srinivasan Seshan and Lin Zhong}, title = {Poster: towards mobile GPU-accelerated context processing for continuous sensing applications on smartphones}, booktitle = {The 10th International Conference on Mobile Systems, Applications, and Services, MobiSys'12, Ambleside, United Kingdom - June 25 - 29, 2012}, pages = {505--506}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2307636.2307706}, doi = {10.1145/2307636.2307706}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/MinHHLLSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saint/LeeJLH12, author = {Minkyu Lee and Suk Hoon Jung and Sangjae Lee and Dongsoo Han}, title = {Elekspot: {A} Platform for Urban Place Recognition via Crowdsourcing}, booktitle = {12th {IEEE/IPSJ} International Symposium on Applications and the Internet, {SAINT} 2012, Izmir, Turkey, July 16-20, 2012}, pages = {190--195}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SAINT.2012.35}, doi = {10.1109/SAINT.2012.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/saint/LeeJLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/KimKKABH12, author = {Sang{-}Ho Kim and Dong{-}Hyung Kim and Chang{-}Jun Kim and Mian Ashfaq Ali and Sung{-}Hoon Back and Chang{-}Soo Han}, title = {A study on the improvement of the tire force distribution method for rear wheel drive electric vehicle with in-wheel motor}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {390--395}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SII.2012.6427335}, doi = {10.1109/SII.2012.6427335}, timestamp = {Mon, 16 Aug 2021 16:04:03 +0200}, biburl = {https://dblp.org/rec/conf/sii/KimKKABH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LeeSLYKK12, author = {Jun Won Lee and Sung Yul Shin and Sang hyup Lee and Young mok Yun and Seung jong Kim and Changhwan Kim}, title = {SVM-based system for point-to-point hand movement}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2012, Fukuoka, Japan, December 16-18, 2012}, pages = {254--259}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SII.2012.6427294}, doi = {10.1109/SII.2012.6427294}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sii/LeeSLYKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoPYLJSCHKJ12, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive multi-pulse program scheme based on tunneling speed classification for next generation multi-bit/cell {NAND} {FLASH}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {136--137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243827}, doi = {10.1109/VLSIC.2012.6243827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoPYLJSCHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinSJKYCKPYSCS12, author = {Seung{-}Hwan Shin and Dong{-}Kyo Shim and Jaeyong Jeong and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and Tae{-}Young Kim and Hyun Wook Park and Hyun{-}Jun Yoon and Youngsun Song and Yoon{-}Hee Choi and Sang{-}Won Shim and Yang{-}Lo Ahn and Ki{-}Tae Park and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance {TLC} {NAND} flash memory}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {132--133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243825}, doi = {10.1109/VLSIC.2012.6243825}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinSJKYCKPYSCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/WooKLKLRKM11, author = {Jung{-}Hun Woo and HyungSeok Kim and Sang Boem Lim and Jae{-}Jin Kim and Jonghyun Lee and Rina Ryoo and Hansoo Kim and Le Dinh Minh}, title = {Constructing u-City of Seoul by future foresight analysis}, journal = {Concurr. Comput. Pract. Exp.}, volume = {23}, number = {10}, pages = {1114--1126}, year = {2011}, url = {https://doi.org/10.1002/cpe.1690}, doi = {10.1002/CPE.1690}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/WooKLKLRKM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaSI/KimMHC11, author = {Seok Kyoo Kim and SungHyun Moon and SangYong Han and Juno Chang}, title = {Programming the Story: Interactive Storytelling System}, journal = {Informatica (Slovenia)}, volume = {35}, number = {2}, pages = {221--229}, year = {2011}, url = {http://www.informatica.si/index.php/informatica/article/view/346}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informaticaSI/KimMHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeCHLO11, author = {Jinseok Lee and Shung Han Cho and Sangjin Hong and Jaechan Lim and Seong{-}Jun Oh}, title = {Object Tracking in 3-D Space with Passive Acoustic Sensors using Particle Filter}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {5}, number = {9}, pages = {1632--1652}, year = {2011}, url = {https://doi.org/10.3837/tiis.2011.09.008}, doi = {10.3837/TIIS.2011.09.008}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeCHLO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/KimCH11, author = {Seok Kyoo Kim and Juno Chang and Sang{-}Yong Han}, title = {Implementation of Business Logic Framework for Collaboration of Heterogeneous Service Systems in {RFID/USN} Environment}, journal = {J. Inf. Sci. Eng.}, volume = {27}, number = {3}, pages = {1073--1089}, year = {2011}, url = {http://www.iis.sinica.edu.tw/page/jise/2011/201105\_17.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/KimCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HongHJH11, author = {Jungpyo Hong and Seung Ho Han and Sangbae Jeong and Minsoo Hahn}, title = {Adaptive microphone array processing for high-performance speech recognition in car environment}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {1}, pages = {260--266}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.5735511}, doi = {10.1109/TCE.2011.5735511}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HongHJH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/Oh0PJ11, author = {Hyeongchul Oh and Sang{-}Jun Park and Hanjin Park and Jechang Jeong}, title = {Motion compensated frame rate-up conversion based on multiple frame interpolation algorithm}, booktitle = {Proceedings of the 19th European Signal Processing Conference, {EUSIPCO} 2011, Barcelona, Spain, August 29 - Sept. 2, 2011}, pages = {2166--2170}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/7074054/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/Oh0PJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeKPYYJ11, author = {Byoung{-}Kwi Lee and Mun{-}Hye Kang and Kyoung Choon Park and Jin Seob Yi and Sang Woo Yang and Yong{-}Kee Jun}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Haeng{-}Kon Kim and Heau{-}Jo Kang and Kyung Jung Kim and Kiumi Akingbehin and Byeong Ho Kang}, title = {Program Conversion for Detecting Data Races in Concurrent Interrupt Handlers}, booktitle = {Software Engineering, Business Continuity, and Education - International Conferences ASEA, {DRBC} and {EL} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {257}, pages = {407--415}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27207-3\_45}, doi = {10.1007/978-3-642-27207-3\_45}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/LeeKPYYJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HongCLBKCLCJPKHSJY11, author = {YouSik Hong and Myeong{-}Bok Choi and June{-}Hyung Lee and Cheol{-}Soo Bae and Jang Mook Kang and Jae Sang Cha and Geuk Lee and Seong Jin Cho and HyunSoo Jin and Chun{-}Myoung Park and Baek ki Kim and Kwang{-}Deok Han and Su Kyun Sun and Chul Jang and S. C. Yu}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Implementation of Smart Car Using Fuzzy Rules}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {609--616}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_77}, doi = {10.1007/978-3-642-24106-2\_77}, timestamp = {Thu, 28 Dec 2017 16:02:42 +0100}, biburl = {https://dblp.org/rec/conf/ichit/HongCLBKCLCJPKHSJY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/AhnLCRL11, author = {Hyochang Ahn and Yong{-}Hwan Lee and Han{-}Jin Cho and Sang{-}Burm Rhee and June{-}Hwan Lee}, editor = {Kuinam J. Kim and Seong{-}Jin Ahn}, title = {A RSSI-Based Approach for Localization of Wireless Sensor Network in Indoor}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2011, Suwon, Korea, December 14-16, 2011}, series = {Lecture Notes in Electrical Engineering}, volume = {120}, pages = {123--127}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-94-007-2911-7\_10}, doi = {10.1007/978-94-007-2911-7\_10}, timestamp = {Tue, 01 Feb 2022 08:29:46 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/AhnLCRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoungHKK11, author = {Joonee Choung and Sangwoo Han and Byung{-}Su Kim and Juno Kim}, title = {Variation-aware aging analysis with non-Gaussian parameters}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {88--91}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138653}, doi = {10.1109/ISOCC.2011.6138653}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChoungHKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and Si{-}Hong Kim and Yun{-}Seok Yang and Dae{-}Hyun Kim and Sang{-}Hyup Kwak and Ho{-}Seok Seol and Chang{-}Ho Shin and Min{-}Sang Park and Gong{-}Heom Han and Byeong{-}Cheol Kim and Yong{-}Ki Cho and Hye{-}Ran Kim and Su{-}Yeon Doo and Young{-}Sik Kim and Dong{-}Seok Kang and Young{-}Ryeol Choi and Sam{-}Young Bang and Sun{-}Young Park and Yong{-}Jae Shin and Gil{-}Shin Moon and Cheol{-}Goo Park and Woo{-}Seop Kim and Hyang{-}Ja Yang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 40nm 2Gb 7Gb/s/pin {GDDR5} {SDRAM} with a programmable {DQ} ordering crosstalk equalizer and adjustable clock-tracking {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {498--500}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746414}, doi = {10.1109/ISSCC.2011.5746414}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Kyehyun Kyung and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2V 12.8GB/s 2Gb mobile Wide-I/O {DRAM} with 4{\texttimes}128 I/Os using TSV-based stacking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {496--498}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746413}, doi = {10.1109/ISSCC.2011.5746413}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPHPJJKKHHBMALGL11, author = {Sangjoo Lee and Kyungho Lee and Jongeun Park and Hyungjun Han and Younghwan Park and Taesub Jung and Youngheup Jang and Bumsuk Kim and Yitae Kim and Shay Hamami and Uzi Hizi and Mickey Bahar and Changrok Moon and JungChak Ahn and Duckhyung Lee and Hiroshige Goto and Yun{-}Tae Lee}, title = {A 1/2.33-inch 14.6M 1.4{\(\mu\)}m-pixel backside-illuminated {CMOS} image sensor with floating diffusion boosting}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {416--418}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746377}, doi = {10.1109/ISSCC.2011.5746377}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPHPJJKKHHBMALGL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {212--213}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746287}, doi = {10.1109/ISSCC.2011.5746287}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinHLHKPLCJK11, author = {Woo{-}Yeol Shin and Gi{-}Moon Hong and Hyongmin Lee and Jaeduk Han and Sunkwon Kim and Kyu{-}Sang Park and Dong{-}Hyuk Lim and Jung{-}Hoon Chun and Deog{-}Kyoon Jeong and Suhwan Kim}, title = {A 4.8Gb/s impedance-matched bidirectional multi-drop transceiver for high-capacity memory interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {494--496}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746412}, doi = {10.1109/ISSCC.2011.5746412}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinHLHKPLCJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KimKKCH11, author = {Dong{-}Hyung Kim and Chang{-}Jun Kim and Sang{-}Ho Kim and Joon{-}Young Choi and Chang{-}Soo Han}, title = {Development of adaptive direct yaw-moment control method for electric vehicle based on identification of yaw-rate model}, booktitle = {{IEEE} Intelligent Vehicles Symposium (IV), 2011, Baden-Baden, Germany, June 5-9, 2011}, pages = {1098--1103}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IVS.2011.5940550}, doi = {10.1109/IVS.2011.5940550}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ivs/KimKKCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LeeKKPKPO11, author = {HanJin Lee and Sin{-}Jung Kim and Keehoon Kim and Myoung Soo Park and Sung{-}Kyun Kim and Jong Hyeon Park and Sang{-}Rok Oh}, title = {Online remote control of a robotic hand configurations using sEMG signals on a forearm}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {2243--2244}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181628}, doi = {10.1109/ROBIO.2011.6181628}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/LeeKKPKPO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/RheeHCPL11, author = {Eun Joo Rhee and Seiheui Han and Junyeong Choi and Jong{-}Il Park and Sang Hwa Lee}, editor = {Zhi{-}Qiang Liu and Joaquim A. Jorge and Zhigeng Pan and Xiaopeng Zhang and Oscar Kin{-}Chung Au and Weiming Dong}, title = {An interface between users and virtual objects using two hands}, booktitle = {Proceedings of the 10th International Conference on Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2011, Hong Kong, China, December 11-12, 2011}, pages = {441--442}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2087756.2087840}, doi = {10.1145/2087756.2087840}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/RheeHCPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/ChoHMPO10, author = {Shung Han Cho and Sangjin Hong and Nammee Moon and Peom Park and Seong{-}Jun Oh}, title = {Object Association and Identification in Heterogeneous Sensors Environment}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/591582}, doi = {10.1155/2010/591582}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/ChoHMPO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiPHK10, author = {Junho Choi and Seongmin Pyo and Sang{-}Min Han and Young{-}Sik Kim}, title = {Compact Circularly Polarized Microstrip Antennas Using {EM} Coupled Loop Resonators}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {10}, pages = {2658--2661}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.2658}, doi = {10.1587/TRANSCOM.E93.B.2658}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiPHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiPPLYCK10, author = {Soo{-}Han Choi and Young Hee Park and Chul{-}Hong Park and Sang Hoon Lee and Moon{-}Hyun Yoo and Jun Dong Cho and Gyu Tae Kim}, title = {Suppression of Edge Effects Based on Analytic Model for Leakage Current Reduction of Sub-40 nm {DRAM} Device}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {658--661}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.658}, doi = {10.1587/TRANSELE.E93.C.658}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiPPLYCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HanHJH10, author = {Seung Ho Han and Jungpyo Hong and Sangbae Jeong and Minsoo Hahn}, title = {Probabilistic Adaptation Mode Control Algorithm for GSC-Based Noise Reduction}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {3}, pages = {627--630}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.627}, doi = {10.1587/TRANSFUN.E93.A.627}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HanHJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimPKHJLHK10, author = {Joung{-}Yeal Kim and Su{-}Jin Park and Yong{-}Ki Kim and Sang{-}Keun Han and Young{-}Hyun Jun and Chil{-}Gee Lee and Tae Hee Han and Bai{-}Sun Kong}, title = {New Low-Voltage Low-Latency Mixed-Voltage {I/O} Buffer}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {709--711}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.709}, doi = {10.1587/TRANSELE.E93.C.709}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimPKHJLHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/YangKL10, author = {Jung{-}Yeon Yang and Han{-}joon Kim and Sang{-}goo Lee}, title = {Feature-based Product Review Summarization Utilizing User Score}, journal = {J. Inf. Sci. Eng.}, volume = {26}, number = {6}, pages = {1973--1990}, year = {2010}, url = {http://www.iis.sinica.edu.tw/page/jise/2010/201011\_03.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/YangKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/LeePKJLH10, author = {Jaejin Lee and Jung{-}Ho Park and Honggyu Kim and Changhee Jung and Daeseob Lim and Sang{-}Yong Han}, title = {Adaptive execution techniques of parallel programs for multiprocessors}, journal = {J. Parallel Distributed Comput.}, volume = {70}, number = {5}, pages = {467--480}, year = {2010}, url = {https://doi.org/10.1016/j.jpdc.2009.10.008}, doi = {10.1016/J.JPDC.2009.10.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/LeePKJLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HanRJH10, author = {Byeong{-}jun Han and Seungmin Rho and Sanghoon Jun and Eenjun Hwang}, title = {Music emotion classification and context-based music recommendation}, journal = {Multim. Tools Appl.}, volume = {47}, number = {3}, pages = {433--460}, year = {2010}, url = {https://doi.org/10.1007/s11042-009-0332-6}, doi = {10.1007/S11042-009-0332-6}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HanRJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimLJKLKSCNKS10, author = {Jae{-}Hun Kim and Jong{-}Min Lee and Hang Joon Jo and Sook Hui Kim and Jung Hee Lee and Sung Tae Kim and Sang Won Seo and Robert W. Cox and Duk L. Na and Sun I. Kim and Ziad S. Saad}, title = {Defining functional {SMA} and pre-SMA subregions in human {MFC} using resting state fMRI: Functional connectivity-based parcellation method}, journal = {NeuroImage}, volume = {49}, number = {3}, pages = {2375--2386}, year = {2010}, url = {https://doi.org/10.1016/j.neuroimage.2009.10.016}, doi = {10.1016/J.NEUROIMAGE.2009.10.016}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/KimLJKLKSCNKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HanHJH10, author = {Seung Ho Han and Jungpyo Hong and Sangbae Jeong and Minsoo Hahn}, title = {Robust GSC-based speech enhancement for human machine interface}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {2}, pages = {965--970}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5506027}, doi = {10.1109/TCE.2010.5506027}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HanHJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HanPKJ10, author = {Sang{-}Keun Han and KeeChan Park and Bai{-}Sun Kong and Young{-}Hyun Jun}, title = {High-speed low-power bootstrapped level converter for dual supply systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {871--874}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774982}, doi = {10.1109/APCCAS.2010.5774982}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HanPKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ChunLKKC10, author = {Chan Jun Chun and Young Han Lee and Yong Guk Kim and Hong Kook Kim and Choong Sang Cho}, editor = {Tai{-}Hoon Kim and Thanos Vasilakos and Kouichi Sakurai and Yang Xiao and Gansen Zhao and Dominik Slezak}, title = {A Real-Time Audio Upmixing Method from Stereo to 7.1-Channel Audio}, booktitle = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {120}, pages = {162--171}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17604-3\_18}, doi = {10.1007/978-3-642-17604-3\_18}, timestamp = {Tue, 23 May 2017 01:07:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/ChunLKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KwakPKKPKKHKS10, author = {Yoonsik Kwak and Dong{-}Hee Park and Jiwon Kwak and Dongho Kwak and Sangmoon Park and Kijeong Kil and Minseop Kim and Jungyoo Han and TaeHwan Kim and Seokil Song}, editor = {Tai{-}Hoon Kim and Thanos Vasilakos and Kouichi Sakurai and Yang Xiao and Gansen Zhao and Dominik Slezak}, title = {Implementation of the Sensor Node Hardware Platform for an Automatic Stall Management}, booktitle = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {120}, pages = {330--334}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17604-3\_40}, doi = {10.1007/978-3-642-17604-3\_40}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/KwakPKKPKKHKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/WooKLKLRK10, author = {Jung{-}Hun Woo and HyungSeok Kim and Sang Boem Lim and Jae{-}Jin Kim and Jonghyun Lee and Rina Ryoo and Hansoo Kim}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jong Hyuk Park and Sang{-}Soo Yeo}, title = {AirScope: {A} Micro-scale Urban Air Quality Management System}, booktitle = {Algorithms and Architectures for Parallel Processing, 10th International Conference, {ICA3PP} 2010, Busan, Korea, May 21-23, 2010. Proceedings. Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6081}, pages = {520--527}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13119-6\_45}, doi = {10.1007/978-3-642-13119-6\_45}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/WooKLKLRK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icete/KimAJKLK10, author = {Mingon Kim and Yazan M. Allawi and Jung{-}Sook Jang and Jin{-}Kyu Kang and SangCheol Lee and Minho Kang}, editor = {Jos{\'{e}} Luis Sevillano and Mohammad S. Obaidat and Petros Nicopolitidis}, title = {Enhanced Handover Mechanism for Multicast and Broadcast Services in {IEEE} 802.16E Systems}, booktitle = {{DCNET} 2010 {\&} {OPTICS} 2010 - Proceedings of the International Conference on Data Communication Networking and International Conference on Optical Communication Systems, Athens, Greece, July 26 - 28, 2010, {DCNET} {\&} {OPTICS} are parts of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {12--18}, publisher = {SciTePress}, year = {2010}, timestamp = {Fri, 07 Jan 2011 13:36:00 +0100}, biburl = {https://dblp.org/rec/conf/icete/KimAJKLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HongHJH10, author = {Jungpyo Hong and Seung Ho Han and Sangbae Jeong and Minsoo Hahn}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {Novel probabilistic control of noise reduction for improved microphone array beamforming}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {969--972}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-324}, doi = {10.21437/INTERSPEECH.2010-324}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HongHJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungHSNK10, author = {Chi{-}Sang Jung and Kyu Jeong Han and Hyunson Seo and Shrikanth S. Narayanan and Hong{-}Goo Kang}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {A variable frame length and rate algorithm based on the spectral kurtosis measure for speaker verification}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2754--2757}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-729}, doi = {10.21437/INTERSPEECH.2010-729}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungHSNK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LiJH10, author = {Ming Li and Chi{-}Sang Jung and Kyu Jeong Han}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {Combining five acoustic level modeling methods for automatic speaker age and gender recognition}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2826--2829}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-747}, doi = {10.21437/INTERSPEECH.2010-747}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LiJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/LeeOLJHPHH10, author = {Chan{-}Ho Lee and Jong{-}Kyu Oh and Sang{-}Hun Lee and Sung{-}Hyun Jung and Jong{-}Sung Hur and Sangbum Park and Youngjoon Han and Hernsoo Hahn}, title = {Development of a Robot Vision System for Measuring 3D Pose of Large Object using Virtual Plane Algorithm}, booktitle = {{ISR/ROBOTIK} 2010, Proceedings for the joint conference of {ISR} 2010 (41st Internationel Symposium on Robotics) und {ROBOTIK} 2010 (6th German Conference on Robotics), 7-9 June 2010, Munich, Germany - Parallel to {AUTOMATICA}}, pages = {1--6}, publisher = {{VDE} Verlag}, year = {2010}, url = {https://ieeexplore.ieee.org/document/5756816/}, timestamp = {Wed, 11 Aug 2021 11:51:24 +0200}, biburl = {https://dblp.org/rec/conf/isr/LeeOLJHPHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangKCCHKBOKKKCRP10, author = {Jae{-}Hong Chang and Huijung Kim and Jeong{-}Hyun Choi and Hangun Chung and Jungwook Heo and Sanghoon Kang and Jong{-}Dae Bae and Heetae Oh and Youngwoon Kim and Taek{-}Won Kwon and Ryan Kim and Wooseung Choo and Dojun Rhee and Byeong{-}Ha Park}, title = {A multistandard multiband mobile {TV} {RF} SoC in 65nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {462--463}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433847}, doi = {10.1109/ISSCC.2010.5433847}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChangKCCHKBOKKKCRP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/JungPMH10, author = {Donggi Jung and Hyunwoo Park and Ryong Maeng and Steve SangKi Han}, editor = {Ahmed K. Elmagarmid and Divyakant Agrawal}, title = {A Geometric Pattern-based Method to Build Hierarchies of Geo-Referenced Tags}, booktitle = {Proceedings of the 2010 {IEEE} Second International Conference on Social Computing, SocialCom / {IEEE} International Conference on Privacy, Security, Risk and Trust, {PASSAT} 2010, Minneapolis, Minnesota, USA, August 20-22, 2010}, pages = {546--551}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SocialCom.2010.86}, doi = {10.1109/SOCIALCOM.2010.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/JungPMH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1012-2979, author = {Debajyoti Mukhopadhyay and Byung{-}Jun Oh and Sang{-}Heon Shim and Young{-}Chon Kim}, title = {A Study on Recent Approaches in Handling DDoS Attacks}, journal = {CoRR}, volume = {abs/1012.2979}, year = {2010}, url = {http://arxiv.org/abs/1012.2979}, eprinttype = {arXiv}, eprint = {1012.2979}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1012-2979.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/NamKJCKCCP09, author = {Seong{-}Hyeuk Nam and Dae{-}Won Kim and Tae{-}Sung Jung and Young{-}Sang Choi and Dong{-}Wook Kim and Han{-}Suk Choi and Sang{-}Haeng Choi and Hong{-}Seog Park}, title = {{PESTAS:} a web server for {EST} analysis and sequence mining}, journal = {Bioinform.}, volume = {25}, number = {14}, pages = {1846--1848}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btp293}, doi = {10.1093/BIOINFORMATICS/BTP293}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/NamKJCKCCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JungKKCPLJC09, author = {Ki{-}Sang Jung and Kang{-}Jik Kim and Yong{-}Eun Kim and Jin{-}Gyun Chung and Ki{-}Hyun Pyun and Jong{-}Yeol Lee and Hang{-}Geun Jeong and Seong Ik Cho}, title = {The {ROM} Design with Half Grouping Compression Method for Chip Area and Power Consumption Reduction}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {3}, pages = {352--355}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.352}, doi = {10.1587/TRANSELE.E92.C.352}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JungKKCPLJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkKCLLYKLLP09, author = {Sang Hyuk Park and Sangwoo Kang and Seongjae Cho and Dong{-}Seup Lee and Jung Han Lee and Hong{-}Seon Yang and Kwon{-}Chil Kang and Joung{-}Eob Lee and Jong Duk Lee and Byung{-}Gook Park}, title = {Recessed Channel Dual Gate Single Electron Transistors (RCDG-SETs) for Room Temperature Operation}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {5}, pages = {647--652}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.647}, doi = {10.1587/TRANSELE.E92.C.647}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkKCLLYKLLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YooKWKYC09, author = {Hyun{-}Il Yoo and Young{-}Jun Kim and Kyung{-}Soo Woo and Jaekwon Kim and Sangboh Yun and Yong Soo Cho}, title = {A Hierarchical Preamble Design Technique for Efficient Handovers in OFDM-Based Multi-Hop Relay Systems}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {12}, pages = {3907--3910}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.3907}, doi = {10.1587/TRANSCOM.E92.B.3907}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YooKWKYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/NamCCMM09, author = {Eyee Hyun Nam and Ki Seok Choi and Jin{-}Yong Choi and Hang Jun Min and Sang Lyul Min}, title = {Hardware Platforms for Flash Memory/NVRAM Software Development}, journal = {J. Comput. Sci. Eng.}, volume = {3}, number = {3}, pages = {181--194}, year = {2009}, url = {https://doi.org/10.5626/jcse.2009.3.3.181}, doi = {10.5626/JCSE.2009.3.3.181}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcse/NamCCMM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/JunHH09, author = {Sanghoon Jun and Byeong{-}jun Han and Eenjun Hwang}, editor = {Ngoc Thanh Nguyen and Huynh Phan Nguyen and Adam Grzech}, title = {A Similar Music Retrieval Scheme Based on Musical Mood Variation}, booktitle = {First Asian Conference on Intelligent Information and Database Systems, {ACIIDS} 2009, Dong hoi, Quang binh, Vietnam, April 1-3, 2009}, pages = {167--172}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACIIDS.2009.65}, doi = {10.1109/ACIIDS.2009.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aciids/JunHH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cidm/WonKBL09, author = {Jung{-}Im Won and Sang{-}Wook Kim and Ji{-}Haeng Baek and Junghoon Lee}, title = {Trajectory clustering in road network environment}, booktitle = {Proceedings of the {IEEE} Symposium on Computational Intelligence and Data Mining, {CIDM} 2009, part of the {IEEE} Symposium Series on Computational Intelligence 2009, Nashville, TN, USA, March 30, 2009 - April 2, 2009}, pages = {299--305}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CIDM.2009.4938663}, doi = {10.1109/CIDM.2009.4938663}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cidm/WonKBL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csc/KwonCLPPK09, author = {Koo Hong Kwon and Won Jee Chung and Sung Jo Lee and Ki Beom Park and Jung Han Park and Sang Woo Kye}, editor = {Hamid R. Arabnia}, title = {Optimized Approximation of Finite Element Modeling for Complex Tool Holder Spindle Using Optimal Latin Hypercube {(OLH)} Method and Radial Basis Function {(RBF)} Neural Network}, booktitle = {Proceedings of the 2009 International Conference on Scientific Computing, {CSC} 2009, July 13-16, 2009, Las Vegas, Nevada, {USA}}, pages = {204--210}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Sun, 01 Nov 2009 16:29:21 +0100}, biburl = {https://dblp.org/rec/conf/csc/KwonCLPPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimMPH09, author = {Seok Kyoo Kim and Sung Hyun Moon and Jun Park and Sang Yong Han}, editor = {Gavriel Salvendy and Michael J. Smith}, title = {Efficient Annotation Visualization Using Distinctive Features}, booktitle = {Human Interface and the Management of Information. Information and Interaction, Symposium on Human Interface 2009, Held as part of {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5618}, pages = {295--303}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02559-4\_33}, doi = {10.1007/978-3-642-02559-4\_33}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimMPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/NamHPPK09, author = {Junghyun Nam and Sangchul Han and Minkyu Park and Juryon Paik and Ung{-}Mo Kim}, editor = {Osvaldo Gervasi and David Taniar and Beniamino Murgante and Antonio Lagan{\`{a}} and Youngsong Mun and Marina L. Gavrilova}, title = {Enhancing Security of a Group Key Exchange Protocol for Users with Individual Passwords}, booktitle = {Computational Science and Its Applications - {ICCSA} 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5593}, pages = {173--181}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02457-3\_15}, doi = {10.1007/978-3-642-02457-3\_15}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/NamHPPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/HanCRJE09, author = {Kang{-}hak Han and Junghwan Choi and Sanghyun Ryu and Hyun{-}Su Jang and Young Ik Eom}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede}, title = {Design of RBAC-based secure KAgent framework for home network applications}, booktitle = {MoMM'2009 - The 7th International Conference on Advances in Mobile Computing and Multimedia, 14-16 December 2009, Kuala Lumpur, Malaysia}, pages = {642--646}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1821748.1821873}, doi = {10.1145/1821748.1821873}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/HanCRJE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeLLJJLHYC09, author = {Ahra Lee and SangChul Lee and Sungsik Lee and Chang Han Je and Sunghae Jung and Myoung{-}Lae Lee and Gunn Hwang and Byoung{-}Gon Yu and Chang Auck Choi}, title = {Chip scale packaging with surface mountable solder ball terminals for microsensors}, booktitle = {4th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {IEEE-NEMS} 2009, Shenzhen, China, January 5-8, 2009}, pages = {612--615}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NEMS.2009.5068655}, doi = {10.1109/NEMS.2009.5068655}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nems/LeeLLJJLHYC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/RyuLJS09, author = {Han{-}Jong Ryu and Seung{-}Min Lee and Jun{-}Soo Jeon and Sang{-}Chul Shin}, editor = {David E. Culler and Jie Liu and Matt Welsh}, title = {Implementation of the low power performance analysis system for {WSN}}, booktitle = {Proceedings of the 7th International Conference on Embedded Networked Sensor Systems, SenSys 2009, Berkeley, California, USA, November 4-6, 2009}, pages = {417}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1644038.1644130}, doi = {10.1145/1644038.1644130}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/RyuLJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tase/KimJKNKCKCP09, author = {Dong{-}Wook Kim and Tae{-}Sung Jung and Dae{-}Won Kim and Seong{-}Hyeuk Nam and Hyuk{-}Ryul Kwon and Sang{-}Haeng Choi and Hyung{-}Dae Koh and Han{-}Suk Choi and Hong{-}Seog Park}, editor = {Wei{-}Ngan Chin and Shengchao Qin}, title = {{G-BLAST:} {BLAST} Manager in an Heterogeneous Distributed Environment}, booktitle = {{TASE} 2009, Third {IEEE} International Symposium on Theoretical Aspects of Software Engineering, 29-31 July 2009, Tianjin, China}, pages = {315--316}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TASE.2009.56}, doi = {10.1109/TASE.2009.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tase/KimJKNKCKCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KangKJYH09, author = {Jin Whan Kang and Sang{-}Hyo Kim and Young{-}Seok Jung and Seokho Yoon and Tae Hee Han}, title = {A New Demapper for {BICM} system with {HARQ}}, booktitle = {Proceedings of the 70th {IEEE} Vehicular Technology Conference, {VTC} Fall 2009, 20-23 September 2009, Anchorage, Alaska, {USA}}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECF.2009.5378692}, doi = {10.1109/VETECF.2009.5378692}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KangKJYH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/ChoKPHLCJNKKKS08, author = {Sangwoo Cho and Jeonghun Ku and Jinsick Park and Kiwan Han and Hyeongrae Lee and You Kyong Choi and Young{-}Chul Jung and Kee Namkoong and Jae{-}Jin Kim and In{-}Young Kim and Sun I. Kim and Dong Fan Shen}, title = {Development and Verification of an Alcohol Craving-Induction Tool Using Virtual Reality: Craving Characteristics in Social Pressure Situation}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {11}, number = {3}, pages = {302--309}, year = {2008}, url = {https://doi.org/10.1089/cpb.2007.0149}, doi = {10.1089/CPB.2007.0149}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbsn/ChoKPHLCJNKKKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LeeSKKCSKH08, author = {Jaejin Lee and Sangmin Seo and Chihun Kim and Junghyun Kim and Posung Chun and Zehra Sura and Jungwon Kim and Sangyong Han}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {{COMIC:} a coherent shared memory interface for cell be}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {303--314}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454157}, doi = {10.1145/1454115.1454157}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/LeeSKKCSKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/WooLJKKLKLMRKKK08, author = {Jung{-}Hun Woo and Sang Boem Lim and Karpjoo Jeong and HyungSeok Kim and Jae{-}Jin Kim and Jonghyun Lee and Junghee Kim and Taehoon Lee and Le Dinh Minh and Rina Ryoo and Suhyang Kim and Hansoo Kim and Jee{-}In Kim}, title = {AirScope: {A} Micro-Scale Urban Air Quality Management System}, booktitle = {Fourth International Conference on e-Science, e-Science 2008, 7-12 December 2008, Indianapolis, IN, {USA}}, pages = {378--379}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/eScience.2008.125}, doi = {10.1109/ESCIENCE.2008.125}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eScience/WooLJKKLKLMRKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/HanSH08, author = {Sangchun Han and Hwangjun Song and Jun Heo}, editor = {Jeng{-}Shyang Pan and Xiamu Niu and Hsiang{-}Cheh Huang and Lakhmi C. Jain}, title = {Path Virtualization Using Fountain Code for Video Streaming over Heterogeneous Networks}, booktitle = {4th International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2008), Harbin, China, 15-17 August 2008, Proceedings}, pages = {810--813}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IIH-MSP.2008.211}, doi = {10.1109/IIH-MSP.2008.211}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/HanSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/JungLP08, author = {Sang{-}Seok Jung and Joo{-}Han Lee and Sung{-}Kwon Park}, title = {Poly Harmonic Staggered Broadcasting Method for Efficient Video on Demand Service}, booktitle = {11th {IEEE} International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2008), 5-7 May 2008, Orlando, Florida, {USA}}, pages = {543--550}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISORC.2008.81}, doi = {10.1109/ISORC.2008.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/JungLP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/LeeKJKEKH08, author = {Jaejin Lee and Junghyun Kim and Choonki Jang and Seungkyun Kim and Bernhard Egger and Kwangsub Kim and Sangyong Han}, editor = {Kriszti{\'{a}}n Flautner and John Regehr}, title = {FaCSim: a fast and cycle-accurate architecture simulator for embedded systems}, booktitle = {Proceedings of the 2008 {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ, USA, June 12-13, 2008}, pages = {89--100}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375657.1375670}, doi = {10.1145/1375657.1375670}, timestamp = {Sun, 05 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/LeeKJKEKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ByunKCLHGJ08, author = {EunJoung Byun and HongSoo Kim and SungJin Choi and SangKeun Lee and Young S. Han and Joon{-}Min Gil and Soon Young Jung}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Self-Gridron: Reliable, Autonomous, and Fully Decentralized Desktop Grid Computing System based on Neural Overlay Network}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2008, Las Vegas, Nevada, USA, July 14-17, 2008, 2 Volumes}, pages = {569--575}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Sat, 08 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/ByunKCLHGJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/YooKWKYC08, author = {Hyun{-}Il Yoo and Yeong{-}Jun Kim and Kyung{-}Soo Woo and Jaekwon Kim and Sangboh Yun and Yong Soo Cho}, title = {A hierarchical preamble design technique for efficient handover in OFDM-based multi-hop relay systems}, booktitle = {Proceedings of the {IEEE} 19th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2008, 15-18 September 2008, Cannes, French Riviera, France}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/PIMRC.2008.4699483}, doi = {10.1109/PIMRC.2008.4699483}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/YooKWKYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/CheonYPKCK08, author = {Kyung{-}Yul Cheon and Mijeong Yang and Aesoon Park and Yeon{-}Jung Kim and Younghwan Choi and Sang{-}Ha Kim}, title = {Dual Tunnelling Mechanism for Mobile {IP} Based 3G {LTE-WLAN} Handover}, booktitle = {Proceedings of the 68th {IEEE} Vehicular Technology Conference, {VTC} Fall 2008, 21-24 September 2008, Calgary, Alberta, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECF.2008.315}, doi = {10.1109/VETECF.2008.315}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/CheonYPKCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YangJPK08, author = {Mijeong Yang and Kwang{-}Ryul Jung and Aesoon Park and Sang{-}Ha Kim}, title = {Definitive Link Layer Triggers for Predictive Handover Optimization}, booktitle = {Proceedings of the 67th {IEEE} Vehicular Technology Conference, {VTC} Spring 2008, 11-14 May 2008, Singapore}, pages = {2326--2330}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECS.2008.516}, doi = {10.1109/VETECS.2008.516}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/YangJPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangKKSKLKPKCYY07, author = {Jin{-}Seong Kang and Jin{-}Ho Kim and Seon{-}Yung Kim and Jun{-}Yong Song and Oh{-}Kyong Kwon and Yuen{-}Joong Lee and Byung{-}Hoon Kim and Chan{-}Woo Park and Kyoung{-}Soo Kwon and Won{-}Tae Choi and Sang{-}Kyeong Yun and Injae Yeo and Kyu{-}Bum Han and Taek{-}Soo Kim and Sang{-}il Park}, title = {10-bit Driver {IC} Using 3-bit {DAC} Embedded Operational Amplifier for Spatial Optical Modulators (SOMs)}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {12}, pages = {2913--2922}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.908690}, doi = {10.1109/JSSC.2007.908690}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangKKSKLKPKCYY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/MunCH07, author = {Jun{-}Hee Mun and Shung Han Cho and Sangjin Hong}, title = {Flexible Controller Design and Its Application for Concurrent Execution of Buffer Centric Dataflows}, journal = {J. {VLSI} Signal Process.}, volume = {47}, number = {3}, pages = {233--257}, year = {2007}, url = {https://doi.org/10.1007/s11265-006-0041-6}, doi = {10.1007/S11265-006-0041-6}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/MunCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/DinhYPLCC07, author = {Toan Nguyen Dinh and Jae{-}Myung Yoo and Sungchan Park and Gueesang Lee and June{-}Young Chang and Hanjin Cho}, title = {Reducing Spatial Resolution for {MPEG-4} / {H.264} Transcoding with Efficient Motion Reusing}, booktitle = {Seventh International Conference on Computer and Information Technology {(CIT} 2007), October 16-19, 2007, University of Aizu, Fukushima, Japan}, pages = {577--580}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CIT.2007.88}, doi = {10.1109/CIT.2007.88}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/DinhYPLCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/LeePKYKK07, author = {Junghoon Lee and Gyung{-}Leen Park and Hanil Kim and Young{-}Kyu Yang and Pankoo Kim and Sang{-}Wook Kim}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {A Telematics Service System Based on the Linux Cluster}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {660--667}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72590-9\_96}, doi = {10.1007/978-3-540-72590-9\_96}, timestamp = {Tue, 08 Nov 2022 08:34:37 +0100}, biburl = {https://dblp.org/rec/conf/iccS/LeePKYKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeKPSKK07, author = {Junghoon Lee and Mikyung Kang and Gyung{-}Leen Park and In{-}Hye Shin and Hanil Kim and Sang{-}Wook Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {A Robust Real-Time Message Scheduling Scheme Capable of Handling Channel Errors in Wireless Local Area Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings. Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4707}, pages = {169--178}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74484-9\_15}, doi = {10.1007/978-3-540-74484-9\_15}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeKPSKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HanJSLYYSK07, author = {Wook{-}Shin Han and Soon Ki Jung and Jeyong Shin and Jinsoo Lee and Mina Yoon and Chang Geol Yoon and Won Seok Seo and Sang Ok Koo}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {A Scalable Pipeline Data Processing Framework Using Database and Visualization Techniques}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4681}, pages = {334--344}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74171-8\_33}, doi = {10.1007/978-3-540-74171-8\_33}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/HanJSLYYSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeMC07, author = {Jun{-}Won Lee and Sang{-}Won Min and Byung K. Choi}, editor = {Teresa Maria Vaz{\~{a}}o and M{\'{a}}rio M. Freire and Ilyoung Chong}, title = {An Efficient Authentication Procedure for Fast Handoff in Mobile IPv6 Networks}, booktitle = {Information Networking. Towards Ubiquitous Networking and Services, International Conference, {ICOIN} 2007, Estoril, Portugal, January 23-25, 2007. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5200}, pages = {639--648}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-89524-4\_63}, doi = {10.1007/978-3-540-89524-4\_63}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/LeeMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/ChoKLKLHP07, author = {Sung Rae Cho and Sangduck Kim and Hoosung Lee and Byung Jo Kim and Jun Young Lee and Seok{-}Bong Hyun and Sung{-}Su Park}, title = {Power Breakdown Analysis of a {WCDMA} Handset with Multi-channel Power Monitoring System}, booktitle = {Proceedings of the 12th {IEEE} Symposium on Computers and Communications {(ISCC} 2007), July 1-4, Aveiro, Portugal}, pages = {667--672}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISCC.2007.4381520}, doi = {10.1109/ISCC.2007.4381520}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/ChoKLKLHP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/ParkKJH07, author = {Sang Kyoon Park and Rhee Man Kil and Young{-}Giu Jung and Mun{-}Sung Han}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {Zero-Crossing-Based Feature Extraction for Voice Command Systems Using Neck-Microphones}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4491}, pages = {1318--1326}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72383-7\_154}, doi = {10.1007/978-3-540-72383-7\_154}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/ParkKJH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKKSKLKPKCYYHKP07, author = {Jin{-}Seong Kang and Jin{-}Ho Kim and Seon{-}Yung Kim and Jun{-}Yong Song and Oh{-}Kyong Kwon and Yuen{-}Joong Lee and Byung{-}Hoon Kim and Chan{-}Woo Park and Kyoung{-}Soo Kwon and Won{-}Tae Choi and Sang{-}Kyeong Yun and Injae Yeo and Kyu{-}Bum Han and Taek{-}Soo Kim and Sang{-}il Park}, title = {A 10b Driver {IC} for a Spatial Optical Modulator for Full {HDTV} Applications}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {138--592}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373626}, doi = {10.1109/ISSCC.2007.373626}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKKSKLKPKCYYHKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvc/JangJH07, author = {Han{-}Young Jang and Taek Sang Jeong and JungHyun Han}, editor = {George Bebis and Richard D. Boyle and Bahram Parvin and Darko Koracin and Nikos Paragios and Tanveer Fathima Syeda{-}Mahmood and Tao Ju and Zicheng Liu and Sabine Coquillart and Carolina Cruz{-}Neira and Torsten M{\"{u}}ller and Thomas Malzbender}, title = {Image-Space Collision Detection Through Alternate Surface Peeling}, booktitle = {Advances in Visual Computing, Third International Symposium, {ISVC} 2007, Lake Tahoe, NV, USA, November 26-28, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4841}, pages = {66--75}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-76858-6\_7}, doi = {10.1007/978-3-540-76858-6\_7}, timestamp = {Mon, 04 Jan 2021 15:46:29 +0100}, biburl = {https://dblp.org/rec/conf/isvc/JangJH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimWKSLK07, author = {Sang{-}Wook Kim and Jung{-}Im Won and Jong{-}Dae Kim and Miyoung Shin and Junghoon Lee and Hanil Kim}, editor = {Bruno Apolloni and Robert J. Howlett and Lakhmi C. Jain}, title = {Path Prediction of Moving Objects on Road Networks Through Analyzing Past Trajectories}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, {KES} 2007, {XVII} Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4692}, pages = {379--389}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74819-9\_47}, doi = {10.1007/978-3-540-74819-9\_47}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimWKSLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/HanPC07, author = {Uk{-}Pyo Han and Sang{-}Eon Park and Young{-}Jun Chung}, editor = {Hamid R. Arabnia}, title = {An Energy Efficient Hybrid Cluster Routing Algorithm for Wireless Sensor Networks}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2007, Las Vegas, Nevada, USA, June 25-28, 2007, Volume 1}, pages = {307--312}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Wed, 12 Dec 2007 09:03:00 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/HanPC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jikm/HanC06, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, title = {Learning Trajectory Information with Neural Networks and the Markov Model to Develop Intelligent Location-Based Services}, journal = {J. Inf. Knowl. Manag.}, volume = {5}, number = {4}, pages = {291--301}, year = {2006}, url = {https://doi.org/10.1142/S0219649206001554}, doi = {10.1142/S0219649206001554}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jikm/HanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HanLMY06, author = {Sang{-}Kyoo Han and Jun{-}Young Lee and Gun{-}Woo Moon and Myung{-}Joong Youn}, title = {IGBT-Based Cost-Effective Energy-Recovery Circuit for Plasma Display Panel}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {53}, number = {5}, pages = {1546--1554}, year = {2006}, url = {https://doi.org/10.1109/TIE.2006.882018}, doi = {10.1109/TIE.2006.882018}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/HanLMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HanC06, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, title = {Evolutionary neural networks for anomaly detection based on the behavior of a program}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {36}, number = {3}, pages = {559--570}, year = {2006}, url = {https://doi.org/10.1109/TSMCB.2005.860136}, doi = {10.1109/TSMCB.2005.860136}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimCCSLPLK06, author = {Kee{-}Eung Kim and Wook Chang and Sung{-}Jung Cho and Junghyun Shim and Hyunjeong Lee and Joonah Park and Youngbeom Lee and Sangryoung Kim}, title = {Hand Grip Pattern Recognition for Mobile User Interfaces}, booktitle = {Proceedings, The Twenty-First National Conference on Artificial Intelligence and the Eighteenth Innovative Applications of Artificial Intelligence Conference, July 16-20, 2006, Boston, Massachusetts, {USA}}, pages = {1789--1794}, publisher = {{AAAI} Press}, year = {2006}, url = {http://www.aaai.org/Library/AAAI/2006/aaai06-296.php}, timestamp = {Tue, 05 Sep 2023 09:10:47 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimCCSLPLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/ParkLKP06, author = {Hanhoon Park and Moon{-}Hyun Lee and Sang{-}Jun Kim and Jong{-}Il Park}, editor = {P. J. Narayanan and Shree K. Nayar and Heung{-}Yeung Shum}, title = {Surface-Independent Direct-Projected Augmented Reality}, booktitle = {Computer Vision - {ACCV} 2006, 7th Asian Conference on Computer Vision, Hyderabad, India, January 13-16, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3852}, pages = {892--901}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11612704\_89}, doi = {10.1007/11612704\_89}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/accv/ParkLKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgvr/HanKYL06, author = {Sang Heon Han and Jung Hoon Kim and Tae Soo Yun and Dong Hoon Lee}, editor = {Hamid R. Arabnia}, title = {Extensible Interface Using Projector-Based Augmentation}, booktitle = {Proceedings of the 2006 International Conference on Computer Graphics {\&} Virtual Reality, {CGVR} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {132--137}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Fri, 01 Dec 2006 14:22:59 +0100}, biburl = {https://dblp.org/rec/conf/cgvr/HanKYL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/HanC06, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, editor = {Stefanos D. Kollias and Andreas Stafylopatis and Wlodzislaw Duch and Erkki Oja}, title = {Predicting User's Movement with a Combination of Self-Organizing Map and Markov Model}, booktitle = {Artificial Neural Networks - {ICANN} 2006, 16th International Conference, Athens, Greece, September 10-14, 2006. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4132}, pages = {884--893}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11840930\_92}, doi = {10.1007/11840930\_92}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/icann/HanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/JeongH06, author = {Taek Sang Jeong and JungHyun Han}, editor = {Vassil N. Alexandrov and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {Per-pixel Rendering of Terrain Data}, booktitle = {Computational Science - {ICCS} 2006, 6th International Conference, Reading, UK, May 28-31, 2006, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3993}, pages = {40--47}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11758532\_7}, doi = {10.1007/11758532\_7}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iccS/JeongH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkGHYHPMPCPCLL06, author = {Geunyoung Park and Boncheol Gu and Junyoung Heo and Sangho Yi and Jungkyu Han and Jaemin Park and Hong Min and Xuefeng Piao and Yookun Cho and Chang{-}Won Park and Ha Joong Chung and Bongkyu Lee and Sangjun Lee}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Adaptive Load Balancing Mechanism for Server Cluster}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3983}, pages = {549--557}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751632\_60}, doi = {10.1007/11751632\_60}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkGHYHPMPCPCLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ParkLKP06, author = {Hanhoon Park and Moon{-}Hyun Lee and Sang{-}Jun Kim and Jong{-}Il Park}, title = {Contrast Enhancement in Direct-Projected Augmented Reality}, booktitle = {Proceedings of the 2006 {IEEE} International Conference on Multimedia and Expo, {ICME} 2006, July 9-12 2006, Toronto, Ontario, Canada}, pages = {1313--1316}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICME.2006.262780}, doi = {10.1109/ICME.2006.262780}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ParkLKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwn/HanPC06, author = {Uk{-}Pyo Han and Sang{-}Eon Park and Young{-}Jun Chung}, editor = {Hamid R. Arabnia}, title = {An Efficient Energy Aware Routing Protocol for Wireless Sensor Networks}, booktitle = {Proceedings of the 2006 International Conference on Wireless Networks, {ICWN} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {122--127}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Tue, 02 Jan 2007 13:08:22 +0100}, biburl = {https://dblp.org/rec/conf/icwn/HanPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ZhongNYLWC06, author = {Zifei Zhong and Srihari Nelakuditi and Yinzhe Yu and Sanghwan Lee and Junling Wang and Chen{-}Nee Chuah}, title = {Failure Inferencing Based Fast Rerouting for Handling Transient Link and Node Failures}, booktitle = {{INFOCOM} 2006. 25th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 23-29 April 2006, Barcelona, Catalunya, Spain}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/INFOCOM.2006.353}, doi = {10.1109/INFOCOM.2006.353}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ZhongNYLWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangBMLYLJLHLK06, author = {Myung{-}woon Hwang and Sungho Beck and Sunki Min and Sanghoon Lee and Seungyup Yoo and Kyoohyun Lim and Hyosun Jung and Jeong{-}Cheol Lee and Seokyong Hong and ChangHee Lee and Kyunglok Kim and Hyunji Song and Gyu{-}Hyeong Cho and Sangwoo Han}, title = {A 1.8dB {NF} 112mW Single-Chip Diversity Tuner for 2.6GHz {S-DMB} Applications}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {2544--2551}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696319}, doi = {10.1109/ISSCC.2006.1696319}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HwangBMLYLJLHLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/HanHC06, author = {Dong{-}il Han and Sang{-}Bum Ha and Ho{-}Jun Choi}, title = {Fox Service: An Implementation Case of Ontology-based Search Agent in Mobile Environments}, booktitle = {7th International Conference on Mobile Data Management {(MDM} 2006), Nara, Japan, May 9-13, 2006}, pages = {85}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MDM.2006.92}, doi = {10.1109/MDM.2006.92}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/HanHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/HanPKC06, author = {Uk{-}Pyo Han and Sang{-}Eon Park and Seung{-}Nam Kim and Young{-}Jun Chung}, editor = {Hamid R. Arabnia}, title = {An Enhanced Cluster Based Routing Algorithm for Wireless Sensor}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications {\&} Conference on Real-Time Computing Systems and Applications, {PDPTA} 2006, Las Vegas, Nevada, USA, June 26-29, 2006, Volume 2}, pages = {758--763}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Tue, 28 Nov 2006 14:38:48 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/HanPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhis/HanC05, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, title = {A hybrid personal assistant based on Bayesian networks and a rule-based system inside a smartphone}, journal = {Int. J. Hybrid Intell. Syst.}, volume = {2}, number = {3}, pages = {221--234}, year = {2005}, url = {http://content.iospress.com/articles/international-journal-of-hybrid-intelligent-systems/his00013}, timestamp = {Mon, 18 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhis/HanC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcis/LeeL05, author = {Sang M. Lee and Sang Jun Lee}, title = {Consumers' Initial Trust toward Second-Hand Products in the Electronic Market}, journal = {J. Comput. Inf. Syst.}, volume = {46}, number = {2}, pages = {85--98}, year = {2005}, url = {https://www.tandfonline.com/doi/abs/10.1080/08874417.2006.11645887}, doi = {10.1080/08874417.2006.11645887}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcis/LeeL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/JungH05, author = {Woo Sik Jung and Sang{-}Hoon Han}, title = {Development of an analytical method to break logical loops at the system level}, journal = {Reliab. Eng. Syst. Saf.}, volume = {90}, number = {1}, pages = {37--44}, year = {2005}, url = {https://doi.org/10.1016/j.ress.2004.10.005}, doi = {10.1016/J.RESS.2004.10.005}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/JungH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/PackJKC05, author = {Sangheon Pack and Hakyung Jung and Taekyoung Kwon and Yanghee Choi}, title = {{SNC:} a selective neighbor caching scheme for fast handoff in {IEEE} 802.11 wireless networks}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {9}, number = {4}, pages = {39--49}, year = {2005}, url = {https://doi.org/10.1145/1096166.1096172}, doi = {10.1145/1096166.1096172}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/PackJKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/KimKSLH05, author = {Jung{-}Hyun Kim and Dong{-}Gyu Kim and Jeong{-}Hoon Shin and Sang{-}Won Lee and Kwang{-}Seok Hong}, editor = {Lipo Wang and Yaochu Jin}, title = {Hand Gesture Recognition System Using Fuzzy Algorithm and {RDBMS} for Post {PC}}, booktitle = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3614}, pages = {170--175}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11540007\_21}, doi = {10.1007/11540007\_21}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/KimKSLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/PackJKC05, author = {Sangheon Pack and Hakyung Jung and Taekyoung Kwon and Yanghee Choi}, title = {A selective neighbor caching scheme for fast handoff in {IEEE} 802.11 wireless networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2005, Seoul, Korea, 16-20 May 2005}, pages = {3599--3603}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICC.2005.1495088}, doi = {10.1109/ICC.2005.1495088}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/PackJKC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/KimCLNH05, author = {Jung Ae Kim and Sunyoung Cho and Sang{-}Kun Lee and Hyunwoo Nam and Seung Kee Han}, editor = {Vaidy S. Sunderam and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {Spatio-Temporal Patterns in the Depth {EEG} During the Epileptic Seizure}, booktitle = {Computational Science - {ICCS} 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3514}, pages = {941--947}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11428831\_117}, doi = {10.1007/11428831\_117}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iccS/KimCLNH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/LeeKCJCJ05, author = {Sang Hwa Lee and Hong Il Kim and Nam Ik Cho and Yu Han Jeong and Ki Suk Chung and Chung Sam Jun}, editor = {M. Arif Wani and Mariofanna G. Milanova and Lukasz A. Kurgan and Marek Z. Reformat and Khalid Hafeez}, title = {Automatic defect classification using boosting}, booktitle = {Fourth International Conference on Machine Learning and Applications, {ICMLA} 2005, Los Angeles, California, USA, 15-17 December 2005}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICMLA.2005.12}, doi = {10.1109/ICMLA.2005.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmla/LeeKCJCJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ZhongNYLWC05, author = {Zifei Zhong and Srihari Nelakuditi and Yinzhe Yu and Sanghwan Lee and Junling Wang and Chen{-}Nee Chuah}, title = {Failure inferencing based fast rerouting for handling transient link and node failures}, booktitle = {{INFOCOM} 2005. 24th Annual Joint Conference of the {IEEE} Computer and Communications Societies, 13-17 March 2005, Miami, FL, {USA}}, pages = {2859--2863}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/INFCOM.2005.1498576}, doi = {10.1109/INFCOM.2005.1498576}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ZhongNYLWC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/ParkLKP05, author = {Hanhoon Park and Moon{-}Hyun Lee and Sang{-}Jun Kim and Jong{-}Il Park}, title = {Specular Reflection Elimination for Projection-Based Augmented Reality}, booktitle = {Fourth {IEEE} / {ACM} International Symposium on Mixed and Augmented Reality {(ISMAR} 2005), 5-8 October 2005, Vienna, Austria}, pages = {194--195}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISMAR.2005.54}, doi = {10.1109/ISMAR.2005.54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/ParkLKP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/HanC05, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {Synthetic Character with Bayesian Network and Behavior Network for Intelligent Smartphone}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3681}, pages = {737--743}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11552413\_105}, doi = {10.1007/11552413\_105}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/HanC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/ParkLKP05, author = {Hanhoon Park and Moon{-}Hyun Lee and Sang{-}Jun Kim and Jong{-}Il Park}, editor = {Yo{-}Sung Ho and Hyoung Joong Kim}, title = {Specularity-Free Projection on Nonplanar Surface}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2005, 6th Pacific-Rim Conference on Multimedia, Jeju Island, Korea, November 13-16, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3767}, pages = {606--616}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11581772\_53}, doi = {10.1007/11581772\_53}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/pcm/ParkLKP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/JungLLH05, author = {Changhee Jung and Daeseob Lim and Jaejin Lee and Sangyong Han}, editor = {Keshav Pingali and Katherine A. Yelick and Andrew S. Grimshaw}, title = {Adaptive execution techniques for {SMT} multiprocessor architectures}, booktitle = {Proceedings of the {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2005, June 15-17, 2005, Chicago, IL, {USA}}, pages = {236--246}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065944.1065976}, doi = {10.1145/1065944.1065976}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/JungLLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sec/KimKLH05, author = {Kyung{-}jun Kim and HyunSook Kim and Sang{-}Don Lee and Ki Jun Han}, editor = {Ry{\^{o}}ichi Sasaki and Sihan Qing and Eiji Okamoto and Hiroshi Yoshiura}, title = {An Adaptive Multicast Polling Scheme for {IEEE} 802.11 Wireless {LAN}}, booktitle = {Security and Privacy in the Age of Ubiquitous Computing, {IFIP} {TC11} 20th International Conference on Information Security {(SEC} 2005), May 30 - June 1, 2005, Chiba, Japan}, series = {{IFIP}}, volume = {181}, pages = {239--250}, publisher = {Springer}, year = {2005}, timestamp = {Fri, 19 May 2017 01:25:10 +0200}, biburl = {https://dblp.org/rec/conf/sec/KimKLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/others/05/HanJL0K05, author = {Tae{-}Man Han and You{-}Hyeon Jeong and Jun{-}Hwa Lee and Sang{-}Ha Kim and Dong{-}Won Kim}, editor = {Tuna Tugcu and Erol Gelenbe and M. Ufuk {\c{C}}aglayan and Fatih Alag{\"{o}}z}, title = {A Path restoration Algorithm Sharing the Resource in {GMPLS} Network}, booktitle = {New Trends in Computer Networks}, series = {Advances in Computer Science and Engineering : Reports and Monographs}, volume = {1}, publisher = {Imperial College Press}, year = {2005}, url = {https://doi.org/10.1142/9781860947308\_0011}, doi = {10.1142/9781860947308\_0011}, timestamp = {Mon, 22 Jun 2020 14:58:58 +0200}, biburl = {https://dblp.org/rec/books/others/05/HanJL0K05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/JungLL04, author = {Han{-}Seung Jung and Young{-}Yoon Lee and Sang Uk Lee}, title = {RST-Resilient Video Watermarking Using Scene-Based Feature Extraction}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2004}, number = {14}, pages = {2113--2131}, year = {2004}, url = {https://doi.org/10.1155/S1110865704405046}, doi = {10.1155/S1110865704405046}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/JungLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/KimKL04, author = {Dong Han Kim and Jung Hoon Kim and Sang Hae Lee}, title = {Study on Design of Fingerprint Recognition Embedded System using Neural Network}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {4}, number = {3}, pages = {347--352}, year = {2004}, url = {https://doi.org/10.5391/IJFIS.2004.4.3.347}, doi = {10.5391/IJFIS.2004.4.3.347}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/KimKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/JungKKLKJKYK04, author = {Haijo Jung and Hee{-}Joung Kim and Won{-}Suk Kang and Sang Ho Lee and Sae{-}Rome Kim and Chang Lyong Ji and Jung{-}Han Kim and Sun Kook Yoo and Ki{-}Hwang Kim}, title = {Migration of Medical Image Data Archived Using Mini-PACS to Full-PACS}, journal = {J. Digit. Imaging}, volume = {17}, number = {2}, pages = {100--108}, year = {2004}, url = {https://doi.org/10.1007/s10278-004-1004-8}, doi = {10.1007/S10278-004-1004-8}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/JungKKLKJKYK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/JungHH04, author = {Woo Sik Jung and Sang{-}Hoon Han and Jaejoo Ha}, title = {A fast {BDD} algorithm for large coherent fault trees analysis}, journal = {Reliab. Eng. Syst. Saf.}, volume = {83}, number = {3}, pages = {369--374}, year = {2004}, url = {https://doi.org/10.1016/j.ress.2003.10.009}, doi = {10.1016/J.RESS.2003.10.009}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/JungHH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HanLLS04, author = {Ki{-}Young Han and Sang{-}Wook Lee and Jun{-}Seok Lim and Koeng{-}Mo Sung}, title = {Channel estimation for {OFDM} with fast fading channels by modified Kalman filter}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {50}, number = {2}, pages = {443--449}, year = {2004}, url = {https://doi.org/10.1109/TCE.2004.1309406}, doi = {10.1109/TCE.2004.1309406}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HanLLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/JangKJHW04, author = {Euee S. Jang and James D. K. Kim and Seok Yoon Jung and Mahnjin Han and Sang Oak Woo}, title = {Interpolator data compression for {MPEG-4} animation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {14}, number = {7}, pages = {989--1008}, year = {2004}, url = {https://doi.org/10.1109/TCSVT.2004.830670}, doi = {10.1109/TCSVT.2004.830670}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/JangKJHW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiasim/HanKPK04, author = {Jung{-}Ahn Han and Yun Hyung Kim and Sang{-}Jun Park and Byunggi Kim}, editor = {Doo{-}Kwon Baik}, title = {Energy Efficient {MAC} Protocol with Reducing Redundant Packet Mechanism in Wireless Sensor Networks}, booktitle = {Systems Modeling and Simulation: Theory and Applications, Third Asian Simulation Conference, AsiaSim 2004, Jeju Island, Korea, October 4-6, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3398}, pages = {260--269}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30585-9\_29}, doi = {10.1007/978-3-540-30585-9\_29}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/asiasim/HanKPK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cic/ChungKCL04, author = {Wonchul Chung and Jung{-}Ho Kim and Han{-}Ho Cheong and Sanghyuk Lee}, editor = {Brian J. d'Auriol}, title = {QoS Guaranteed {SIP} Communication Service by Bandwidth Reservation At Edge}, booktitle = {Proceedings of the International Conference on Communications in Computing, {CIC} '04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {398--402}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Wed, 17 Nov 2004 09:02:02 +0100}, biburl = {https://dblp.org/rec/conf/cic/ChungKCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/HanKC04, author = {Sang{-}Jun Han and Kyung{-}Joong Kim and Sung{-}Bae Cho}, editor = {Nikhil R. Pal and Nikola K. Kasabov and Rajani K. Mudi and Srimanta Pal and Swapan K. Parui}, title = {Evolutionary Learning Program's Behavior in Neural Networks for Anomaly Detection}, booktitle = {Neural Information Processing, 11th International Conference, {ICONIP} 2004, Calcutta, India, November 22-25, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3316}, pages = {236}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30499-9\_35}, doi = {10.1007/978-3-540-30499-9\_35}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/HanKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/LeeLSL04, author = {Jung{-}Ho Lee and Sang{-}Hee Lee and Ki{-}Nam Seo and Jae{-}Sung Lim}, editor = {Nikolas Mitrou and Kimon P. Kontovasilis and George N. Rouskas and Ilias Iliadis and Lazaros F. Merakos}, title = {Fast End-to-End Mobility Support Using {SIP} for Vertical Handoffs in 4G Wireless Communication Networks}, booktitle = {{NETWORKING} 2004, Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communication, Third International {IFIP-TC6} Networking Conference, Athens, Greece, May 9-14, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3042}, pages = {1390--1394}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24693-0\_124}, doi = {10.1007/978-3-540-24693-0\_124}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/networking/LeeLSL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/HanCKSLR04, author = {Kyoung{-}Soo Han and Hoo{-}Jung Chung and Sang{-}Bum Kim and Young{-}In Song and Joo{-}Young Lee and Hae{-}Chang Rim}, editor = {Ellen M. Voorhees and Lori P. Buckland}, title = {Korea University Question Answering System at {TREC} 2004}, booktitle = {Proceedings of the Thirteenth Text REtrieval Conference, {TREC} 2004, Gaithersburg, Maryland, USA, November 16-19, 2004}, series = {{NIST} Special Publication}, volume = {500-261}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2004}, url = {http://trec.nist.gov/pubs/trec13/papers/korea.u.qa.pdf}, timestamp = {Wed, 07 Jul 2021 16:44:22 +0200}, biburl = {https://dblp.org/rec/conf/trec/HanCKSLR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wstfeus/HanCAKYLSLYJCLSBLSKK04, author = {Tack{-}Don Han and Cheolho Cheong and Jae{-}Won Ahn and Jong{-}Young Kim and Hyung{-}Min Yoon and Chang{-}Su Lee and Hyon{-}Gu Shin and Young{-}Jin Lee and Hyoung{-}Min Yook and Myoung{-}Hoon Jeon and Jung Soo Choi and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and Eun{-}Dong Shin and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Campus Life}, booktitle = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, pages = {8--13}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WSTFES.2004.1300406}, doi = {10.1109/WSTFES.2004.1300406}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wstfeus/HanCAKYLSLYJCLSBLSKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/wi/04/ChoH04, author = {Sung{-}Bae Cho and Sang{-}Jun Han}, editor = {Seppo J. Ovaska}, title = {Intrusion Detection for Computer Security}, booktitle = {Computationally Intelligent Hybrid Systems}, pages = {245--272}, publisher = {Wiley}, year = {2004}, url = {https://doi.org/10.1002/9780471683407.ch8}, doi = {10.1002/9780471683407.CH8}, timestamp = {Wed, 07 Dec 2022 23:14:29 +0100}, biburl = {https://dblp.org/rec/books/wi/04/ChoH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/HanC03, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, title = {Detecting intrusion with rule-based integration of multiple models}, journal = {Comput. Secur.}, volume = {22}, number = {7}, pages = {613--623}, year = {2003}, url = {https://doi.org/10.1016/S0167-4048(03)00711-9}, doi = {10.1016/S0167-4048(03)00711-9}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/HanC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/HanC03, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, editor = {Tam{\'{a}}s D. Gedeon and Lance Chun Che Fung}, title = {Combining Multiple Host-Based Detectors Using Decision Tree}, booktitle = {{AI} 2003: Advances in Artificial Intelligence, 16th Australian Conference on Artificial Intelligence, Perth, Australia, December 3-5, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2903}, pages = {208--220}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24581-0\_18}, doi = {10.1007/978-3-540-24581-0\_18}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ausai/HanC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/KwonKPSSSYYLLCHH03, author = {Daesung Kwon and Jaesung Kim and Sangwoo Park and Soo Hak Sung and Yaekwon Sohn and Jung Hwan Song and Yongjin Yeom and E{-}Joong Yoon and Sangjin Lee and Jaewon Lee and Seongtaek Chee and Daewan Han and Jin Hong}, editor = {Jong In Lim and Dong Hoon Lee}, title = {New Block Cipher: {ARIA}}, booktitle = {Information Security and Cryptology - {ICISC} 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2971}, pages = {432--445}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24691-6\_32}, doi = {10.1007/978-3-540-24691-6\_32}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/KwonKPSSSYYLLCHH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/LeeJL03, author = {Young{-}Yoon Lee and Han{-}Seung Jung and Sang Uk Lee}, editor = {Ton Kalker and Ingemar J. Cox and Yong Man Ro}, title = {Multi-bit Video Watermarking Based on 3D {DFT} Using Perceptual Models}, booktitle = {Digital Watermarking, Second International Workshop, {IWDW} 2003, Seoul, Korea, October 20-22, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2939}, pages = {301--315}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24624-4\_23}, doi = {10.1007/978-3-540-24624-4\_23}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/LeeJL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pvm/AhnKH03, author = {Sunil Ahn and Junghwan Kim and Sangyong Han}, editor = {Jack J. Dongarra and Domenico Laforenza and Salvatore Orlando}, title = {{PC/MPI:} Desing and Implementation of a Portable {MPI} Checkpointer}, booktitle = {Recent Advances in Parallel Virtual Machine and Message Passing Interface,10th European {PVM/MPI} Users' Group Meeting, Venice, Italy, September 29 - October 2, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2840}, pages = {302--308}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39924-7\_43}, doi = {10.1007/978-3-540-39924-7\_43}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/pvm/AhnKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/raid/ChoH03, author = {Sung{-}Bae Cho and Sang{-}Jun Han}, editor = {Giovanni Vigna and Erland Jonsson and Christopher Kr{\"{u}}gel}, title = {Two Sophisticated Techniques to Improve HMM-Based Intrusion Detection Systems}, booktitle = {Recent Advances in Intrusion Detection, 6th International Symposium, {RAID} 2003, Pittsburgh, PA, USA, September 8-10, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2820}, pages = {207--219}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45248-5\_12}, doi = {10.1007/978-3-540-45248-5\_12}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/raid/ChoH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanC03, author = {Sang{-}Jun Han and Sung{-}Bae Cho}, title = {Rule-based integration of multiple measure-models for effective intrusion detection}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: Washington, D.C., USA, 5-8 October 2003}, pages = {120--125}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICSMC.2003.1243802}, doi = {10.1109/ICSMC.2003.1243802}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HanC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeIBLCLHLLLCSL02, author = {June Lee and Heung{-}Soo Im and Dae{-}Seok Byeon and Kyeong{-}Han Lee and Dong{-}Hyuk Chae and Kyong{-}Hwa Lee and Sang Won Hwang and Sung{-}Soo Lee and Young{-}Ho Lim and Jae{-}Duk Lee and Jung{-}Dal Choi and Young{-}Il Seo and Jong{-}Sik Lee and Kang{-}Deog Suh}, title = {High-performance 1-Gb-NAND flash memory with 0.12-{\(\mu\)}m technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {11}, pages = {1502--1509}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.802352}, doi = {10.1109/JSSC.2002.802352}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeIBLCLHLLLCSL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/JungKL02, author = {Han{-}Seung Jung and Rin{-}Chul Kim and Sang{-}Uk Lee}, title = {Error-resilient video coding using long-term memory prediction and feedback channel}, journal = {Signal Process. Image Commun.}, volume = {17}, number = {8}, pages = {597--609}, year = {2002}, url = {https://doi.org/10.1016/S0923-5965(02)00047-4}, doi = {10.1016/S0923-5965(02)00047-4}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/JungKL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aisa/KangLL02, author = {Moonsik Kang and Junho Lee and Sangmin Lee}, editor = {Whie Chang}, title = {An Adapting Weight Rerouting Algorithm for Handoff Control in Wireless {ATM} Networks}, booktitle = {Advanced Internet Services and Applications, First International Workshop, {AISA} 2002, Seoul, Korea, August 1-2, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2402}, pages = {103--114}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45639-2\_11}, doi = {10.1007/3-540-45639-2\_11}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/aisa/KangLL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aisa/ParkHKHKAC02, author = {Jongkyu Park and Ilseok Han and Jinhyuck Kwon and June Hwang and Hagbae Kim and Sangtae Ahn and Whie Chang}, editor = {Whie Chang}, title = {Development of a Residential Gateway and a Service Server for Home Automation}, booktitle = {Advanced Internet Services and Applications, First International Workshop, {AISA} 2002, Seoul, Korea, August 1-2, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2402}, pages = {137--150}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45639-2\_14}, doi = {10.1007/3-540-45639-2\_14}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aisa/ParkHKHKAC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdma/HanCPC02, author = {Sang Gil Han and Seong Gon Choi and Jung Hee Park and Jun Kyun Choi}, editor = {Jai{-}Yong Lee and Chul{-}Hee Kang}, title = {A Mechanism Supporting Mobility and Bandwidth Reservation with the {HLR} on the {GPRS} Network}, booktitle = {Mobile Communications, 7th {CDMA} International Conference, {CIC} 2002, Seoul, Korea, October 29 - November 1, 2002, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2524}, pages = {394--402}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36555-9\_41}, doi = {10.1007/3-540-36555-9\_41}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/cdma/HanCPC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimJHJWLJ02, author = {James D. K. Kim and Seok Yoon Jung and Mahnjin Han and Euee S. Jang and Sang Oak Woo and Shin Jun Lee and Gyeong Ja Jang}, title = {Animation data compression in {MPEG-4:} interpolators}, booktitle = {Proceedings of the 2002 International Conference on Image Processing, {ICIP} 2002, Rochester, New York, USA, September 22-25, 2002}, pages = {33--36}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICIP.2002.1038896}, doi = {10.1109/ICIP.2002.1038896}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/KimJHJWLJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/ChungYC02, author = {Sang{-}Hun Chung and Hyunsoo Yoon and Jung Wan Cho}, title = {A Fast Handoff Scheme For {IP} over Bluetooth}, booktitle = {31st International Conference on Parallel Processing Workshops {(ICPP} 2002 Workshops), 20-23 August 2002, Vancouver, BC, Canada}, pages = {51--55}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPPW.2002.1039711}, doi = {10.1109/ICPPW.2002.1039711}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/ChungYC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungCL02, author = {Han{-}Seung Jung and Nam Ik Cho and Sang Uk Lee}, title = {Image-adaptive watermarking based on warped discrete cosine transform}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {209--212}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010197}, doi = {10.1109/ISCAS.2002.1010197}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ParkCRKPJPKYK02, author = {Chul{-}Hong Park and Soo{-}Han Choi and Sang{-}Uhk Rhie and Dong{-}Hyun Kim and Jun{-}Seong Park and Tae{-}Hwang Jang and Ji{-}Soong Park and Yoo{-}Hyon Kim and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {A Hybrid {PPC} Method Based on the Empirical Etch Model for the 0.14{\(\mathrm{\mu}\)}m {DRAM} Generation and Beyond}, booktitle = {3rd International Symposium on Quality of Electronic Design, {ISQED} 2002, San Jose, CA, USA, March 18-21, 2002}, pages = {143--147}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISQED.2002.996717}, doi = {10.1109/ISQED.2002.996717}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ParkCRKPJPKYK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/JungHL01, author = {Bumsuk Jung and Ingoo Han and Sangjae Lee}, title = {Security threats to Internet: a Korean multi-industry investigation}, journal = {Inf. Manag.}, volume = {38}, number = {8}, pages = {487--498}, year = {2001}, url = {https://doi.org/10.1016/S0378-7206(01)00071-4}, doi = {10.1016/S0378-7206(01)00071-4}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iam/JungHL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiacrypt/ChaKLHC01, author = {Jae Choon Cha and Ki Hyoung Ko and Sangjin Lee and Jae Woo Han and Jung Hee Cheon}, editor = {Colin Boyd}, title = {An Efficient Implementation of Braid Groups}, booktitle = {Advances in Cryptology - {ASIACRYPT} 2001, 7th International Conference on the Theory and Application of Cryptology and Information Security, Gold Coast, Australia, December 9-13, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2248}, pages = {144--156}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45682-1\_9}, doi = {10.1007/3-540-45682-1\_9}, timestamp = {Tue, 01 Jun 2021 15:22:33 +0200}, biburl = {https://dblp.org/rec/conf/asiacrypt/ChaKLHC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/JungKL00, author = {Han{-}Seung Jung and Rin{-}Chul Kim and Sang Uk Lee}, title = {A hierarchical synchronization technique based on the {EREC} for robust transmission of {H.263} bit stream}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {10}, number = {3}, pages = {433--438}, year = {2000}, url = {https://doi.org/10.1109/76.836289}, doi = {10.1109/76.836289}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/JungKL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/LeeHP00, author = {Sangjae Lee and Ingoo Han and June S. Park}, title = {Effects of organizational characteristics on {EDI} implementation in Korea}, journal = {Telecommun. Syst.}, volume = {14}, number = {1-4}, pages = {331--337}, year = {2000}, url = {https://doi.org/10.1023/A:1019110021298}, doi = {10.1023/A:1019110021298}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/LeeHP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/ChungOPJH00, author = {Sang{-}Hwa Chung and Soo{-}Cheol Oh and Sejin Park and Hankook Jang and Chi{-}Jung Ha}, title = {A {CC-NUMA} Prototype Card for SCI-Based {PC} Clustering}, booktitle = {2000 {IEEE} International Conference on Cluster Computing {(CLUSTER} 2000), November 28th - December 1st, 2000, Technische Universit{\"{a}}t Chemnitz, Saxony, Germany}, pages = {375--378}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.ieeecomputersociety.org/10.1109/CLUSTER.2000.10018}, doi = {10.1109/CLUSTER.2000.10018}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/ChungOPJH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crypto/KoLCHKP00, author = {Ki Hyoung Ko and Sangjin Lee and Jung Hee Cheon and Jae Woo Han and Ju{-}Sung Kang and Choonsik Park}, editor = {Mihir Bellare}, title = {New Public-Key Cryptosystem Using Braid Groups}, booktitle = {Advances in Cryptology - {CRYPTO} 2000, 20th Annual International Cryptology Conference, Santa Barbara, California, USA, August 20-24, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1880}, pages = {166--183}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44598-6\_10}, doi = {10.1007/3-540-44598-6\_10}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/crypto/KoLCHKP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/YangKHJH00, author = {Hayoung Yang and Changeon Kang and Daesik Hong and Sungsoon Jung and Sangchul Han}, title = {Multiple Antennas with a Reduced Iterative Turbo Decoder for {A} {DS-CDMA} System}, booktitle = {2000 {IEEE} International Conference on Communications: Global Convergence Through Communications, {ICC} 2000, New Orleans, LA, USA, June 18-22, 2000}, pages = {1233--1237}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICC.2000.853696}, doi = {10.1109/ICC.2000.853696}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/YangKHJH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimYPPK00, author = {Jungyun Kim and Hanjun Yeom and Frank C. Park and Yeongil I. Park and Munsang Kim}, title = {On the Energy Efficiency of CVT-Based Mobile Robots}, booktitle = {Proceedings of the 2000 {IEEE} International Conference on Robotics and Automation, {ICRA} 2000, April 24-28, 2000, San Francisco, CA, {USA}}, pages = {1539--1544}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ROBOT.2000.844815}, doi = {10.1109/ROBOT.2000.844815}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimYPPK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ChoiJLM00, author = {Jumgim Choi and Haengeun Jung and Sang{-}Kyu Lee and Bong{-}Hee Moon}, editor = {Hamid R. Arabnia}, title = {Optimal Number and Placement of Web Proxies in Bidirectional Data Flow Model: Linear and Ring Topologies}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2000, June 24-29, 2000, Las Vegas, Nevada, {USA}}, publisher = {{CSREA} Press}, year = {2000}, timestamp = {Mon, 08 Dec 2003 16:35:08 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/ChoiJLM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/JungKL00, author = {Han{-}Seung Jung and Rin{-}Chul Kim and Sang Uk Lee}, editor = {King N. Ngan and Thomas Sikora and Ming{-}Ting Sun}, title = {Error-resilient video coding using long-term memory motion-compensated prediction over feedback channel}, booktitle = {Visual Communications and Image Processing 2000, Perth, Australia, June 20, 2000}, series = {Proceedings of {SPIE}}, volume = {4067}, pages = {636--643}, publisher = {{SPIE}}, year = {2000}, timestamp = {Tue, 28 Jun 2016 13:29:23 +0200}, biburl = {https://dblp.org/rec/conf/vcip/JungKL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/JungYKP99, author = {Hanmin Jung and Sanghwa Yuh and Taewan Kim and Sangkyu Park}, title = {A Pattern-Based Approach Uding Compound Unit Recognition and Its Hybridization with Rule-Based Translation}, journal = {Comput. Intell.}, volume = {15}, pages = {114--127}, year = {1999}, url = {https://doi.org/10.1111/0824-7935.00087}, doi = {10.1111/0824-7935.00087}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ci/JungYKP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/KimSYJKCPC99, author = {Taewan Kim and Chul{-}Min Sim and Sanghwa Yuh and Hanmin Jung and Young Kil Kim and Sung{-}Kwon Choi and Dong{-}In Park and Key{-}Sun Choi}, title = {FromTo-CLIRTM: web-based natural language interface for cross-language information retrieval}, journal = {Inf. Process. Manag.}, volume = {35}, number = {4}, pages = {559--586}, year = {1999}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/KimSYJKCPC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtsummit/ChoiKYJSP99, author = {Sung{-}Kwon Choi and Taewan Kim and Sanghwa Yuh and Han{-}Min Jung and Chul{-}Min Sim and Sang{-}Kyu Park}, title = {English-to-Korean Web translator : "FromTo/Web-EK"}, booktitle = {Proceedings of Machine Translation Summit VII, MTSummit 1999, Singapore, September 13-17, 1999}, pages = {432--437}, year = {1999}, url = {https://aclanthology.org/1999.mtsummit-1.64}, timestamp = {Mon, 20 Sep 2021 17:44:14 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/ChoiKYJSP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/99/GuPFW99, author = {Jun Gu and Paul W. Purdom and John V. Franco and Benjamin W. Wah}, editor = {Ding{-}Zhu Du and Panos M. Pardalos}, title = {Algorithms for the Satisfiability {(SAT)} Problem}, booktitle = {Handbook of Combinatorial Optimization}, pages = {379--572}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-1-4757-3023-4\_7}, doi = {10.1007/978-1-4757-3023-4\_7}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/99/GuPFW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/JungKL98, author = {Han{-}Seung Jung and Rin{-}Chul Kim and Sang Uk Lee}, title = {On the Robust Transmission Technique for Video Data Stream over Wireless Networks}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Image Processing, ICIP-98, Chicago, Illinois, USA, October 4-7, 1998}, pages = {463--466}, publisher = {{IEEE} Computer Society}, year = {1998}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/JungKL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/JungYSKP98, author = {Hanmin Jung and Sanghwa Yuh and Chul{-}Min Sim and Taewan Kim and Dong{-}In Park}, title = {A domain identifier using domain keywords ftom balanced web documents}, booktitle = {Proceedings of the First International Conference on Language Resources and Evaluation, {LREC} 1998, May 28-30, 1998, Granada, Spain}, pages = {1215--1218}, publisher = {European Language Resources Association}, year = {1998}, timestamp = {Fri, 25 Jun 2021 14:29:28 +0200}, biburl = {https://dblp.org/rec/conf/lrec/JungYSKP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/KimYJKP98, author = {Young Kil Kim and Sanghwa Yuh and Hanmin Jung and Taewan Kim and Dong{-}In Park}, title = {Automatic extraction of illocutionary force types in a dialogue, based on context and modal}, booktitle = {Proceedings of the First International Conference on Language Resources and Evaluation, {LREC} 1998, May 28-30, 1998, Granada, Spain}, pages = {1337--1340}, publisher = {European Language Resources Association}, year = {1998}, timestamp = {Fri, 25 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/KimYJKP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/ChoiKLLWSYC98, author = {Jinwook Choi and Younghan Kim and Sang{-}goo Lee and Jaeok Lee and Jonginn Woo and Jungdon Seo and Taewoo Yoo and Hanik Cho}, editor = {Branko Cesnik and Alexa Thorlichen McCray and Jean{-}Raoul Scherrer}, title = {Features for a {B-ISDN} Telemedicine System and its Application}, booktitle = {{MEDINFO} '98 - 9th World Congress on Medical Informatics, Seoul, South Korea, August 14-21, 1998}, series = {Studies in Health Technology and Informatics}, volume = {52}, pages = {307--310}, publisher = {{IOS} Press}, year = {1998}, url = {https://doi.org/10.3233/978-1-60750-896-0-307}, doi = {10.3233/978-1-60750-896-0-307}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/ChoiKLLWSYC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/JungYKP98, author = {Hanmin Jung and Sanghwa Yuh and Taewan Kim and Dong{-}In Park}, editor = {Jin Guo and Kim{-}Teng Lua and Jie Xu}, title = {Syntactic Verifier as a Filter to Compound Unit Recognizer}, booktitle = {Proceedings of the 12th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 1998, Singapore, February 18-20, 1998}, pages = {303--309}, publisher = {Chinese and Oriental Languages Information Processing Society}, year = {1998}, url = {https://hdl.handle.net/2065/12091}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/JungYKP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KangJL98, author = {Moonsik Kang and Myungsun Jung and Sangmin Lee}, title = {A stochastic rerouting mechanism for handoff in mobile {ATM} networks}, booktitle = {The 9th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1998, Boston, MA, USA, September 8-11, 1998}, pages = {345--349}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/PIMRC.1998.733572}, doi = {10.1109/PIMRC.1998.733572}, timestamp = {Tue, 19 May 2020 15:57:12 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/KangJL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/LimHKM98, author = {Sung{-}Soo Lim and Jung Hee Han and Jihong Kim and Sang Lyul Min}, title = {A Worst Case Timing Analysis Technique for Multiple-Issue Machines}, booktitle = {Proceedings of the 19th {IEEE} Real-Time Systems Symposium, Madrid, Spain, December 2-4, 1998}, pages = {334--345}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/REAL.1998.739765}, doi = {10.1109/REAL.1998.739765}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/LimHKM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChangSKHK97, author = {Juno Chang and Jonghoon Song and Junghwan Kim and Sangyong Han and Heunghwan Kim}, title = {Implementation of an Object-Oriented Functional Language on the Multithreaded Architecture}, booktitle = {1997 International Conference on Parallel and Distributed Systems {(ICPADS} '97), 11-13 December 1997, Seoul, Korea, Proceedings}, pages = {294--299}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPADS.1997.652564}, doi = {10.1109/ICPADS.1997.652564}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChangSKHK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooKLKYLSHKHLSK96, author = {Jei{-}Hwan Yoo and Chang{-}Hyun Kim and Kyu{-}Chan Lee and Kye{-}Hyun Kyung and Seung{-}Moon Yoo and Jung{-}Hwa Lee and Moon{-}Hae Son and Jin{-}Man Han and Bok{-}Moon Kang and Ejaz Haq and Sang{-}Bo Lee and Jai{-}Hoon Sim and Joung{-}Ho Kim and Byung{-}Sik Moon and Keum{-}Yong Kim and Jae{-}Gwan Park and Kyu{-}Phil Lee and Kang{-}Yoon Lee and Ki{-}Nam Kim and Soo{-}In Cho and Jong{-}Woo Park and Hyung{-}Kyu Lim}, title = {A 32-bank 1 Gb self-strobing synchronous {DRAM} with 1 GByte/s bandwidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1635--1644}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542308}, doi = {10.1109/JSSC.1996.542308}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooKLKYLSHKHLSK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimJK96, author = {Hang Joon Kim and Jong Wha Jung and Sang{-}Kyoon Kim}, title = {On-line Chinese character recognition using ART-based stroke classification}, journal = {Pattern Recognit. Lett.}, volume = {17}, number = {12}, pages = {1311--1322}, year = {1996}, url = {https://doi.org/10.1016/0167-8655(96)00078-5}, doi = {10.1016/0167-8655(96)00078-5}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KimJK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/KimKRKCH96, author = {Yoon Ho Kim and Soo Hong Kim and Dae Woong Rhee and Heunghwan Kim and Juno Chang and Sang{-}Yong Han}, title = {Exploiting the locality of data structures in multithreaded architecture}, booktitle = {1996 International Conference on Parallel and Distributed Systems {(ICPADS} '96), June 3-6, 1996, Tokyo, Japan, Proceedings}, pages = {352--358}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICPADS.1996.517582}, doi = {10.1109/ICPADS.1996.517582}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/KimKRKCH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftdcs/ParkAHHN95, author = {Soomyung Park and Sangjoon Ahn and Sunyoung Han and Wooyong Han and Jung{-}Chan Na}, title = {The reliable distributed network management platform}, booktitle = {5th {IEEE} Workshop on Future Trends of Distributed Computing Systems {(FTDCS} 1995), August 28-30, 1995, Chenju, Korea, Proceedings}, pages = {439--445}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/FTDCS.1995.525015}, doi = {10.1109/FTDCS.1995.525015}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ftdcs/ParkAHHN95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnn/JungKK95, author = {Keechul Jung and Sang Kyoon Kim and Hang Joon Kim}, title = {Recognition-based segmentation of on-line cursive Korean characters}, booktitle = {Proceedings of International Conference on Neural Networks (ICNN'95), Perth, WA, Australia, November 27 - December 1, 1995}, pages = {3101--3106}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ICNN.1995.487279}, doi = {10.1109/ICNN.1995.487279}, timestamp = {Thu, 29 Aug 2019 08:54:05 +0200}, biburl = {https://dblp.org/rec/conf/icnn/JungKK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HaKRNHHKC94, author = {Sangho Ha and Junghwan Kim and Eunha Rho and Yoonhee Nah and Sangyong Han and Daejoon Hwang and Heunghwan Kim and Seung Ho Cho}, title = {A Massively Parallel Multithreaded Architecture: {DAVRID}}, booktitle = {Proceedings 1994 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '94, Cambridge, MA, USA, October 10-12, 1994}, pages = {70--74}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICCD.1994.331857}, doi = {10.1109/ICCD.1994.331857}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HaKRNHHKC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/KimHPKPB93, author = {Daehwan Kim and Young{-}Sup Hwang and Sang{-}Tae Park and Eun{-}Jung Kim and Sang{-}Hoon Paek and Sung Yang Bang}, title = {Handwritten Korean character image database {PE92}}, booktitle = {2nd International Conference Document Analysis and Recognition, {ICDAR} '93, October 20-22, 1993, Tsukuba City, Japan}, pages = {470--473}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/ICDAR.1993.395693}, doi = {10.1109/ICDAR.1993.395693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/KimHPKPB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aai/HanSKJMC88, author = {Sangki Han and D. W. Shin and Y. Kim and Y. P. Jun and Seung Ryoul Maeng and Jung Wan Cho}, title = {A logic programming approach to hybrid knowledge representation}, journal = {Appl. Artif. Intell.}, volume = {2}, number = {2}, pages = {93--127}, year = {1988}, url = {https://doi.org/10.1080/08839518808949905}, doi = {10.1080/08839518808949905}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aai/HanSKJMC88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcs/HanC88, author = {Sangki Han and Jung Wan Cho}, title = {{SPHINX} - {A} Hybrid Knowledge Representation System}, booktitle = {Proceedings of the International Conference on Fifth Generation Computer Systems, {FGCS} 1988, Tokyo, Japan, November 28-December 2, 1988}, pages = {1211--1220}, publisher = {{OHMSHA} Ltd. Tokyo and Springer-Verlag}, year = {1988}, timestamp = {Mon, 05 Aug 2019 17:00:40 +0200}, biburl = {https://dblp.org/rec/conf/fgcs/HanC88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/er/HanC85, author = {Sangki Han and Jung Wan Cho}, editor = {Peter P. Chen}, title = {{KPSP:} {A} Knowledge Programming System based on Prolog}, booktitle = {Entity-Relationship Approach: The Use of {ER} Concept in Knowledge Representation, Proceedings of the Fourth International Conference on Entity-Relationship Approach, Chicago, Illinois, USA, 29-30 October 1985}, pages = {2--9}, publisher = {{IEEE} Computer Society and North-Holland}, year = {1985}, timestamp = {Wed, 29 Mar 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/er/HanC85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.org/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.