Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Sanjay J. Patel"
@article{DBLP:journals/soco/GoelKPV24, author = {Lavika Goel and Jyotishree Kanhar and Vij Sanjay Patel and Aishwary Vardhan}, title = {Hybrid Elephant Herding Optimization-Big Bang Big Crunch for pattern recognition from natural images}, journal = {Soft Comput.}, volume = {28}, number = {4}, pages = {3431--3447}, year = {2024}, url = {https://doi.org/10.1007/s00500-023-08667-y}, doi = {10.1007/S00500-023-08667-Y}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/GoelKPV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/MankadGPP23, author = {Sapan H. Mankad and Sanjay Garg and Vansh Patel and Nishi Patwa}, title = {A novel multiclass classification based approach for playback attack detection in speaker verification systems}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {14}, number = {12}, pages = {16737--16748}, year = {2023}, url = {https://doi.org/10.1007/s12652-023-04684-9}, doi = {10.1007/S12652-023-04684-9}, timestamp = {Sat, 06 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/MankadGPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/SinclairAPELDOTBASRPFPMM23, author = {Meagan Sinclair and Hamed Hanafi Alamdari and Julia Paffile and Kamal El{-}Sankary and Scott Lowe and Stephen Driscoll and Sageev Oore and Heather Tomson and Gregory Begin and Guillermo Aristi and Michael Schmidt and David C. Roach and Thomas Penzel and Ingo Fietze and Sanjay R. Patel and Reena Mehra and Debra Morrison}, title = {The Beginning of the AI-Enabled Preventative {PAP} Therapy Era: {A} First-in-Human Proof of Concept Interventional Study}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {70}, number = {10}, pages = {2776--2787}, year = {2023}, url = {https://doi.org/10.1109/TBME.2023.3263379}, doi = {10.1109/TBME.2023.3263379}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/SinclairAPELDOTBASRPFPMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvip/ModiP22, author = {Prashant Modi and Sanjay Patel}, title = {A State-of-the-Art Survey on Face Recognition Methods}, journal = {Int. J. Comput. Vis. Image Process.}, volume = {12}, number = {1}, pages = {1--19}, year = {2022}, url = {https://doi.org/10.4018/ijcvip.2022010101}, doi = {10.4018/IJCVIP.2022010101}, timestamp = {Thu, 18 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvip/ModiP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/CooreyFFSVWGMYQ22, author = {Genevieve Coorey and Gemma A. Figtree and David F. Fletcher and Victoria J. Snelson and Stephen T. Vernon and David Winlaw and Stuart M. Grieve and Alistair Lee McEwan and Jean Yee Hwa Yang and Pierre Qian and Kieran O'Brien and Jessica Orchard and Jinman Kim and Sanjay Patel and Julie Redfern}, title = {The health digital twin to tackle cardiovascular disease - a review of an emerging interdisciplinary field}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00640-7}, doi = {10.1038/S41746-022-00640-7}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/CooreyFFSVWGMYQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/akcej/KansagaraP21, author = {Ankur N. Kansagara and Sanjaykumar Patel}, title = {Prime labeling in the context of web graphs without center}, journal = {{AKCE} Int. J. Graphs Comb.}, volume = {18}, number = {3}, pages = {132--142}, year = {2021}, url = {https://doi.org/10.1080/09728600.2021.1974286}, doi = {10.1080/09728600.2021.1974286}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/akcej/KansagaraP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisp/ManglePBZG21, author = {Andrew Mangle and Sandip C. Patel and Sanjay Bapna and Xingxing Zu and David Gurzick}, title = {A Model to Improve Security Questions Through Individualized Assistance}, journal = {Int. J. Inf. Secur. Priv.}, volume = {15}, number = {4}, pages = {31--53}, year = {2021}, url = {https://doi.org/10.4018/IJISP.2021100103}, doi = {10.4018/IJISP.2021100103}, timestamp = {Wed, 22 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijisp/ManglePBZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-07897, author = {Vikram Sharma Mailthody and James Wei and Nicholas Chen and Mohammad Behnia and Ruihao Yao and Qihao Wang and Vedant Agrawal and Churan He and Lijian Wang and Leihao Chen and Amit Agarwal and Edward Richter and Wen{-}Mei Hwu and Christopher W. Fletcher and Jinjun Xiong and Andrew Miller and Sanjay Patel}, title = {Safer Illinois and RokWall: Privacy Preserving University Health Apps for {COVID-19}}, journal = {CoRR}, volume = {abs/2101.07897}, year = {2021}, url = {https://arxiv.org/abs/2101.07897}, eprinttype = {arXiv}, eprint = {2101.07897}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-07897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-10074, author = {Raghav Mehta and Angelos Filos and Ujjwal Baid and Chiharu Sako and Richard McKinley and Michael Rebsamen and Katrin D{\"{a}}twyler and Raphael Meier and Piotr Radojewski and Gowtham Krishnan Murugesan and Sahil S. Nalawade and Chandan Ganesh and Benjamin C. Wagner and Fang F. Yu and Baowei Fei and Ananth J. Madhuranthakam and Joseph A. Maldjian and Laura Alexandra Daza and Catalina G{\'{o}}mez Caballero and Pablo Arbel{\'{a}}ez and Chengliang Dai and Shuo Wang and Hadrien Raynaud and Yuanhan Mo and Elsa D. Angelini and Yike Guo and Wenjia Bai and Subhashis Banerjee and Linmin Pei and Murat Ak and Sarahi Rosas{-}Gonz{\'{a}}lez and Ilyess Zemmoura and Clovis Tauber and Minh H. Vu and Tufve Nyholm and Tommy L{\"{o}}fstedt and Laura Mora Ballestar and Ver{\'{o}}nica Vilaplana and Hugh McHugh and Gonzalo D. Maso Talou and Alan Wang and Jay B. Patel and Ken Chang and Katharina Hoebel and Mishka Gidwani and Nishanth Thumbavanam Arun and Sharut Gupta and Mehak Aggarwal and Praveer Singh and Elizabeth R. Gerstner and Jayashree Kalpathy{-}Cramer and Nicolas Boutry and Alexis Huard and Lasitha Vidyaratne and Md Monibor Rahman and Khan M. Iftekharuddin and Joseph Chazalon and {\'{E}}lodie Puybareau and Guillaume Tochon and Jun Ma and Mariano Cabezas and Xavier Llad{\'{o}} and Arnau Oliver and Liliana Valencia and Sergi Valverde and Mehdi Amian and Mohammadreza Soltaninejad and Andriy Myronenko and Ali Hatamizadeh and Xue Feng and Quan Dou and Nicholas J. Tustison and Craig H. Meyer and Nisarg A. Shah and Sanjay N. Talbar and Marc{-}Andr{\'{e}} Weber and Abhishek Mahajan and Andr{\'{a}}s Jakab and Roland Wiest and Hassan M. Fathallah{-}Shaykh and Arash Nazeri and Mikhail Milchenko and Daniel S. Marcus and Aikaterini Kotrotsou and Rivka Colen and John B. Freymann and Justin S. Kirby and Christos Davatzikos and Bjoern H. Menze and Spyridon Bakas and Yarin Gal and Tal Arbel}, title = {QU-BraTS: {MICCAI} BraTS 2020 Challenge on Quantifying Uncertainty in Brain Tumor Segmentation - Analysis of Ranking Metrics and Benchmarking Results}, journal = {CoRR}, volume = {abs/2112.10074}, year = {2021}, url = {https://arxiv.org/abs/2112.10074}, eprinttype = {arXiv}, eprint = {2112.10074}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-10074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfogcomp/PatelC20, author = {Minal Parimalbhai Patel and Sanjay Chaudhary}, title = {Edge Computing: {A} Review on Computation Offloading and Light Weight Virtualization for IoT Framework}, journal = {Int. J. Fog Comput.}, volume = {3}, number = {1}, pages = {64--74}, year = {2020}, url = {https://doi.org/10.4018/ijfc.2020010104}, doi = {10.4018/IJFC.2020010104}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfogcomp/PatelC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/AnjumCLLTZHXP20, author = {Omer Anjum and Chak Ho Chan and Tanitpong Lawphongpanich and Yucheng Liang and Tianyi Tang and Shuchen Zhang and Wen{-}Mei Hwu and Jinjun Xiong and Sanjay Patel}, editor = {Matthew J. Bietz and Andrea Wiggins}, title = {Vertext: An End-to-end {AI} Powered Conversation Management System for Multi-party Chat Platforms}, booktitle = {Companion Publication of the 2020 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2020, Virtual Event, USA, October, 2020}, pages = {1--6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3406865.3418570}, doi = {10.1145/3406865.3418570}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscw/AnjumCLLTZHXP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismr/ThananjeyanTJFP19, author = {Brijen Thananjeyan and Ajay Kumar Tanwani and Jessica J. Ji and Danyal Fer and Vatsal Patel and Sanjay Krishnan and Ken Goldberg}, title = {Optimizing Robot-Assisted Surgery Suture Plans to Avoid Joint Limits and Singularities}, booktitle = {International Symposium on Medical Robotics, {ISMR} 2019, Atlanta, GA, USA, April 3-5, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISMR.2019.8710194}, doi = {10.1109/ISMR.2019.8710194}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismr/ThananjeyanTJFP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/ZhangPSH19, author = {Yi Zhang and Kartik Patel and Sanjay Shakkottai and Robert W. Heath Jr.}, title = {Side-information-aided Noncoherent Beam Alignment Design for Millimeter Wave Systems}, booktitle = {Proceedings of the Twentieth {ACM} International Symposium on Mobile Ad Hoc Networking and Computing, Mobihoc 2019, Catania, Italy, July 2-5, 2019}, pages = {341--350}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323679.3326532}, doi = {10.1145/3323679.3326532}, timestamp = {Fri, 01 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/ZhangPSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-12395, author = {Arjun Gupta and Dashiell Kosaka and Edwin Pan and Jingning Tang and Ruihao Yao and Sanjay Patel}, title = {OpenRadar: {A} Toolkit for Prototyping mmWave Radar Applications}, journal = {CoRR}, volume = {abs/1912.12395}, year = {2019}, url = {http://arxiv.org/abs/1912.12395}, eprinttype = {arXiv}, eprint = {1912.12395}, timestamp = {Tue, 07 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-12395.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpcn/PatelCG18, author = {Minal P. Patel and Sanjay Chaudhary and Sanjay Garg}, title = {Improved pre-copy algorithm using statistical prediction and compression model for efficient live memory migration}, journal = {Int. J. High Perform. Comput. Netw.}, volume = {11}, number = {1}, pages = {55--65}, year = {2018}, url = {https://doi.org/10.1504/IJHPCN.2018.10009625}, doi = {10.1504/IJHPCN.2018.10009625}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpcn/PatelCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HwuP18, author = {Wen{-}Mei Hwu and Sanjay J. Patel}, title = {Accelerator Architectures {A} Ten-Year Retrospective}, journal = {{IEEE} Micro}, volume = {38}, number = {6}, pages = {56--62}, year = {2018}, url = {https://doi.org/10.1109/MM.2018.2877839}, doi = {10.1109/MM.2018.2877839}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/HwuP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/JiKPFG18, author = {Jessica J. Ji and Sanjay Krishnan and Vatsal Patel and Danyal Fer and Ken Goldberg}, title = {Learning 2D Surgical Camera Motion From Demonstrations}, booktitle = {14th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2018, Munich, Germany, August 20-24, 2018}, pages = {35--42}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/COASE.2018.8560468}, doi = {10.1109/COASE.2018.8560468}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/JiKPFG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/AgrawalGSPB17, author = {K. P. Agrawal and Sanjay Garg and Shashikant Sharma and Pinkal Patel and Ayush Bhatnagar}, title = {Fusion of statistical and machine learning approaches for time series prediction using earth observation data}, journal = {Int. J. Comput. Sci. Eng.}, volume = {14}, number = {3}, pages = {255--266}, year = {2017}, url = {https://doi.org/10.1504/IJCSE.2017.10005023}, doi = {10.1504/IJCSE.2017.10005023}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/AgrawalGSPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/PatelTK17, author = {Sanjaykumar J. Patel and Aditi Toshniwal and Vipul Kheraj}, title = {A novel teaching-learning based optimization approach for design of broad-band anti-reflection coatings}, journal = {Swarm Evol. Comput.}, volume = {34}, pages = {68--74}, year = {2017}, url = {https://doi.org/10.1016/j.swevo.2016.12.003}, doi = {10.1016/J.SWEVO.2016.12.003}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/PatelTK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigite/ZhouK0NCG17, author = {Mo Zhou and Sanjay Krishnan and Jay Patel and Brandie Nonnecke and Camille Crittenden and Ken Goldberg}, editor = {Stephen J. Zilora and Tom Ayers and Daniel S. Bogaard}, title = {{M-CAFE} 2.0: {A} Scalable Platform with Comparative Plots and Topic Tagging for Ongoing Course Feedback}, booktitle = {Proceedings of the 18th Annual Conference on Information Technology Education and the 6th Annual Conference on Research in Information Technology, {SIGITE/RIIT} 2017, Rochester, New York, USA, October 4-7, 2017}, pages = {159--164}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125659.3125681}, doi = {10.1145/3125659.3125681}, timestamp = {Tue, 06 Nov 2018 16:59:02 +0100}, biburl = {https://dblp.org/rec/conf/sigite/ZhouK0NCG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PatelDDPC17, author = {Parthkumar Patel and Jayraj Dave and Shreedhar Dalal and Pankesh Patel and Sanjay Chaudhary}, title = {A Testbed for Experimenting Internet of Things Applications}, journal = {CoRR}, volume = {abs/1705.07848}, year = {2017}, url = {http://arxiv.org/abs/1705.07848}, eprinttype = {arXiv}, eprint = {1705.07848}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PatelDDPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsci/PatelM16, author = {Sanjay Patel and Ramji M. Makwana}, title = {Optimized Energy Efficient Virtual Machine Placement Algorithm and Techniques for Cloud Data Centers}, journal = {J. Comput. Sci.}, volume = {12}, number = {9}, pages = {448--454}, year = {2016}, url = {https://doi.org/10.3844/jcssp.2016.448.454}, doi = {10.3844/JCSSP.2016.448.454}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsci/PatelM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/SinghNKKJP16, author = {Sanjay Singh and Ashwin Nivangune and Sathish Kumar and Ranjan Kumar and Padmaja Joshi and Dhiren Patel}, title = {Extending app installable memory in Android smartphones}, booktitle = {Proceedings of the International Conference on Mobile Software Engineering and Systems, MOBILESoft '16, Austin, Texas, USA, May 14-22, 2016}, pages = {237--240}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897073.2897089}, doi = {10.1145/2897073.2897089}, timestamp = {Tue, 06 Nov 2018 11:06:55 +0100}, biburl = {https://dblp.org/rec/conf/icse/SinghNKKJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ZhouXHHPZYHJLZL15, author = {Ran Zhou and Yiqian Xie and Hao Hu and Guang Hu and Viral Sanjay Patel and Jin Zhang and Kunqian Yu and Yiran Huang and Hualiang Jiang and Zhongjie Liang and Yujun George Zheng and Cheng Luo}, title = {Molecular Mechanism underlying {PRMT1} Dimerization for {SAM} Binding and Methylase Activity}, journal = {J. Chem. Inf. Model.}, volume = {55}, number = {12}, pages = {2623--2632}, year = {2015}, url = {https://doi.org/10.1021/acs.jcim.5b00454}, doi = {10.1021/ACS.JCIM.5B00454}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/ZhouXHHPZYHJLZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ghtc/NonneckeKPZBMMC15, author = {Brandie Nonnecke and Sanjay Krishnan and Jay Patel and Mo Zhou and Laura Byaruhanga and Dorothy Masinde and Maria Elena Meneses and Alejandro Martin del Campo and Camille Crittenden and Kenneth Y. Goldberg}, title = {DevCAFE 1.0: {A} participatory platform for assessing development initiatives in the field}, booktitle = {2015 {IEEE} Global Humanitarian Technology Conference, {GHTC} 2015, Seattle, WA, USA, October 8-11, 2015}, pages = {437--444}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GHTC.2015.7344009}, doi = {10.1109/GHTC.2015.7344009}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ghtc/NonneckeKPZBMMC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/PatelGKNNG15, author = {Jay Patel and Gil Gershoni and Sanjay Krishnan and Matti Nelimarkka and Brandie Nonnecke and Ken Goldberg}, editor = {Sebastian Boring and Enrico Rukzio and Hans Gellersen and Ken Hinckley}, title = {A Case Study in Mobile-Optimized vs. Responsive Web Application Design}, booktitle = {Proceedings of the 17th International Conference on Human-Computer Interaction with Mobile Devices and Services Adjunct, MobileHCI '15, Copenhagen, Denmark, August 24-27, 2015}, pages = {576--581}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786567.2787135}, doi = {10.1145/2786567.2787135}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/PatelGKNNG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficta/PatelRN14, author = {M. S. Patel and Sanjay Linga Reddy and Anuja Jana Naik}, editor = {Suresh Chandra Satapathy and Bhabendra Narayan Biswal and Siba K. Udgata and J. K. Mandal}, title = {An Efficient Way of Handwritten English Word Recognition}, booktitle = {Proceedings of the 3rd International Conference on Frontiers of Intelligent Computing: Theory and Applications {(FICTA)} 2014 - Volume 2, Bhubaneswar, Odisa, India, 14-15 November 2014}, series = {Advances in Intelligent Systems and Computing}, volume = {328}, pages = {563--571}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-12012-6\_62}, doi = {10.1007/978-3-319-12012-6\_62}, timestamp = {Tue, 23 Feb 2021 09:06:34 +0100}, biburl = {https://dblp.org/rec/conf/ficta/PatelRN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/KrishnanPFG14, author = {Sanjay Krishnan and Jay Patel and Michael J. Franklin and Ken Goldberg}, editor = {Alfred Kobsa and Michelle X. Zhou and Martin Ester and Yehuda Koren}, title = {A methodology for learning, analyzing, and mitigating social influence bias in recommender systems}, booktitle = {Eighth {ACM} Conference on Recommender Systems, RecSys '14, Foster City, Silicon Valley, CA, {USA} - October 06 - 10, 2014}, pages = {137--144}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2645710.2645740}, doi = {10.1145/2645710.2645740}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/recsys/KrishnanPFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/CragoALP13, author = {Neal Clayton Crago and Omid Azizi and Steven S. Lumetta and Sanjay J. Patel}, title = {Hybrid latency tolerance for robust energy-efficiency on 1000-core data parallel processors}, booktitle = {19th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2013, Shenzhen, China, February 23-27, 2013}, pages = {294--305}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/HPCA.2013.6522327}, doi = {10.1109/HPCA.2013.6522327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/CragoALP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/VamvakosKSALBBBCCCCCDDDDGHHCKKLLMMNPPRRRSSSSWY12, author = {Socrates D. Vamvakos and Bendik Kleveland and Dipak K. Sikdar and B. K. Ahuja and Haidang Lin and Jayaprakash Balachandran and Wignes Balakrishnan and Aldo Bottelli and Jawji Chen and Xiaole Chen and Jae Choi and Jeong Choi and Rajesh Chopra and Sanjay Dabral and Kalyan Dasari and Ronald B. David and Shaishav Desai and Claude R. Gauthier and Mahmudul Hassan and Kuo{-}Chiang Hsieh and Ramosan Canagasaby and Jeff Kumala and E. P. Kwon and Ben Lee and Ming Liu and Gurupada Mandal and Sundari Mitra and Byeong Cheol Na and Siddharth Panwar and Jay Patel and Chethan Rao and Vithal Rao and Richard Rouse and Ritesh Saraf and Subramanian Seshadri and Jae{-}K. Sim and Clement Szeto and Alvin Wang and Jason Yeung}, title = {A 576 Mb {DRAM} with 16-channel 10.3125Gbps serial {I/O} and 14.5 ns latency}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {458--461}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341354}, doi = {10.1109/ESSCIRC.2012.6341354}, timestamp = {Thu, 26 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/VamvakosKSALBBBCCCCCDDDDGHHCKKLLMMNPPRRRSSSSWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/GuptaPMWASH11, author = {Sanjay Gupta and Jay Patel and Kevin M. McEnery and Michael J. Wallace and Kamran Ahrar and Chuck Suitor and Marshall E. Hicks}, title = {Early Experience Using an Online Reporting System for Interventional Radiology Procedure-Related Complications Integrated with a Digital Dictation System}, journal = {J. Digit. Imaging}, volume = {24}, number = {4}, pages = {672--679}, year = {2011}, url = {https://doi.org/10.1007/s10278-010-9319-0}, doi = {10.1007/S10278-010-9319-0}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/GuptaPMWASH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/JohnsonJKTLP11, author = {Daniel R. Johnson and Matthew R. Johnson and John H. Kelm and William Tuohy and Steven S. Lumetta and Sanjay J. Patel}, title = {Rigel: {A} 1, 024-Core Single-Chip Accelerator Architecture}, journal = {{IEEE} Micro}, volume = {31}, number = {4}, pages = {30--41}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.40}, doi = {10.1109/MM.2011.40}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/JohnsonJKTLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KelmJTLP11, author = {John H. Kelm and Daniel R. Johnson and William Tuohy and Steven S. Lumetta and Sanjay J. Patel}, title = {Cohesion: An Adaptive Hybrid Memory Model for Accelerators}, journal = {{IEEE} Micro}, volume = {31}, number = {1}, pages = {42--55}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.8}, doi = {10.1109/MM.2011.8}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KelmJTLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/DoNNKP11, author = {Minh N. Do and Quang H. Nguyen and Ha T. Nguyen and Daniel B. Kubacki and Sanjay J. Patel}, title = {Immersive Visual Communication}, journal = {{IEEE} Signal Process. Mag.}, volume = {28}, number = {1}, pages = {58--66}, year = {2011}, url = {https://doi.org/10.1109/MSP.2010.939075}, doi = {10.1109/MSP.2010.939075}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spm/DoNNKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/CragoP11, author = {Neal Clayton Crago and Sanjay J. Patel}, editor = {Lawrence Rauchwerger and Vivek Sarkar}, title = {Decoupled Architectures as a Low-Complexity Alternative to Out-of-order Execution}, booktitle = {2011 International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2011, Galveston, TX, USA, October 10-14, 2011}, pages = {179--180}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PACT.2011.28}, doi = {10.1109/PACT.2011.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/CragoP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/McLaughlinAHHKM11, author = {Glen W. McLaughlin and Huma Arastu and Joel Harris and Mir Hashim and Radhika Korupolu and Andrew Mangogna and Sanjay Patel and Chang Ong and Rekha Vaidyanathan and Lu Wang and Timothy Williams and Mir Imran}, title = {Biphasic transdermal iontophoretic drug delivery platform}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {1225--1228}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090288}, doi = {10.1109/IEMBS.2011.6090288}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/McLaughlinAHHKM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangYWP11, author = {Hongbo Zhang and Tan Yan and Martin D. F. Wong and Sanjay J. Patel}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Accelerating aerial image simulation with {GPU}}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {178--184}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105323}, doi = {10.1109/ICCAD.2011.6105323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangYWP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CragoP11, author = {Neal Clayton Crago and Sanjay J. Patel}, editor = {Ravi R. Iyer and Qing Yang and Antonio Gonz{\'{a}}lez}, title = {{OUTRIDER:} efficient memory latency tolerance with decoupled strands}, booktitle = {38th International Symposium on Computer Architecture {(ISCA} 2011), June 4-8, 2011, San Jose, CA, {USA}}, pages = {117--128}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2000064.2000079}, doi = {10.1145/2000064.2000079}, timestamp = {Mon, 15 May 2023 22:11:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/CragoP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbic/SinhaPP10, author = {Sanjay Kumar Sinha and R. Prasad and Ram Narayan Patel}, title = {Automatic generation control of restructured power systems with combined intelligent techniques}, journal = {Int. J. Bio Inspired Comput.}, volume = {2}, number = {2}, pages = {124--131}, year = {2010}, url = {https://doi.org/10.1504/IJBIC.2010.032128}, doi = {10.1504/IJBIC.2010.032128}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbic/SinhaPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KelmJLPF10, author = {John H. Kelm and Daniel R. Johnson and Steven S. Lumetta and Sanjay J. Patel and Matthew I. Frank}, title = {A Task-Centric Memory Model for Scalable Accelerator Architectures}, journal = {{IEEE} Micro}, volume = {30}, number = {1}, pages = {29--39}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.6}, doi = {10.1109/MM.2010.6}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KelmJLPF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KelmJLP10, author = {John H. Kelm and Matthew R. Johnson and Steven S. Lumetta and Sanjay J. Patel}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {{WAYPOINT:} scaling coherence to thousand-core architectures}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {99--110}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854291}, doi = {10.1145/1854273.1854291}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/KelmJLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/GeladoCNSPH10, author = {Isaac Gelado and Javier Cabezas and Nacho Navarro and John E. Stone and Sanjay J. Patel and Wen{-}mei W. Hwu}, editor = {James C. Hoe and Vikram S. Adve}, title = {An asymmetric distributed shared memory model for heterogeneous parallel systems}, booktitle = {Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010}, pages = {347--358}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1736020.1736059}, doi = {10.1145/1736020.1736059}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/GeladoCNSPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AziziMSPH10, author = {Omid Azizi and Aqeel Mahesri and John P. Stevenson and Sanjay J. Patel and Mark Horowitz}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {An integrated framework for joint design space exploration of microarchitecture and circuits}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {250--255}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457204}, doi = {10.1109/DATE.2010.5457204}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/AziziMSPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VasudevanSPTTJ10, author = {Shobha Vasudevan and David Sheridan and Sanjay J. Patel and David Tcheng and William Tuohy and Daniel R. Johnson}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {GoldMine: Automatic assertion generation using data mining and static analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {626--629}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457129}, doi = {10.1109/DATE.2010.5457129}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VasudevanSPTTJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AziziMLPH10, author = {Omid Azizi and Aqeel Mahesri and Benjamin C. Lee and Sanjay J. Patel and Mark Horowitz}, editor = {Andr{\'{e}} Seznec and Uri C. Weiser and Ronny Ronen}, title = {Energy-performance tradeoffs in processor architecture and circuit design: a marginal cost analysis}, booktitle = {37th International Symposium on Computer Architecture {(ISCA} 2010), June 19-23, 2010, Saint-Malo, France}, pages = {26--36}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815961.1815967}, doi = {10.1145/1815961.1815967}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/AziziMLPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KelmJTLP10, author = {John H. Kelm and Daniel R. Johnson and William Tuohy and Steven S. Lumetta and Sanjay J. Patel}, editor = {Andr{\'{e}} Seznec and Uri C. Weiser and Ronny Ronen}, title = {Cohesion: a hybrid memory model for accelerators}, booktitle = {37th International Symposium on Computer Architecture {(ISCA} 2010), June 19-23, 2010, Saint-Malo, France}, pages = {429--440}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1815961.1816019}, doi = {10.1145/1815961.1816019}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KelmJTLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KofskyJSHPL10, author = {Stephen M. Kofsky and Daniel R. Johnson and John A. Stratton and Wen{-}mei W. Hwu and Sanjay J. Patel and Steven S. Lumetta}, editor = {Ana Lucia Varbanescu and Anca Mariana Molnos and Rob van Nieuwpoort}, title = {Implementing a {GPU} Programming Model on a Non-GPU Accelerator Architecture}, booktitle = {Computer Architecture - {ISCA} 2010 International Workshops A4MMC, AMAS-BT, EAMA, WEED, WIOSCA, Saint-Malo, France, June 19-23, 2010, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6161}, pages = {40--51}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-24322-6\_5}, doi = {10.1007/978-3-642-24322-6\_5}, timestamp = {Mon, 26 Jun 2023 20:44:16 +0200}, biburl = {https://dblp.org/rec/conf/isca/KofskyJSHPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/BaghsorkhiDPGH10, author = {Sara S. Baghsorkhi and Matthieu Delahaye and Sanjay J. Patel and William D. Gropp and Wen{-}mei W. Hwu}, editor = {R. Govindarajan and David A. Padua and Mary W. Hall}, title = {An adaptive performance modeling tool for {GPU} architectures}, booktitle = {Proceedings of the 15th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2010, Bangalore, India, January 9-14, 2010}, pages = {105--114}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1693453.1693470}, doi = {10.1145/1693453.1693470}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/BaghsorkhiDPGH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/AziziMPH09, author = {Omid Azizi and Aqeel Mahesri and Sanjay J. Patel and Mark Horowitz}, title = {Area-efficiency in {CMP} core design: co-optimization of microarchitecture and physical design}, journal = {{SIGARCH} Comput. Archit. News}, volume = {37}, number = {2}, pages = {56--65}, year = {2009}, url = {https://doi.org/10.1145/1577129.1577138}, doi = {10.1145/1577129.1577138}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/AziziMPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/LinHNBRHDPH09, author = {Dennis J. Lin and Xiaohuang Huang and Quang H. Nguyen and Joshua Blackburn and Christopher I. Rodrigues and Thomas S. Huang and Minh N. Do and Sanjay J. Patel and Wen{-}Mei W. Hwu}, title = {The parallelization of video processing}, journal = {{IEEE} Signal Process. Mag.}, volume = {26}, number = {6}, pages = {103--112}, year = {2009}, url = {https://doi.org/10.1109/MSP.2009.934116}, doi = {10.1109/MSP.2009.934116}, timestamp = {Thu, 15 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/LinHNBRHDPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/YehRPF09, author = {Thomas Y. Yeh and Glenn Reinman and Sanjay J. Patel and Petros Faloutsos}, title = {Fool me twice: Exploring and exploiting error tolerance in physics-based animation}, journal = {{ACM} Trans. Graph.}, volume = {29}, number = {1}, pages = {5:1--5:11}, year = {2009}, url = {https://doi.org/10.1145/1640443.1640448}, doi = {10.1145/1640443.1640448}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/YehRPF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KelmJLFP09, author = {John H. Kelm and Daniel R. Johnson and Steven S. Lumetta and Matthew I. Frank and Sanjay J. Patel}, title = {A Task-Centric Memory Model for Scalable Accelerator Architectures}, booktitle = {{PACT} 2009, Proceedings of the 18th International Conference on Parallel Architectures and Compilation Techniques, 12-16 September 2009, Raleigh, North Carolina, {USA}}, pages = {77--87}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/PACT.2009.16}, doi = {10.1109/PACT.2009.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/KelmJLFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/PatelJCR09, author = {Pankesh Patel and Sunil Jardosh and Sanjay Chaudhary and Prabhat Ranjan}, title = {Context Aware Middleware Architecture for Wireless Sensor Network}, booktitle = {2009 {IEEE} International Conference on Services Computing {(SCC} 2009), 21-25 September 2009, Bangalore, India}, pages = {532--535}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SCC.2009.49}, doi = {10.1109/SCC.2009.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/PatelJCR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SidelnikSWGHNPP09, author = {Albert Sidelnik and I{-}Jui Sung and Wanmin Wu and Mar{\'{\i}}a Jes{\'{u}}s Garzar{\'{a}}n and Wen{-}mei W. Hwu and Klara Nahrstedt and David A. Padua and Sanjay J. Patel}, editor = {David R. Kaeli and Miriam Leeser}, title = {Optimization of tele-immersion codes}, booktitle = {Proceedings of 2nd Workshop on General Purpose Processing on Graphics Processing Units, {GPGPU} 2009, Washington, DC, USA, March 8, 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {383}, pages = {85--93}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1513895.1513906}, doi = {10.1145/1513895.1513906}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SidelnikSWGHNPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/NguyenDP09, author = {Quang H. Nguyen and Minh N. Do and Sanjay J. Patel}, title = {Depth image-based rendering with low resolution depth}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {553--556}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5413896}, doi = {10.1109/ICIP.2009.5413896}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/NguyenDP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/immerscom/NguyenDP09, author = {Quang H. Nguyen and Minh N. Do and Sanjay J. Patel}, editor = {Ruzena Bajcsy and Chuck House}, title = {Depth image-based rendering from multiple cameras with 3D propagation algorithm}, booktitle = {2nd International {ICST} Conference on Immersive Telecommunications, {IMMERSCOM} 2009, Berkeley, CA, USA, May 27-29, 2009}, pages = {6}, publisher = {{ICST/ACM}}, year = {2009}, url = {https://doi.org/10.4108/immerscom.2009.13}, doi = {10.4108/IMMERSCOM.2009.13}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/immerscom/NguyenDP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KelmJJCTMLFP09, author = {John H. Kelm and Daniel R. Johnson and Matthew R. Johnson and Neal Clayton Crago and William Tuohy and Aqeel Mahesri and Steven S. Lumetta and Matthew I. Frank and Sanjay J. Patel}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {Rigel: an architecture and scalable programming interface for a 1000-core accelerator}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {140--151}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555774}, doi = {10.1145/1555754.1555774}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/KelmJJCTMLFP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bell/HeronPVSP08, author = {Ronald W. Heron and Thomas Pfeiffer and Doutje T. van Veen and Joe Smith and Sanjay S. Patel}, title = {Technology innovations and architecture solutions for the next-generation optical access network}, journal = {Bell Labs Tech. J.}, volume = {13}, number = {1}, pages = {163--181}, year = {2008}, url = {https://doi.org/10.1002/bltj.20289}, doi = {10.1002/BLTJ.20289}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bell/HeronPVSP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/PalBPPD08, author = {Nikhil R. Pal and Brojeshwar Bhowmick and Sanjaya K. Patel and Srimanta Pal and J. Das}, title = {A multi-stage neural network aided system for detection of microcalcifications in digitized mammograms}, journal = {Neurocomputing}, volume = {71}, number = {13-15}, pages = {2625--2634}, year = {2008}, url = {https://doi.org/10.1016/j.neucom.2007.06.015}, doi = {10.1016/J.NEUCOM.2007.06.015}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/PalBPPD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/PatelH08, author = {Sanjay J. Patel and Wen{-}mei W. Hwu}, title = {Guest Editors' Introduction: Accelerator Architectures}, journal = {{IEEE} Micro}, volume = {28}, number = {4}, pages = {4--12}, year = {2008}, url = {https://doi.org/10.1109/MM.2008.50}, doi = {10.1109/MM.2008.50}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/PatelH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MahesriJCP08, author = {Aqeel Mahesri and Daniel R. Johnson and Neal Clayton Crago and Sanjay J. Patel}, title = {Tradeoffs in designing accelerator architectures for visual computing}, booktitle = {41st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-41} 2008), November 8-12, 2008, Lake Como, Italy}, pages = {164--175}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MICRO.2008.4771788}, doi = {10.1109/MICRO.2008.4771788}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/MahesriJCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/MahesriWP07, author = {Aqeel Mahesri and Nicholas J. Wang and Sanjay J. Patel}, title = {Hardware support for software controlled multithreading}, journal = {{SIGARCH} Comput. Archit. News}, volume = {35}, number = {1}, pages = {3--12}, year = {2007}, url = {https://doi.org/10.1145/1241601.1241606}, doi = {10.1145/1241601.1241606}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/MahesriWP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HwuRUKGSKBMTNLFP07, author = {Wen{-}mei W. Hwu and Shane Ryoo and Sain{-}Zee Ueng and John H. Kelm and Isaac Gelado and Sam S. Stone and Robert E. Kidd and Sara S. Baghsorkhi and Aqeel Mahesri and Stephanie C. Tsao and Nacho Navarro and Steven S. Lumetta and Matthew I. Frank and Sanjay J. Patel}, title = {Implicitly Parallel Programming Models for Thousand-Core Microprocessors}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {754--759}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278669}, doi = {10.1145/1278480.1278669}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HwuRUKGSKBMTNLFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/WangMP07, author = {Nicholas J. Wang and Aqeel Mahesri and Sanjay J. Patel}, editor = {Dean M. Tullsen and Brad Calder}, title = {Examining {ACE} analysis reliability estimates using fault-injection}, booktitle = {34th International Symposium on Computer Architecture {(ISCA} 2007), June 9-13, 2007, San Diego, California, {USA}}, pages = {460--469}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1250662.1250719}, doi = {10.1145/1250662.1250719}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/WangMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/YehFPR07, author = {Thomas Y. Yeh and Petros Faloutsos and Sanjay J. Patel and Glenn Reinman}, editor = {Dean M. Tullsen and Brad Calder}, title = {ParallAX: an architecture for real-time physics}, booktitle = {34th International Symposium on Computer Architecture {(ISCA} 2007), June 9-13, 2007, San Diego, California, {USA}}, pages = {232--243}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1250662.1250691}, doi = {10.1145/1250662.1250691}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/YehFPR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/YehFEPR07, author = {Thomas Y. Yeh and Petros Faloutsos and Milos D. Ercegovac and Sanjay J. Patel and Glenn Reinman}, title = {The Art of Deception: Adaptive Precision Reduction for Area Efficient Physics Acceleration}, booktitle = {40th Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-40} 2007), 1-5 December 2007, Chicago, Illinois, {USA}}, pages = {394--406}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MICRO.2007.9}, doi = {10.1109/MICRO.2007.9}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/YehFEPR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WohlWP07, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel}, title = {Automated Design and Insertion of Optimal One-Hot Bus Encoders}, booktitle = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley, California, {USA}}, pages = {409--415}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VTS.2007.18}, doi = {10.1109/VTS.2007.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/WohlWP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BarnesSNPNH06, author = {Ronald D. Barnes and John W. Sias and Erik M. Nystrom and Sanjay J. Patel and Nacho Navarro and Wen{-}mei W. Hwu}, title = {Beating In-Order Stalls with "Flea-Flicker" Two-Pass Pipelining}, journal = {{IEEE} Trans. Computers}, volume = {55}, number = {1}, pages = {18--33}, year = {2006}, url = {https://doi.org/10.1109/TC.2006.4}, doi = {10.1109/TC.2006.4}, timestamp = {Thu, 30 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BarnesSNPNH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/WangP06, author = {Nicholas J. Wang and Sanjay J. Patel}, title = {ReStore: Symptom-Based Soft Error Detection in Microprocessors}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {3}, number = {3}, pages = {188--201}, year = {2006}, url = {https://doi.org/10.1109/TDSC.2006.40}, doi = {10.1109/TDSC.2006.40}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/WangP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangMMSWSKSP06, author = {Ming Zhang and Subhasish Mitra and T. M. Mak and Norbert Seifert and Nicholas J. Wang and Quan Shi and Kee Sup Kim and Naresh R. Shanbhag and Sanjay J. Patel}, title = {Sequential Element Design With Built-In Soft Error Resilience}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {12}, pages = {1368--1378}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.887832}, doi = {10.1109/TVLSI.2006.887832}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangMMSWSKSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SaggeseWKPI05, author = {Giacinto Paolo Saggese and Nicholas J. Wang and Zbigniew Kalbarczyk and Sanjay J. Patel and Ravishankar K. Iyer}, title = {An Experimental Study of Soft Errors in Microprocessors}, journal = {{IEEE} Micro}, volume = {25}, number = {6}, pages = {30--39}, year = {2005}, url = {https://doi.org/10.1109/MM.2005.104}, doi = {10.1109/MM.2005.104}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SaggeseWKPI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/Stojcev05c, author = {Mile K. Stojcev}, title = {Yale N. Patt and Sanjay J. Patel, Introduction to Computing Systems: From Bits and Gates to {C} and Beyond Second edition, McGraw-Hill Higher Education, Boston {(2004)} {ISBN} 0-07-121503-4 Softcover, pp 632, plus {XXIV}}, journal = {Microelectron. Reliab.}, volume = {45}, number = {2}, pages = {405--406}, year = {2005}, url = {https://doi.org/10.1016/j.microrel.2004.08.010}, doi = {10.1016/J.MICROREL.2004.08.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/Stojcev05c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/WangP05, author = {Nicholas J. Wang and Sanjay J. Patel}, title = {ReStore: Symptom Based Soft Error Detection in Microprocessors}, booktitle = {2005 International Conference on Dependable Systems and Networks {(DSN} 2005), 28 June - 1 July 2005, Yokohama, Japan, Proceedings}, pages = {30--39}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DSN.2005.82}, doi = {10.1109/DSN.2005.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/WangP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HwuP05, author = {Wen{-}mei W. Hwu and Sanjay J. Patel}, title = {The Future of Computer Architecture Research: An Industrial Perspective}, booktitle = {11th International Conference on High-Performance Computer Architecture {(HPCA-11} 2005), 12-16 February 2005, San Francisco, CA, {USA}}, pages = {264}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/HPCA.2005.36}, doi = {10.1109/HPCA.2005.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/HwuP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/X05a, author = {Brian Fahs and Todd M. Rafacz and Sanjay J. Patel and Steven S. Lumetta}, title = {Continuous Optimization}, booktitle = {32st International Symposium on Computer Architecture {(ISCA} 2005), 4-8 June 2005, Madison, Wisconsin, {USA}}, pages = {86--97}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISCA.2005.19}, doi = {10.1109/ISCA.2005.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/X05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SwaminathanPDS05, author = {Shivakumar Swaminathan and Sanjay B. Patel and James Dieffenderfer and Joel Silberman}, title = {Reducing Power Consumption during {TLB} Lookups in a PowerPC Embedded Processor}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {54--58}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.103}, doi = {10.1109/ISQED.2005.103}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/SwaminathanPDS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWPDWK05, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Francisco DaSilva and Thomas W. Williams and Rohit Kapur}, title = {Efficient compression of deterministic patterns into multiple {PRPG} seeds}, booktitle = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005, Austin, TX, USA, November 8-10, 2005}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/TEST.2005.1584057}, doi = {10.1109/TEST.2005.1584057}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWPDWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WohlWPHGM05, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Cy Hay and Emil Gizdarski and Ben Mathew}, title = {Hierarchical Compactor Design for Diagnosis in Deterministic Logic {BIST}}, booktitle = {23rd {IEEE} {VLSI} Test Symposium {(VTS} 2005), 1-5 May 2005, Palm Springs, CA, {USA}}, pages = {359--365}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/VTS.2005.48}, doi = {10.1109/VTS.2005.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/WohlWPHGM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0020387, author = {Yale N. Patt and Sanjay J. Patel}, title = {Introduction to computing systems - from bits and gates to {C} and beyond {(2.} ed.)}, publisher = {McGraw-Hill}, year = {2004}, isbn = {978-0-07-246750-5}, timestamp = {Thu, 14 Apr 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0020387.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WohlWP04, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Scalable selector architecture for x-tolerant deterministic {BIST}}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {934--939}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996814}, doi = {10.1145/996566.996814}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WohlWP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/WangQRP04, author = {Nicholas J. Wang and Justin Quek and Todd M. Rafacz and Sanjay J. Patel}, title = {Characterizing the Effects of Transient Faults on a High-Performance Processor Pipeline}, booktitle = {2004 International Conference on Dependable Systems and Networks {(DSN} 2004), 28 June - 1 July 2004, Florence, Italy, Proceedings}, pages = {61}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DSN.2004.1311877}, doi = {10.1109/DSN.2004.1311877}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/WangQRP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/EhrhartP04, author = {Todd E. Ehrhart and Sanjay J. Patel}, title = {Reducing the Scheduling Critical Cycle Using Wakeup Prediction}, booktitle = {10th International Conference on High-Performance Computer Architecture {(HPCA-10} 2004), 14-18 February 2004, Madrid, Spain}, pages = {222--231}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/HPCA.2004.10016}, doi = {10.1109/HPCA.2004.10016}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/EhrhartP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mss/SalmonTPPSVRBDCGPS04, author = {Ellen Salmon and Adina Tarshish and Nancy Palm and Sanjay Patel and Marty Saletta and Ed Vanderlan and Mike Rouch and Lisa Burns and Daniel C. Duffy and Robert Caine and Randall Golay and Jeff Paffel and Nathan Schumann}, editor = {Ben Kobler and P. C. Hariharan}, title = {Hierarchical Storage Management at the {NASA} Center for Computational Sciences: From Unitree to {SAM-QFS}}, booktitle = {21st {IEEE} Conference on Mass Storage Systems and Technologies / 12th {NASA} Goddard Conference on Mass Storage Systems and Technologies, Greenbelt, Maryland, USA, April 13-16, 2004}, pages = {177--183}, publisher = {{IEEE}}, year = {2004}, url = {http://storageconference.org/nasa/conf2004/Papers/MSST2004-19-Salmon-a.pdf}, timestamp = {Tue, 13 Jan 2009 10:27:15 +0100}, biburl = {https://dblp.org/rec/conf/mss/SalmonTPPSVRBDCGPS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/WangFP03, author = {Nicholas J. Wang and Michael Fertig and Sanjay J. Patel}, title = {Y-Branches: When You Come to a Fork in the Road, Take It}, booktitle = {12th International Conference on Parallel Architectures and Compilation Techniques {(PACT} 2003), 27 September - 1 October 2003, New Orleans, LA, {USA}}, pages = {56--66}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/PACT.2003.1238002}, doi = {10.1109/PACT.2003.1238002}, timestamp = {Tue, 31 May 2022 13:36:44 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/WangFP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/SpadiniFPL03, author = {Francesco Spadini and Brian Fahs and Sanjay J. Patel and Steven S. Lumetta}, editor = {Richard Johnson and Tom Conte and Wen{-}mei W. Hwu}, title = {Improving Quasi-Dynamic Schedules through Region Slip}, booktitle = {1st {IEEE} / {ACM} International Symposium on Code Generation and Optimization {(CGO} 2003), 23-26 March 2003, San Francisco, CA, {USA}}, pages = {149--158}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CGO.2003.1191541}, doi = {10.1109/CGO.2003.1191541}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/SpadiniFPL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KhanPARPBGLHZNK03, author = {Aurangzeb Khan and Kaushik Patel and Amit Aurora and Adnan Raza and Bidyut Parruck and Anandarup Bagchi and Abijit Ghosh and Boris Litinsky and Eric Hong and Eric Zhao and Jeremy Ngo and Kenson Ko and Leena Singh and Pavel Arnaudov and Peter Wu and Rama Ramakrishnan and Rami Zecharia and Shankar Channabasappa and Suril Kumar and Sanjay Wattal and Tony Wang and Uday Joshi and Zohar Golan and Zunning Luo and Duc{-}Ngoc Le and Irfan Ahmed and Frederick Chiu and King Y. Chow and Hiroyuki Furuzono and David Ge and Min Li and Martin Mueller and Son Nguyen and Trung Nguyen and Jean Saito and John Shen and Antonio Todesco and Allen Tsou and Demin Wang and Steven Yang and John Yu and Xia Zhong}, title = {Design and development of the first single-chip full-duplex {OC48} traffic manager and {ATM} {SAR} SoC}, booktitle = {Proceedings of the {IEEE} Custom Integrated Circuits Conference, {CICC} 2003, San Jose, CA, USA, September 21 - 24, 2003}, pages = {35--38}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CICC.2003.1249354}, doi = {10.1109/CICC.2003.1249354}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KhanPARPBGLHZNK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WohlWPA03, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Minesh B. Amin}, title = {Efficient compression and application of deterministic patterns in a logic {BIST} architecture}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {566--569}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775976}, doi = {10.1145/775832.775976}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WohlWPA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SlechtaCFFMQSPL03, author = {Brian Slechta and David Crowe and Brian Fahs and Michael Fertig and Gregory A. Muthler and Justin Quek and Francesco Spadini and Sanjay J. Patel and Steven Lumetta}, title = {Dynamic Optimization of Micro-Operations}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {165--176}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183535}, doi = {10.1109/HPCA.2003.1183535}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SlechtaCFFMQSPL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWPA03, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Minesh B. Amin}, title = {X-Tolerant Compression And Application of Scan-ATPG Patterns In {A} {BIST} Architecture}, booktitle = {Proceedings 2003 International Test Conference {(ITC} 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, {USA}}, pages = {727--736}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/TEST.2003.1270902}, doi = {10.1109/TEST.2003.1270902}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWPA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/BarnesNSPNH03, author = {Ronald D. Barnes and Erik M. Nystrom and John W. Sias and Sanjay J. Patel and Nacho Navarro and Wen{-}mei W. Hwu}, title = {Beating in-order stalls with "flea-flicker" two-pass pipelining}, booktitle = {Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003}, pages = {387--398}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MICRO.2003.1253243}, doi = {10.1109/MICRO.2003.1253243}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/BarnesNSPNH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/LumettaP03, author = {Steven Lumetta and Sanjay J. Patel}, editor = {Bill Cheng and Satish K. Tripathi and Jennifer Rexford and William H. Sanders}, title = {Characterization of essential dynamic instructions}, booktitle = {Proceedings of the International Conference on Measurements and Modeling of Computer Systems, {SIGMETRICS} 2003, June 9-14, 2003, San Diego, CA, {USA}}, pages = {308--309}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/781027.781071}, doi = {10.1145/781027.781071}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/LumettaP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WohlWPM02, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Gregory A. Maston}, title = {Effective diagnostics through interval unloads in a {BIST} environment}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {249--254}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.513984}, doi = {10.1145/513918.513984}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WohlWPM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MuthlerCPL02, author = {Gregory A. Muthler and David Crowe and Sanjay J. Patel and Steven Lumetta}, editor = {Erik R. Altman and Kemal Ebcioglu and Scott A. Mahlke and B. Ramakrishna Rau and Sanjay J. Patel}, title = {Instruction fetch deferral using static slack}, booktitle = {Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002}, pages = {51--61}, publisher = {{ACM/IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/MICRO.2002.1176238}, doi = {10.1109/MICRO.2002.1176238}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/MuthlerCPL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/2002, editor = {Erik R. Altman and Kemal Ebcioglu and Scott A. Mahlke and B. Ramakrishna Rau and Sanjay J. Patel}, title = {Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002}, publisher = {{ACM/IEEE} Computer Society}, year = {2002}, url = {https://ieeexplore.ieee.org/xpl/conhome/8385/proceeding}, isbn = {0-7695-1859-1}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/2002.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PatelL01, author = {Sanjay J. Patel and Steven Lumetta}, title = {rePLay: {A} Hardware Framework for Dynamic Optimization}, journal = {{IEEE} Trans. Computers}, volume = {50}, number = {6}, pages = {590--608}, year = {2001}, url = {https://doi.org/10.1109/12.931895}, doi = {10.1109/12.931895}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PatelL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FahsBCSSTPL01, author = {Brian Fahs and Satarupa Bose and Matthew M. Crum and Brian Slechta and Francesco Spadini and Tony Tung and Sanjay J. Patel and Steven S. Lumetta}, editor = {Yale N. Patt and Josh Fisher and Paolo Faraboschi and Kevin Skadron}, title = {Performance characterization of a hardware mechanism for dynamic optimization}, booktitle = {Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001}, pages = {16--27}, publisher = {{ACM/IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/MICRO.2001.991102}, doi = {10.1109/MICRO.2001.991102}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/FahsBCSSTPL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/PatelTBC00, author = {Sanjay J. Patel and Tony Tung and Satarupa Bose and Matthew M. Crum}, editor = {Andrew Wolfe and Michael S. Schlansker}, title = {Increasing the size of atomic instruction blocks using control flow assertions}, booktitle = {Proceedings of the 33rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 33, Monterey, California, USA, December 10-13, 2000}, pages = {303--313}, publisher = {{ACM/IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/MICRO.2000.898080}, doi = {10.1109/MICRO.2000.898080}, timestamp = {Tue, 31 May 2022 14:30:45 +0200}, biburl = {https://dblp.org/rec/conf/micro/PatelTBC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/BrownCPMBR00, author = {Eric N. Brown and Jon J. Camp and Sanjay V. Patel and Jay W. McLaren and William M. Bourne and Richard A. Robb}, editor = {Kenneth M. Hanson}, title = {Automated stromal nerve rejection in corneal confocal images in vivo}, booktitle = {Medical Imaging 2000: Image Processing, San Diego, CA, United States, 12-18 February 2000}, series = {{SPIE} Proceedings}, volume = {3979}, publisher = {{SPIE}}, year = {2000}, url = {https://doi.org/10.1117/12.387625}, doi = {10.1117/12.387625}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/BrownCPMBR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Patel99, author = {Sanjay J. Patel}, title = {Trace cache design for wide-issue superscalar processors}, school = {University of Michigan, {USA}}, year = {1999}, url = {http://hdl.handle.net/2027.42/131750}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Patel99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/PatelFP99, author = {Sanjay J. Patel and Daniel H. Friendly and Yale N. Patt}, title = {Evaluation of Design Options for the Trace Cache Fetch Mechanism}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {2}, pages = {193--204}, year = {1999}, url = {https://doi.org/10.1109/12.752661}, doi = {10.1109/12.752661}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/PatelFP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/RajskiTP99, author = {Janusz Rajski and Jerzy Tyszer and Sanjay Patel}, title = {Built-In Self-Test for Systems on Silicon}, booktitle = {12th International Conference on {VLSI} Design {(VLSI} Design 1999), 10-13 January 1999, Goa, India}, pages = {609--610}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {http://www.computer.org/csdl/proceedings/vlsid/1999/0013/00/00130609.pdf}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/RajskiTP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bell/GoyalUP98, author = {Suresh Goyal and Sanjay S. Upasani and Dhiren M. Patel}, title = {Designing best-in-class impact-tolerant cellular phones and other portable products}, journal = {Bell Labs Tech. J.}, volume = {3}, number = {3}, pages = {159--174}, year = {1998}, url = {https://doi.org/10.1002/bltj.2123}, doi = {10.1002/BLTJ.2123}, timestamp = {Thu, 27 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bell/GoyalUP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/EversPCP98, author = {Marius Evers and Sanjay J. Patel and Robert S. Chappell and Yale N. Patt}, editor = {Mateo Valero and Gurindar S. Sohi and Doug DeGroot}, title = {An Analysis of Correlation and Predictability: What Makes Two-Level Branch Predictors Work}, booktitle = {Proceedings of the 25th Annual International Symposium on Computer Architecture, {ISCA} 1998, Barcelona, Spain, June 27 - July 1, 1998}, pages = {52--61}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISCA.1998.694762}, doi = {10.1109/ISCA.1998.694762}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/EversPCP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/PatelEP98, author = {Sanjay J. Patel and Marius Evers and Yale N. Patt}, editor = {Mateo Valero and Gurindar S. Sohi and Doug DeGroot}, title = {Improving Trace Cache Effectiveness with Branch Promotion and Trace Packing}, booktitle = {Proceedings of the 25th Annual International Symposium on Computer Architecture, {ISCA} 1998, Barcelona, Spain, June 27 - July 1, 1998}, pages = {262--271}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISCA.1998.694786}, doi = {10.1109/ISCA.1998.694786}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/PatelEP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FriendlyPP98, author = {Daniel H. Friendly and Sanjay J. Patel and Yale N. Patt}, editor = {James O. Bondi and Jim Smith}, title = {Putting the Fill Unit to Work: Dynamic Optimizations for Trace Cache Microprocessors}, booktitle = {Proceedings of the 31st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 31, Dallas, Texas, USA, November 30 - December 2, 1998}, pages = {173--181}, publisher = {{ACM/IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/MICRO.1998.742779}, doi = {10.1109/MICRO.1998.742779}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/FriendlyPP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/PattPEFS97, author = {Yale N. Patt and Sanjay J. Patel and Marius Evers and Daniel H. Friendly and Jared Stark}, title = {One Billion Transistors, One Uniprocessor, One Chip}, journal = {Computer}, volume = {30}, number = {9}, pages = {51--57}, year = {1997}, url = {https://doi.org/10.1109/2.612249}, doi = {10.1109/2.612249}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/PattPEFS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FriendlyPP97, author = {Daniel H. Friendly and Sanjay J. Patel and Yale N. Patt}, editor = {Mark Smotherman and Tom Conte}, title = {Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism}, booktitle = {Proceedings of the Thirtieth Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997}, pages = {24--33}, publisher = {{ACM/IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/MICRO.1997.645794}, doi = {10.1109/MICRO.1997.645794}, timestamp = {Tue, 31 May 2022 14:39:58 +0200}, biburl = {https://dblp.org/rec/conf/micro/FriendlyPP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dtj/McKinneyBCHMLPRR94, author = {Dina L. McKinney and Masooma Bhaiwala and Kwong{-}Tak A. Chui and Christopher L. Houghton and James R. Mullens and Daniel L. Leibholz and Sanjay J. Patel and Delvan A. Ramey and Mark B. Rosenbluth}, title = {Digital's DECchip 21066: The First Cost-focused Alpha {AXP} Chip}, journal = {Digit. Tech. J.}, volume = {6}, number = {1}, year = {1994}, url = {https://www.hpl.hp.com/hpjournal/dtj/vol6num1/vol6num1art5.pdf}, timestamp = {Mon, 23 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dtj/McKinneyBCHMLPRR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compcon/McKinneyLRMCBPHR94, author = {Dina L. McKinney and Daniel L. Leibholz and Mark B. Rosenbluth and James R. Mullens and Kwong{-}Tak A. Chui and Masooma Bhaiwala and Sanjay J. Patel and Christopher L. Houghton and Delvan A. Ramey}, title = {DECchip 21066: The Alpha {AXP} Chip for Cost-Focused Systems}, booktitle = {Spring {COMPCON} 94, Digest of Papers, San Francisco, California, USA, February 28 - March 4, 1994}, pages = {406--413}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/CMPCON.1994.282899}, doi = {10.1109/CMPCON.1994.282899}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/compcon/McKinneyLRMCBPHR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CulkDGHJKMOPPWY91, author = {Bob Culk and Sanjay Desai and Moshe Gavrielov and George Harper and Darren Jones and Mark Kwong and Marlon Murzello and Tim Oke and Jay Patel and Raymond Peck and James Wei and Rongken Yang}, title = {The Architecture of the {LR33000:} {A} {MIPS} Compatible {RISC} Processor for Embedded Control Applications}, booktitle = {Proceedings 1991 {IEEE} International Conference on Computer Design: {VLSI} in Computer {\&} Processors, {ICCD} '91, Cambridge, MA, USA, October 14-16, 1991}, pages = {333--336}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCD.1991.139911}, doi = {10.1109/ICCD.1991.139911}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CulkDGHJKMOPPWY91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PatelP86, author = {Sanjay J. Patel and Janak H. Patel}, editor = {Don Thomas}, title = {Effectiveness of heuristics measures for automatic test pattern generation}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {547--552}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318101}, doi = {10.1145/318013.318101}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PatelP86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.