Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Seok-Jung Kim"
@article{DBLP:journals/access/ChoiNCKK24, author = {Wonyoung Choi and Gi Pyo Nam and Junghyun Cho and Ig{-}Jae Kim and Hyeong{-}Seok Ko}, title = {Integrating Pretrained Encoders for Generalized Face Frontalization}, journal = {{IEEE} Access}, volume = {12}, pages = {43530--43539}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3377220}, doi = {10.1109/ACCESS.2024.3377220}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiNCKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeHLKK24, author = {Hyunyong Lee and Yu Min Hwang and Jungi Lee and Nac{-}Woo Kim and Seok{-}Kap Ko}, title = {A Drone-Driven X-Ray Image-Based Diagnosis of Wind Turbine Blades for Reliable Operation of Wind Turbine}, journal = {{IEEE} Access}, volume = {12}, pages = {56141--56158}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3388494}, doi = {10.1109/ACCESS.2024.3388494}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeHLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/NguyenPLKKYPK24, author = {Kim Tien Nguyen and Bui Minh Phu and Tuan{-}Anh Le and Seok Jae Kim and Ho Young Kim and Jungwon Yoon and Jong{-}Oh Park and Jayoung Kim}, title = {Magnetic particle image scanner based on asymmetric core-filled electromagnetic actuator}, journal = {Comput. Biol. Medicine}, volume = {169}, pages = {107864}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2023.107864}, doi = {10.1016/J.COMPBIOMED.2023.107864}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/NguyenPLKKYPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLLALKMJKSKRVPSKJPCWB24, author = {Jungho Lee and Joseph G. Letner and Jongyup Lim and Gabriele Atzeni and Jiawei Liao and Abhilasha Kamboj and Bhavika Mani and Seokhyeon Jeong and Yejoong Kim and Yi Sun and Beomseo Koo and Julianna M. Richie and Elena Della Valle and Paras R. Patel and Dennis Sylvester and Hun{-}Seok Kim and Taekwang Jang and Jamie Phillips and Cynthia A. Chestek and James D. Weiland and David T. Blaauw}, title = {A Sub-mm\({}^{\mbox{3}}\) Wireless Neural Stimulator {IC} for Visual Cortical Prosthesis With Optical Power Harvesting and 7.5-kb/s Data Telemetry}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1110--1122}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3349179}, doi = {10.1109/JSSC.2023.3349179}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLLALKMJKSKRVPSKJPCWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/OhBKJKHKCKHH24, author = {Seol Whan Oh and Seok{-}Soo Byun and Jung Kwon Kim and Chang Wook Jeong and Cheol Kwak and Eu Chang Hwang and Seokho Kang and Jinsoo Chung and Yong{-}June Kim and Yun{-}Sok Ha and Sung{-}Hoo Hong}, title = {Machine learning models for predicting the onset of chronic kidney disease after surgery in patients with renal cell carcinoma}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {85}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02473-8}, doi = {10.1186/S12911-024-02473-8}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/OhBKJKHKCKHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeJKP24, author = {Min Seok Lee and Jae{-}Hyung Jung and Ye Jun Kim and Chan Gook Park}, title = {Event-and Frame-Based Visual-Inertial Odometry With Adaptive Filtering Based on 8-DOF Warping Uncertainty}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {2}, pages = {1003--1010}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3339432}, doi = {10.1109/LRA.2023.3339432}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/LeeJKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024}, url = {https://doi.org/10.1109/MRA.2024.3354524}, doi = {10.1109/MRA.2024.3354524}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MoonKEHKWKKGCYSHPMKKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJOCLMKLNSKL24, author = {Youn{-}Hee Kim and Juwon Jun and You{-}Kyung Oh and Hee{-}Ji Choi and Mi{-}Jung Lee and Kyeong{-}Sik Min and Sung{-}Hyon Kim and Hyunseung Lee and Ho{-}Seok Nam and Son Singh and Byoung{-}Joon Kim and Jaegab Lee}, title = {Assessing the Role of Yarn Placement in Plated Knit Strain Sensors: {A} Detailed Study of Their Electromechanical Properties and Applicability in Bending Cycle Monitoring}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1690}, year = {2024}, url = {https://doi.org/10.3390/s24051690}, doi = {10.3390/S24051690}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJOCLMKLNSKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLMCKCK24, author = {Mun{-}Ju Kim and Jung{-}Seok Lee and Byung Cheol Min and Jeong{-}Sik Choi and Sachin Kumar and Hyun{-}Chul Choi and Kang{-}Wook Kim}, title = {Ultra-Wideband Vertical Transition in Coplanar Stripline for Ultra-High-Speed Digital Interfaces}, journal = {Sensors}, volume = {24}, number = {10}, pages = {3233}, year = {2024}, url = {https://doi.org/10.3390/s24103233}, doi = {10.3390/S24103233}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLMCKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/KangKLLSJSP24, author = {Seokwon Kang and Jongbin Kim and Gyeongyong Lee and Jeongmyung Lee and Jiwon Seo and Hyungsoo Jung and Yong Ho Song and Yongjun Park}, title = {{ISP} Agent: {A} Generalized In-storage-processing Workload Offloading Framework by Providing Multiple Optimization Opportunities}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {11:1--11:24}, year = {2024}, url = {https://doi.org/10.1145/3632951}, doi = {10.1145/3632951}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/KangKLLSJSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YoonHLJKK024, author = {Byeongcheol Yoon and Sungwoon Hwang and Seokmin Lee and Byongchang Jeong and Jongchan Kim and Sungmin Kim and Junghyun Kim}, title = {4 MHz Electrosurgical Generator System for Wide Load Impedance Range With SiC-Based Full-Bridge Inverter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {1}, pages = {338--347}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3247795}, doi = {10.1109/TIE.2023.3247795}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YoonHLJKK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimSKKK24, author = {Jungho Kim and Jeong Won Shim and Seok Won Kang and Youngsoo Kim and Yoon Young Kim}, title = {Automatic Synthesis of 1-DOF Transformable Wheel Mechanisms}, journal = {{IEEE} Trans. Robotics}, volume = {40}, pages = {101--120}, year = {2024}, url = {https://doi.org/10.1109/TRO.2023.3328970}, doi = {10.1109/TRO.2023.3328970}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/KimSKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LeeCKKC24, author = {SeokYeong Lee and Junyong Choi and Seungryong Kim and Ig{-}Jae Kim and Junghyun Cho}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Few-Shot Neural Radiance Fields under Unconstrained Illumination}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {2938--2946}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i4.28075}, doi = {10.1609/AAAI.V38I4.28075}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LeeCKKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoePJKYHS24, author = {Kiroong Choe and Seokhyeon Park and Seokweon Jung and Hyeok Kim and Ji Won Yang and Hwajung Hong and Jinwook Seo}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Supporting Novice Researchers to Write Literature Review using Language Models}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {307:1--307:9}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3650787}, doi = {10.1145/3613905.3650787}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoePJKYHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChaJHBLHK24, author = {Ji{-}Hyun Cha and Heung{-}gyun Jeong and Seung{-}Woo Han and Seung{-}Hwa Baek and Hee{-}Boo Lee and Seok{-}Hee Hwang and Jung{-}hyun Kim}, editor = {Masaaki Kurosu and Ayako Hashizume}, title = {Development of Load Optimization and Anomaly Detection Platform Based on Air Cylinder Consumption Patterns}, booktitle = {Human-Computer Interaction - Thematic Area, {HCI} 2024, Held as Part of the 26th {HCI} International Conference, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14687}, pages = {3--14}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-60441-6\_1}, doi = {10.1007/978-3-031-60441-6\_1}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChaJHBLHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and SungHoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/JungKKK24, author = {Hyeonchul Jung and Seokjun Kang and Takgen Kim and HyeongKi Kim}, title = {ConfTrack: Kalman Filter-based Multi-Person Tracking by Utilizing Confidence Score of Detection Box}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {6569--6578}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00645}, doi = {10.1109/WACV57701.2024.00645}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/JungKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-02656, author = {SeokHyun Seo and Jinwoo Hong and Jungwoo Chae and Kyungyul Kim and Sangheum Hwang}, title = {{GTA:} Guided Transfer of Spatial Attention from Object-Centric Representations}, journal = {CoRR}, volume = {abs/2401.02656}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.02656}, doi = {10.48550/ARXIV.2401.02656}, eprinttype = {arXiv}, eprint = {2401.02656}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-02656.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-08178, author = {SeokHwan Oh and Guil Jung and Myeong{-}Gee Kim and Sang{-}Yun Kim and Young{-}Min Kim and Hyeon{-}Jik Lee and Hyuksool Kwon and Hyeon{-}Min Bae}, title = {Key-point Guided Deformable Image Manipulation Using Diffusion Model}, journal = {CoRR}, volume = {abs/2401.08178}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.08178}, doi = {10.48550/ARXIV.2401.08178}, eprinttype = {arXiv}, eprint = {2401.08178}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-08178.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-17377, author = {Donghoon Ahn and Hyoungwon Cho and Jaewon Min and Wooseok Jang and Jungwoo Kim and Seonhwa Kim and Hyun Hee Park and Kyong Hwan Jin and Seungryong Kim}, title = {Self-Rectifying Diffusion Sampling with Perturbed-Attention Guidance}, journal = {CoRR}, volume = {abs/2403.17377}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.17377}, doi = {10.48550/ARXIV.2403.17377}, eprinttype = {arXiv}, eprint = {2403.17377}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-17377.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01580, author = {Seokha Moon and Hongbeen Park and Jungphil Kwon and Jaekoo Lee and Jinkyu Kim}, title = {Learning Temporal Cues by Predicting Objects Move for Multi-camera 3D Object Detection}, journal = {CoRR}, volume = {abs/2404.01580}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01580}, doi = {10.48550/ARXIV.2404.01580}, eprinttype = {arXiv}, eprint = {2404.01580}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaKL23, author = {Seokhyeon Ha and Yeongmo Kim and Jungwoo Lee}, title = {{MINA:} Multi-Input Network Augmentation for Enhancing Tiny Deep Learning}, journal = {{IEEE} Access}, volume = {11}, pages = {106289--106298}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3319313}, doi = {10.1109/ACCESS.2023.3319313}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKJL23, author = {Donghyeon Kim and Seok{-}Chul Sean Kwon and Haejoon Jung and In{-}Ho Lee}, title = {Deep Learning-Based Resource Allocation Scheme for Heterogeneous {NOMA} Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {89423--89432}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3307407}, doi = {10.1109/ACCESS.2023.3307407}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPSK23, author = {Jungeun Kim and Jinwoo Park and Jaekwang Seok and Junyeong Kim}, title = {Dynamic Debiasing Network for Visual Commonsense Generation}, journal = {{IEEE} Access}, volume = {11}, pages = {139706--139714}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3340705}, doi = {10.1109/ACCESS.2023.3340705}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimPSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSH23, author = {Jinuk Park and Geunhwan Kim and Jongwon Seok and Jungpyo Hong}, title = {Pulsed Active Sonar Using Generalized Sinusoidal Frequency Modulation for High-Speed Underwater Target Detection and Tracking}, journal = {{IEEE} Access}, volume = {11}, pages = {143081--143091}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3344290}, doi = {10.1109/ACCESS.2023.3344290}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkKSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShahAABPHJKL23, author = {Syed Adil Ali Shah and Qurat Ul Ain and Nabeel Ahmed and Muhammad Basim and YoungGun Pu and Hyungki Huh and Yeonjae Jung and Seokkee Kim and Kang{-}Yoon Lee}, title = {A High-Efficient 95.6{\%} Rectifier With Passive {AC-DC} Voltage Quadrupler for Low-Frequency Electromagnetic Energy Harvesting System}, journal = {{IEEE} Access}, volume = {11}, pages = {64348--64357}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3289291}, doi = {10.1109/ACCESS.2023.3289291}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShahAABPHJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/KimCK23, author = {Junghoon Kim and Seokho Chi and Jinwoo Kim}, title = {3D pose estimation and localization of construction equipment from single camera images by virtual model integration}, journal = {Adv. Eng. Informatics}, volume = {57}, pages = {102092}, year = {2023}, url = {https://doi.org/10.1016/j.aei.2023.102092}, doi = {10.1016/J.AEI.2023.102092}, timestamp = {Tue, 10 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/KimCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoiPKBKJHKMHL23, author = {Seoyeon Choi and Dong Geun Park and Min Jung Kim and Seain Bang and Jungchun Kim and Seunghee Jin and Ki Seok Huh and Donghyun Kim and J{\'{e}}r{\^{o}}me Mitard and Cheol E. Han and Jae Woo Lee}, title = {Automatic Prediction of Metal-Oxide-Semiconductor Field-Effect Transistor Threshold Voltage Using Machine Learning Algorithm}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {1}, year = {2023}, url = {https://doi.org/10.1002/aisy.202370002}, doi = {10.1002/AISY.202370002}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoiPKBKJHKMHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoiPKBKJHKMHL23a, author = {Seoyeon Choi and Dong Geun Park and Min Jung Kim and Seain Bang and Jungchun Kim and Seunghee Jin and Ki Seok Huh and Donghyun Kim and J{\'{e}}r{\^{o}}me Mitard and Cheol E. Han and Jae Woo Lee}, title = {Automatic Prediction of Metal-Oxide-Semiconductor Field-Effect Transistor Threshold Voltage Using Machine Learning Algorithm}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {1}, year = {2023}, url = {https://doi.org/10.1002/aisy.202200302}, doi = {10.1002/AISY.202200302}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoiPKBKJHKMHL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/DoonyapisutKKKLC23, author = {Dulyawat Doonyapisut and Padmanathan{-}Karthick Kannan and Byeongkyu Kim and Jung Kyu Kim and Eunseok Lee and Chan{-}Hwa Chung}, title = {Analysis of Electrochemical Impedance Data: Use of Deep Neural Networks}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {8}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300085}, doi = {10.1002/AISY.202300085}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/DoonyapisutKKKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/AnHKCLMK23, author = {Nahyeon An and Seokyoung Hong and Yurim Kim and Hyungtae Cho and Jongkoo Lim and Il Moon and Junghwan Kim}, title = {Dual attention-based multi-step ahead prediction enhancement for monitoring systems in industrial processes}, journal = {Appl. Soft Comput.}, volume = {147}, pages = {110763}, year = {2023}, url = {https://doi.org/10.1016/j.asoc.2023.110763}, doi = {10.1016/J.ASOC.2023.110763}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/AnHKCLMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HanHYKK23, author = {Seokjin Han and Jinhee Hong and So Jeong Yun and Hee Jung Koo and Tae Yong Kim}, title = {{PWN:} enhanced random walk on a warped network for disease target prioritization}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {105}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05227-x}, doi = {10.1186/S12859-023-05227-X}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HanHYKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ChoKJPNIK23, author = {Songhee Cho and Taehyeong Kim and Dae{-}Hyun Jung and Soo Hyun Park and Yunseong Na and Yong Seok Ihn and KangGeon Kim}, title = {Plant growth information measurement based on object detection and image fusion using a smart farm robot}, journal = {Comput. Electron. Agric.}, volume = {207}, pages = {107703}, year = {2023}, url = {https://doi.org/10.1016/j.compag.2023.107703}, doi = {10.1016/J.COMPAG.2023.107703}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/ChoKJPNIK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/DoonyapisutKKLC23, author = {Dulyawat Doonyapisut and Byeongkyu Kim and Jung Kyu Kim and Eunseok Lee and Chan{-}Hwa Chung}, title = {Deep generative learning for exploration in large electrochemical impedance dataset}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, pages = {107027}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.107027}, doi = {10.1016/J.ENGAPPAI.2023.107027}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/DoonyapisutKKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/HongACLHMK23, author = {Seokyoung Hong and Nahyeon An and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {A Dynamic Soft Sensor Based on Hybrid Neural Networks to Improve Early Off-spec Detection}, journal = {Eng. Comput.}, volume = {39}, number = {4}, pages = {3011--3021}, year = {2023}, url = {https://doi.org/10.1007/s00366-022-01694-7}, doi = {10.1007/S00366-022-01694-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/HongACLHMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/HongACLHMK23a, author = {Seokyoung Hong and Nahyeon An and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {Correction to: {A} dynamic soft sensor based on hybrid neural networks to improve early off-spec detection}, journal = {Eng. Comput.}, volume = {39}, number = {5}, pages = {3155}, year = {2023}, url = {https://doi.org/10.1007/s00366-022-01731-5}, doi = {10.1007/S00366-022-01731-5}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/HongACLHMK23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ShahzadJK23, author = {Shahzad and Eun{-}Sung Jung and Hyung Seok Kim}, title = {{RLECN} - {A} learning based dynamic threshold control of {ECN}}, journal = {{ICT} Express}, volume = {9}, number = {6}, pages = {1007--1012}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2023.10.005}, doi = {10.1016/J.ICTE.2023.10.005}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/ShahzadJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/HongLCJK23, author = {Seokyoung Hong and Jaewon Lee and Hyungtae Cho and Kyojin Jang and Junghwan Kim}, title = {Cluster-Based Multiobjective Particle Swarm Optimization and Application for Chemical Plants}, journal = {Int. J. Intell. Syst.}, volume = {2023}, pages = {1--13}, year = {2023}, url = {https://doi.org/10.1155/2023/5275262}, doi = {10.1155/2023/5275262}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/HongLCJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/LaiMKCLKW23, author = {Pierce Lai and Abhishek Mohan and Seok Kim and Jung Soo Victor Chu and Samuel Lee and Prabhakar Kafle and Patrick Wang}, title = {Customized Information Extraction and Processing Pipeline for Commercial Invoices}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {37}, number = {9}, pages = {2354013:1--2354013:23}, year = {2023}, url = {https://doi.org/10.1142/S0218001423540137}, doi = {10.1142/S0218001423540137}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/LaiMKCLKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ChoiYKJWJ23, author = {Seokmin Choi and Junghwan Yim and Se Jun Kim and Yincheng Jin and Di Wu and Zhanpeng Jin}, title = {VibPath: Two-Factor Authentication with Your Hand's Vibration Response to Unlock Your Phone}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {3}, pages = {91:1--91:26}, year = {2023}, url = {https://doi.org/10.1145/3610894}, doi = {10.1145/3610894}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ChoiYKJWJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/YunYKLKKLJKH23, author = {Donghwan Yun and Hyun{-}Lim Yang and Soonil Kwon and So{-}Ryoung Lee and Kyungju Kim and Kwangsoo Kim and Hyung{-}Chul Lee and Chul{-}Woo Jung and Yon Su Kim and Seung Seok Han}, title = {Automatic segmentation of atrial fibrillation and flutter in single-lead electrocardiograms by self-supervised learning and Transformer architecture}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {1}, pages = {79--88}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad219}, doi = {10.1093/JAMIA/OCAD219}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/YunYKLKKLJKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/JeongHKCHK23, author = {Insoo Jeong and Jeongbin Hwang and Junghoon Kim and Seokho Chi and Bon{-}Gang Hwang and Jinwoo Kim}, title = {Vision-Based Productivity Monitoring of Tower Crane Operations during Curtain Wall Installation Using a Database-Free Approach}, journal = {J. Comput. Civ. Eng.}, volume = {37}, number = {4}, year = {2023}, url = {https://doi.org/10.1061/jccee5.cpeng-5105}, doi = {10.1061/JCCEE5.CPENG-5105}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/JeongHKCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyuokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Suhyeon Lee and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28-nm Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {12}, pages = {3408--3420}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3317433}, doi = {10.1109/JSSC.2023.3317433}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/LeeEMCKJK23, author = {Sumin Lee and Hyunjun Eun and Jinyoung Moon and Seokeon Choi and Yoonhyung Kim and Chanho Jung and Changick Kim}, title = {Learning to Discriminate Information for Online Action Detection: Analysis and Application}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {5}, pages = {5918--5934}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3204808}, doi = {10.1109/TPAMI.2022.3204808}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/LeeEMCKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangPJEMJK23, author = {Jeong{-}Eun Hwang and Jin{-}Young Park and Myoung Hoon Jung and Kunsun Eom and Hyun Seok Moon and Hyojee Joung and Yoon Jae Kim}, title = {Evaluation of a Commercial Device Based on Reflection Spectroscopy as an Alternative to Resonance Raman Spectroscopy in Measuring Skin Carotenoid Levels: Randomized Controlled Trial}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7654}, year = {2023}, url = {https://doi.org/10.3390/s23177654}, doi = {10.3390/S23177654}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HwangPJEMJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimBPHJKL23, author = {Donggyu Kim and Sung{-}June Byun and YoungGun Pu and Hyungki Huh and Yeonjae Jung and Seokkee Kim and Kang{-}Yoon Lee}, title = {Design of a Current Sensing System with {TIA} Gain of 160 dB{\(\Omega\)} and Input-Referred Noise of 1.8 pArms for Biosensor}, journal = {Sensors}, volume = {23}, number = {6}, pages = {3019}, year = {2023}, url = {https://doi.org/10.3390/s23063019}, doi = {10.3390/S23063019}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimBPHJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKHS23, author = {Yunsu Kim and Juho Kim and Jungpyo Hong and Jongwon Seok}, title = {The Tacotron-Based Signal Synthesis Method for Active Sonar}, journal = {Sensors}, volume = {23}, number = {1}, pages = {28}, year = {2023}, url = {https://doi.org/10.3390/s23010028}, doi = {10.3390/S23010028}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimRKPJHYKL23, author = {Myonggwan Kim and Reza E. Rad and Sungjin Kim and Younggun Pu and Yeonjae Jung and Hyungki Huh and Joonmo Yoo and Seokkee Kim and Kangyoon Lee}, title = {A 5.8 GHz 1.8 {V} +20 dBm 32.5{\%} {PAE} Power Amplifier for a Short-Range Over-the-Air {WPT} Application}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5279}, year = {2023}, url = {https://doi.org/10.3390/s23115279}, doi = {10.3390/S23115279}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimRKPJHYKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/KimH23, author = {Brian Tae{-}Seok Kim and Eun{-}Jung Hyun}, title = {Mapping the Landscape of Blockchain Technology Knowledge: {A} Patent Co-Citation and Semantic Similarity Approach}, journal = {Syst.}, volume = {11}, number = {3}, pages = {111}, year = {2023}, url = {https://doi.org/10.3390/systems11030111}, doi = {10.3390/SYSTEMS11030111}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/systems/KimH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/HwangLYJKKK23, author = {Sungwoon Hwang and Seokmin Lee and Byeongcheol Yoon and Byongchang Jeong and Jongchan Kim and Sungmin Kim and Jung{-}Hyun Kim}, title = {GaN-Based 4-MHz Full-Bridge Electrosurgical Generator Using Zero-Voltage Switching Over Wide Load Impedance Range}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {5}, pages = {1125--1134}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3310880}, doi = {10.1109/TBCAS.2023.3310880}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/HwangLYJKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeJJJPLKWKCWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Adam Y. Wang and Youngin Kim and Kyung{-}Sik Choi and Jing Wang and Hua Wang}, title = {A Multi-Functional {CMOS} Biosensor Array With On-Chip DEP-Assisted Sensing for Rapid Low-Concentration Analyte Detection and Close-Loop Particle Manipulation With No External Electrodes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1214--1226}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3343068}, doi = {10.1109/TBCAS.2023.3343068}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeJJJPLKWKCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/LeeKBKY23, author = {Sang{-}gil Lee and Eunji Kim and Jae Seok Bae and Jung Hoon Kim and Sungroh Yoon}, title = {Robust End-to-End Focal Liver Lesion Detection Using Unregistered Multiphase Computed Tomography Images}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {7}, number = {2}, pages = {319--329}, year = {2023}, url = {https://doi.org/10.1109/TETCI.2021.3132382}, doi = {10.1109/TETCI.2021.3132382}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/LeeKBKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LeeKL23, author = {Junghyuk Lee and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Demystifying Randomly Initialized Networks for Evaluating Generative Models}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {8482--8490}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i7.26022}, doi = {10.1609/AAAI.V37I7.26022}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LeeKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKCCKKLLOPH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created through Human-Machine Collaboration}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6692--6712}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.370}, doi = {10.18653/V1/2023.ACL-LONG.370}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKCCKKLLOPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKKH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Gunhee Kim and Jung{-}Woo Ha}, editor = {Sunayana Sitaram and Beata Beigman Klebanov and Jason D. Williams}, title = {KoSBI: {A} Dataset for Mitigating Social Bias Risks Towards Safer Large Language Model Applications}, booktitle = {Proceedings of the The 61st Annual Meeting of the Association for Computational Linguistics: Industry Track, {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {208--224}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-industry.21}, doi = {10.18653/V1/2023.ACL-INDUSTRY.21}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acpr/KimJJBPW23, author = {Ho{-}Jung Kim and Yeong{-}Eun Jeon and Won{-}Seok Jung and Dae{-}Hyun Bae and Yung{-}Il Park and Dong{-}Ok Won}, editor = {Huimin Lu and Michael Blumenstein and Sung{-}Bae Cho and Cheng{-}Lin Liu and Yasushi Yagi and Tohru Kamiya}, title = {An Automated Framework for Accurately Classifying Financial Tables in Enterprise Analysis Reports}, booktitle = {Pattern Recognition - 7th Asian Conference, {ACPR} 2023, Kitakyushu, Japan, November 5-8, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14406}, pages = {374--384}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47634-1\_28}, doi = {10.1007/978-3-031-47634-1\_28}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acpr/KimJJBPW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/GongLLJCKPK23, author = {Seokhyun Gong and Jaehyeong Lee and Chae{-}Gyu Lee and Jongpil Jeong and Wonmin Choi and Chanyoung Kim and Jungsoo Park and Jongheon Kim}, title = {{CNN-LSTM-AE} Based Predictive Maintenance Using {STFT} for Rotating Machinery}, booktitle = {8th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2023, Hochimin City, Vietnam, December 14-16, 2023}, pages = {80--85}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCD57833.2023.10466277}, doi = {10.1109/BCD57833.2023.10466277}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/GongLLJCKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/RyuKJMLCKKKCNHRJ23, author = {Seokhyun Ryu and Sohyun Kim and Jaeyung Jun and Donguk Moon and Kyungsoo Lee and Jungmin Choi and Sunwoong Kim and Hyungsoo Kim and Luke Kim and Won Ha Choi and Moohyeon Nam and Dooyoung Hwang and Hongchan Roh and Young{-}Pyo Joo}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {System Optimization of Data Analytics Platforms using Compute Express Link {(CXL)} Memory}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {9--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00011}, doi = {10.1109/BIGCOMP57234.2023.00011}, timestamp = {Mon, 27 Mar 2023 16:44:40 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/RyuKJMLCKKKCNHRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WangKWLHGJPH23, author = {Jun Wang and Seok Joo Kim and Wenxuan Wu and Jongha Lee and Henry Hinton and Rona S. Gertner and Han Sae Jung and Hongkun Park and Donhee Ham}, title = {A Cyto-silicon Hybrid System Interfacing a {CMOS} Electrode Array with Heart and Brain Cells with On-chip Closed-loop Modulation}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2023, Toronto, ON, Canada, October 19-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BioCAS58349.2023.10389071}, doi = {10.1109/BIOCAS58349.2023.10389071}, timestamp = {Thu, 08 Feb 2024 15:34:19 +0100}, biburl = {https://dblp.org/rec/conf/biocas/WangKWLHGJPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiLPJKC23, author = {Junyong Choi and SeokYeong Lee and Haesol Park and Seung{-}Won Jung and Ig{-}Jae Kim and Junghyun Cho}, title = {{MAIR:} Multi-View Attention Inverse Rendering with 3D Spatially-Varying Lighting Estimation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {8392--8401}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00811}, doi = {10.1109/CVPR52729.2023.00811}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiLPJKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HamKJM23, author = {Je{-}Seok Ham and Dae Hoe Kim and NamKyo Jung and Jinyoung Moon}, title = {{CIPF:} Crossing Intention Prediction Network based on Feature Fusion Modules for Improving Pedestrian Safety}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {3666--3675}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00374}, doi = {10.1109/CVPRW59228.2023.00374}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/HamKJM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23, author = {Yulun Zhang and Kai Zhang and Zheng Chen and Yawei Li and Radu Timofte and Junpei Zhang and Kexin Zhang and Rui Peng and Yanbiao Ma and Licheng Jia and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Yajun Qiu and Qiang Zhu and Pengfei Li and Qianhui Li and Shuyuan Zhu and Dafeng Zhang and Jia Li and Fan Wang and Chunmiao Li and TaeHyung Kim and Jungkeong Kil and Eon Kim and Yeonseung Yu and Beomyeol Lee and Subin Lee and Seokjae Lim and Somi Chae and Heungjun Choi and Zhi{-}Kai Huang and YiChung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Ui{-}Jin Choi and Marcos V. Conde and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Jiayu Wei and Yanfeng Li and Jia Sun and Zhanyi Cheng and Zhiyuan Li and Xu Yao and Xinyi Wang and Danxu Li and Xuan Cui and Jun Cao and Cheng Li and Jianbin Zheng and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 Challenge on Image Super-Resolution ({\texttimes}4): Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1865--1884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00185}, doi = {10.1109/CVPRW59228.2023.00185}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JamsrandorjNJBM23, author = {Ankhzaya Jamsrandorj and Quynh Hoang Ngan Nguyen and Dawoon Jung and Min Seok Baek and Kyung{-}Ryoul Mun and Jinwook Kim}, title = {Image-based Gait Spatiotemporal Parameters Estimation using a Single Camera and CNN-Transformer Hybrid Network}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10339950}, doi = {10.1109/EMBC40787.2023.10339950}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/JamsrandorjNJBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeeKBHSC23, author = {Janghwan Lee and Minsoo Kim and Seungcheol Baek and Seok Joong Hwang and Wonyong Sung and Jungwook Choi}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Enhancing Computation Efficiency in Large Language Models through Weight and Activation Quantization}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14726--14739}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.910}, doi = {10.18653/V1/2023.EMNLP-MAIN.910}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeeKBHSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChaJHKOHP23, author = {Ji{-}Hyun Cha and Heung{-}gyun Jeong and Seung{-}Woo Han and Dong{-}Chul Kim and Jung{-}Hun Oh and Seok{-}Hee Hwang and Byeong{-}Ju Park}, editor = {Masaaki Kurosu and Ayako Hashizume}, title = {Development of MLOps Platform Based on Power Source Analysis for Considering Manufacturing Environment Changes in Real-Time Processes}, booktitle = {Human-Computer Interaction - Thematic Area, {HCI} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14014}, pages = {224--236}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35572-1\_15}, doi = {10.1007/978-3-031-35572-1\_15}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChaJHKOHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/JangZSDPTMSCZDZ23, author = {Youngkyoon Jang and Jiali Zheng and Jifei Song and Helisa Dhamo and Eduardo P{\'{e}}rez{-}Pellitero and Thomas Tanay and Matteo Maggioni and Richard Shaw and Sibi Catley{-}Chandar and Yiren Zhou and Jiankang Deng and Ruijie Zhu and Jiahao Chang and Ziyang Song and Jiahuan Yu and Tianzhu Zhang and Khanh{-}Binh Nguyen and Joon{-}Sung Yang and Andreea Dogaru and Bernhard Egger and Heng Yu and Aarush Gupta and Joel Julin and L{\'{a}}szl{\'{o}} A. Jeni and Hyeseong Kim and Jungbin Cho and Dosik Hwang and Deukhee Lee and Doyeon Kim and Dongseong Seo and SeungJin Jeon and YoungDon Choi and Jun Seok Kang and Ahmet Cagatay Seker and Sang Chul Ahn and Ales Leonardis and Stefanos Zafeiriou}, title = {{VSCHH} 2023: {A} Benchmark for the View Synthesis Challenge of Human Heads}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1113--1120}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00120}, doi = {10.1109/ICCVW60793.2023.00120}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/JangZSDPTMSCZDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKJP23, author = {Min Seok Lee and Ye Jun Kim and Jae Hyung Jung and Chan Gook Park}, title = {Fusion of Events and Frames using 8-DOF Warping Model for Robust Feature Tracking}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {834--840}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161098}, doi = {10.1109/ICRA48891.2023.10161098}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeKJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungKKC23, author = {Soonchul Jung and Jae Woo Kim and Jin{-}Seo Kim and Yoon{-}Seok Choi}, title = {A Deep Learning Approach Based on Image Patch Sets for Art Forgery Detection}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1828--1831}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393782}, doi = {10.1109/ICTC58733.2023.10393782}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKLK23, author = {HyunYong Lee and Nac{-}Woo Kim and Jungi Lee and Seok{-}Kap Ko}, title = {SpatioTemporal Transformer-based Regressive Domain Adaptation for Remaining Useful Life Prediction}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1662--1664}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393496}, doi = {10.1109/ICTC58733.2023.10393496}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLKHK23, author = {Jungi Lee and HyunYong Lee and Nac{-}Woo Kim and Yumin Hwang and Seok{-}Kap Ko}, title = {Automated labeling method for direction detection of objects inside X-ray image}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1358--1360}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393005}, doi = {10.1109/ICTC58733.2023.10393005}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeLKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SonKJL23, author = {Kyou{-}Jung Son and Byung{-}Soo Kim and Seokhun Jeon and Jae{-}Hack Lee}, title = {Improved Classification Algorithm for Restricted Coulomb Energy-based Neural Network}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1898--1900}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393718}, doi = {10.1109/ICTC58733.2023.10393718}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SonKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeLJK23, author = {Hyo Chan Lee and Sun Lim and Il{-}Kyun Jung and Seok{-}Kyoon Kim}, title = {Optimal Adaptive Current Controller for Permanent Magnet Synchronous Motor with Performance Recovery Property}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312109}, doi = {10.1109/IECON51785.2023.10312109}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LeeLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/SeokMinWCLDCJ23, author = {Hong Seok{-}Min and Lee Won{-}Jung and Park Chan{-}Bae and Youn{-}Sik Lee and Dae{-}woo Lee and Chong{-}Eun Kim and Lee Jae{-}Bum}, title = {Resonant Capacitor Design with Small Leakage Current in Non-Isolated {SRC} for High Power Density in On-Board Charger of Electric Vehicles}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312174}, doi = {10.1109/IECON51785.2023.10312174}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/SeokMinWCLDCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-4/OhSHKKK23, author = {Kyoung{-}Whan Oh and Takashi Sasa and Seok Heo and Daejung Kim and Ouiserg Kim and Jung{-}Hyeon Kim}, editor = {Denis Cavallucci and Pavel Livotov and Stelian Brad}, title = {Reduction of Bubble-Induced Defect in Semiconductor Lithography Process}, booktitle = {Towards AI-Aided Invention and Innovation - 23rd International {TRIZ} Future Conference, {TFC} 2023, Offenburg, Germany, September 12-14, 2023, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {682}, pages = {493--503}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-42532-5\_38}, doi = {10.1007/978-3-031-42532-5\_38}, timestamp = {Thu, 31 Aug 2023 11:57:24 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-4/OhSHKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/OhKKJKB23, author = {SeokHwan Oh and Myeong{-}Gee Kim and Young{-}Min Kim and Guil Jung and Hyuksool Kwon and Hyeon{-}Min Bae}, title = {Spatio-Temporal Quantitative Ultrasound Imaging for Breast Cancer Identification}, booktitle = {20th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2023, Cartagena, Colombia, April 18-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISBI53787.2023.10230332}, doi = {10.1109/ISBI53787.2023.10230332}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isbi/OhKKJKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SonJLK23, author = {Kyou{-}Jung Son and Seokhun Jeon and Jae{-}Hack Lee and Byung{-}Soo Kim}, title = {Distance Searching-based Hyperparameter Optimization for Restricted Coulomb Energy-based Neural Network}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {323--324}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396476}, doi = {10.1109/ISOCC59558.2023.10396476}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SonJLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SongLSKKPHJJSC23, author = {Jeongeun Song and Sunyoung Lee and Minseok Shin and Ohjun Kwon and Hansang Kim and Yujin Park and Gyubeom Hwang and Hyekyoung Jung and Hoesam Jeong and Changrock Song and Woo{-}Seok Choi}, title = {A Pixel Driver Design Technique to Obtain a High-Quality Depth Map in Indirect Time-of-Flight Sensors}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {31--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396430}, doi = {10.1109/ISOCC59558.2023.10396430}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SongLSKKPHJJSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyeokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Chiyoung Ahn and Hoon Kang and Sukjin Jung and Hyukjun Sung and Yeongdae Kim and Donghan Kim and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant 6.5-to-8GHz {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28nm Process}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {462--463}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067364}, doi = {10.1109/ISSCC42615.2023.10067364}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJJJPLKKWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Youngin Kim and Jing Wang and Hua Wang}, title = {A {CMOS} Multi-Functional Biosensor Array for Rapid Low-Concentration Analyte Detection with On-Chip DEP-Assisted Active Enrichment and Manipulation with No External Electrodes}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {316--317}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067525}, doi = {10.1109/ISSCC42615.2023.10067525}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJJJPLKKWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KooLKS23, author = {JaHyung Koo and Sun Jung Lee and Yun Kwan Kim and Hee Seok Song}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Ensemble Learning Method for In-Hospital Cardiac Arrest Prediction}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {1462--1463}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231245}, doi = {10.3233/SHTI231245}, timestamp = {Thu, 08 Feb 2024 17:16:19 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KooLKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metacom/ParkSK23, author = {Jung Suk Park and Bong{-}Seok Seo and Dong Ho Kim}, title = {Mesh Deformation Scheme for High Quality 3D Model Reconstruction}, booktitle = {{IEEE} International Conference on Metaverse Computing, Networking and Applications, MetaCom 2023, Kyoto, Japan, June 26-28, 2023}, pages = {728--730}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MetaCom57706.2023.00137}, doi = {10.1109/METACOM57706.2023.00137}, timestamp = {Thu, 21 Mar 2024 17:12:49 +0100}, biburl = {https://dblp.org/rec/conf/metacom/ParkSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/KimLOYC23, author = {Jang{-}Yeol Kim and Hyun Joon Lee and Jung{-}Hoon Oh and Kye{-}Seok Yoon and In{-}Kui Cho}, title = {Magnetic Induction-Based Test-Bed System for Magnetic Communication in Underground Mines}, booktitle = {20th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2023, Madrid, Spain, September 11-14, 2023}, pages = {388--389}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SECON58729.2023.10287415}, doi = {10.1109/SECON58729.2023.10287415}, timestamp = {Mon, 06 Nov 2023 17:21:38 +0100}, biburl = {https://dblp.org/rec/conf/secon/KimLOYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/LeeOKYC23, author = {Hyun Joon Lee and Jung{-}Hoon Oh and Jang{-}Yeol Kim and Kye{-}Seok Yoon and In{-}Kui Cho}, title = {Receiving resonant phase-modulated signals using atomic magnetometer for magnetic communications}, booktitle = {20th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2023, Madrid, Spain, September 11-14, 2023}, pages = {386--387}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SECON58729.2023.10287458}, doi = {10.1109/SECON58729.2023.10287458}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/secon/LeeOKYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socrob/SeokJCKL23, author = {Sukyung Seok and Tae{-}Hee Jeon and Yu{-}Jung Chae and ChangHwan Kim and Yoonseob Lim}, editor = {Abdulaziz Al Ali and John{-}John Cabibihan and Nader Meskin and Silvia Rossi and Wanyue Jiang and Hongsheng He and Shuzhi Sam Ge}, title = {Explorative Study on the Non-verbal Backchannel Prediction Model for Human-Robot Interaction}, booktitle = {Social Robotics - 15th International Conference, {ICSR} 2023, Doha, Qatar, December 3-7, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14453}, pages = {264--275}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8715-3\_23}, doi = {10.1007/978-981-99-8715-3\_23}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socrob/SeokJCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongLMMLKMCSKC23, author = {Jaehun Jeong and Sanghyeon Lee and Sada{-}Aki Masuoka and Shincheol Min and Sanghoon Lee and Seungkwon Kim and Taehun Myung and Byungha Choi and Chang{-}Woo Sohn and Sung Won Kim and Jeongmin Choi and Jungmin Park and Hyungjong Lee and Taeyoung Kim and Seokhoon Kim and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {World's First {GAA} 3nm Foundry platform Technology {(SF3)} with Novel Multi-Bridge-Channel-FET (MBCFET{\texttrademark}) Process}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185353}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185353}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongLMMLKMCSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKKCPLSYPKLSKL23, author = {Youngmin Jo and Anil Kavala and Tongsung Kim and Byung{-}Kwan Chun and Jungjune Park and Taesung Lee and Jungmin Seo and Manjae Yang and Taehyeon Park and Hyunjin Kwon and Cheolhui Lee and Younghoon Son and Junghwan Kwak and Younggyu Lee and Hwan{-}Seok Ku and Dae{-}Hoon Na and Changyeon Yu and Jonghoon Park and Jae{-}Hwan Kim and Hyojin Kwon and Chan{-}ho Kim and Moon{-}Ki Jung and Chanjin Park and Donghyun Seo and Moosung Kim and Seungjae Lee and Jin{-}Yub Lee and Dongku Kang and Chiweon Yoon and SungHoi Hur}, title = {A 3.0 Gb/s/pin 4\({}^{\mbox{th}}\) generation F-chip with Toggle 5.0 Specification for 16Tb {NAND} Flash Memory Multi chip Package}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185391}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185391}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKKCPLSYPKLSKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeLLSJKKALRVPJ23, author = {Jungho Lee and Joseph G. Letner and Jongyup Lim and Yi Sun and Seokhyeon Jeong and Yejoong Kim and Beomseo Koo and Gabriele Atzeni and Jiawei Liao and Julianna M. Richie and Elena Della Valle and Paras R. Patel and Taekwang Jang and Cynthia A. Chestek and Jamie Phillips and James D. Weiland and Dennis Sylvester and Hun{-}Seok Kim and David T. Blaauw}, title = {A Wireless Neural Stimulator {IC} for Cortical Visual Prosthesis}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185375}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185375}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeeLLSJKKALRVPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-11728, author = {SeokYeong Lee and Junyong Choi and Seungryong Kim and Ig{-}Jae Kim and Junghyun Cho}, title = {ExtremeNeRF: Few-shot Neural Radiance Fields Under Unconstrained Illumination}, journal = {CoRR}, volume = {abs/2303.11728}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.11728}, doi = {10.48550/ARXIV.2303.11728}, eprinttype = {arXiv}, eprint = {2303.11728}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-11728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-12368, author = {Junyong Choi and SeokYeong Lee and Haesol Park and Seung{-}Won Jung and Ig{-}Jae Kim and Junghyun Cho}, title = {{MAIR:} Multi-view Attention Inverse Rendering with 3D Spatially-Varying Lighting Estimation}, journal = {CoRR}, volume = {abs/2303.12368}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.12368}, doi = {10.48550/ARXIV.2303.12368}, eprinttype = {arXiv}, eprint = {2303.12368}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-12368.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-08878, author = {Seungyub Han and Yeongmo Kim and Seokhyeon Ha and Jungwoo Lee and Seunghong Choi}, title = {Learning to Learn Unlearned Feature for Brain Tumor Segmentation}, journal = {CoRR}, volume = {abs/2305.08878}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.08878}, doi = {10.48550/ARXIV.2305.08878}, eprinttype = {arXiv}, eprint = {2305.08878}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-08878.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17696, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created Through Human-Machine Collaboration}, journal = {CoRR}, volume = {abs/2305.17696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17696}, doi = {10.48550/ARXIV.2305.17696}, eprinttype = {arXiv}, eprint = {2305.17696}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17701, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Gunhee Kim and Jung{-}Woo Ha}, title = {KoSBi: {A} Dataset for Mitigating Social Bias Risks Towards Safer Large Language Model Application}, journal = {CoRR}, volume = {abs/2305.17701}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17701}, doi = {10.48550/ARXIV.2305.17701}, eprinttype = {arXiv}, eprint = {2305.17701}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-11031, author = {Gyuseong Lee and Wooseok Jang and Jin Hyeon Kim and Jaewoo Jung and Seungryong Kim}, title = {Domain Generalization Using Large Pretrained Models with Mixture-of-Adapters}, journal = {CoRR}, volume = {abs/2310.11031}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.11031}, doi = {10.48550/ARXIV.2310.11031}, eprinttype = {arXiv}, eprint = {2310.11031}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-11031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-03383, author = {Tuyen Pham Le and Hieu T. Nguyen and Seungyeol Baek and Taeyoun Kim and Jungwoo Lee and Seongjung Kim and Hyunjin Kim and Misu Jung and Daehoon Kim and Seokyong Lee and Daewoo Choi}, title = {Toward Reinforcement Learning-based Rectilinear Macro Placement Under Human Constraints}, journal = {CoRR}, volume = {abs/2311.03383}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.03383}, doi = {10.48550/ARXIV.2311.03383}, eprinttype = {arXiv}, eprint = {2311.03383}, timestamp = {Mon, 25 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-03383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05161, author = {Janghwan Lee and Minsoo Kim and Seungcheol Baek and Seok Joong Hwang and Wonyong Sung and Jungwook Choi}, title = {Enhancing Computation Efficiency in Large Language Models through Weight and Activation Quantization}, journal = {CoRR}, volume = {abs/2311.05161}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05161}, doi = {10.48550/ARXIV.2311.05161}, eprinttype = {arXiv}, eprint = {2311.05161}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05161.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungJLK22, author = {Hyun Jin Jung and Ui Seok Jung and Byung Chul Lee and Soo Jin Kim}, title = {Monolithically Fabricated Waveguide for Efficient Guiding and Emission of Visible Light for IoT Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {133461--133467}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3231457}, doi = {10.1109/ACCESS.2022.3231457}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangHHKH22, author = {Mingu Kang and Sangmin Hyun and Tae Hee Han and Jungrae Kim and Seokin Hong}, title = {On-the-Fly Lowering Engine: Offloading Data Layout Conversion for Convolutional Neural Networks}, journal = {{IEEE} Access}, volume = {10}, pages = {79730--79746}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3192618}, doi = {10.1109/ACCESS.2022.3192618}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangHHKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimOY22, author = {Minwoo Kim and Il{-}Seok Oh and Sun{-}Jung Yoon}, title = {Deep Learning and Computer Vision Techniques for Automated Total Hip Arthroplasty Planning on 2-D Radiographs}, journal = {{IEEE} Access}, volume = {10}, pages = {94145--94157}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3204147}, doi = {10.1109/ACCESS.2022.3204147}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimOY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KumarRKAPJHKYKL22, author = {Pervesh Kumar and Muhammad Riaz ur Rehman and Danial Khan and Imran Ali and YoungGun Pu and Yeonjae Jung and Hyungki Huh and Seokkee Kim and Joon{-}Mo Yoo and Joon Tae Kim and Kang{-}Yoon Lee}, title = {A Design of Peak to Average Power Ratio Based {SWIPT} System in 180 nm {CMOS} Process for IoT Sensor Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {42897--42907}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168779}, doi = {10.1109/ACCESS.2022.3168779}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KumarRKAPJHKYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ShinCLPPKHOHKY22, author = {Hyun Kil Shin and Hang{-}Suk Chun and Sangwoo Lee and Se{-}Myo Park and Daeui Park and Myung{-}Gyun Kang and Sungbo Hwang and Jung{-}Hwa Oh and Hyoung{-}Yun Han and Woo{-}Keun Kim and Seokjoo Yoon}, title = {ToxSTAR: drug-induced liver injury prediction tool for the web environment}, journal = {Bioinform.}, volume = {38}, number = {18}, pages = {4426--4427}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac490}, doi = {10.1093/BIOINFORMATICS/BTAC490}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ShinCLPPKHOHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ChoiAHCLHMK22, author = {Yeongryeol Choi and Nahyeon An and Seokyoung Hong and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {Time-series clustering approach for training data selection of a data-driven predictive model: Application to an industrial bio 2, 3-butanediol distillation process}, journal = {Comput. Chem. Eng.}, volume = {161}, pages = {107758}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2022.107758}, doi = {10.1016/J.COMPCHEMENG.2022.107758}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/ChoiAHCLHMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/KimKLTY22, author = {Hyun{-}Jung Kim and Eun{-}Seok Kim and Jun{-}Ho Lee and Lixin Tang and Yang Yang}, title = {Single-machine scheduling with energy generation and storage systems}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {23}, pages = {7033--7052}, year = {2022}, url = {https://doi.org/10.1080/00207543.2021.2000655}, doi = {10.1080/00207543.2021.2000655}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/KimKLTY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SongKKLOLJHLYCC22, author = {Sang Hun Song and Hwanik Kim and Jung Kwon Kim and Hakmin Lee and Jong Jin Oh and Sang{-}Chul Lee and Seong Jin Jeong and Sung Kyu Hong and Junghoon Lee and Sangjun Yoo and Min{-}Soo Choo and Min Chul Cho and Hwancheol Son and Hyeon Jeong and Jungyo Suh and Seok{-}Soo Byun}, title = {A smart, practical, deep learning-based clinical decision support tool for patients in the prostate-specific antigen gray zone: model development and validation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {11}, pages = {1949--1957}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac141}, doi = {10.1093/JAMIA/OCAC141}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SongKKLOLJHLYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LimKSYBKPJ22, author = {Jungeun Lim and Kidong Kim and Minseok Song and Sooyoung Yoo and Hyunyoung Baek and Seok Kim and Somin Park and Woo{-}Jin Jeong}, title = {Assessment of the feasibility of developing a clinical pathway using a clinical order log}, journal = {J. Biomed. Informatics}, volume = {128}, pages = {104038}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.104038}, doi = {10.1016/J.JBI.2022.104038}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LimKSYBKPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/LeeHWLKKPJ22, author = {Sunho Lee and Seokchol Hong and Jonathan Woo and Jae{-}hak Lee and Kyunghee Kim and Lucia Kim and Kunsoo Park and Jongsun Jung}, title = {RDscan: {A} New Method for Improving Germline and Somatic Variant Calling Based on Read Depth Distribution}, journal = {J. Comput. Biol.}, volume = {29}, number = {9}, pages = {987--1000}, year = {2022}, url = {https://doi.org/10.1089/cmb.2021.0269}, doi = {10.1089/CMB.2021.0269}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/LeeHWLKKPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/KimKL22, author = {Hyun{-}Jung Kim and Eun{-}Seok Kim and Jun{-}Ho Lee}, title = {Scheduling of step-improving jobs with an identical improving rate}, journal = {J. Oper. Res. Soc.}, volume = {73}, number = {5}, pages = {1127--1136}, year = {2022}, url = {https://doi.org/10.1080/01605682.2021.1886616}, doi = {10.1080/01605682.2021.1886616}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jors/KimKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimJKKCJ22, author = {Hyojun Kim and Woosong Jung and Kwandong Kim and Sungwoo Kim and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A Low-Jitter 8-GHz RO-Based {ADPLL} With PVT-Robust Replica-Based Analog Closed Loop for Supply Noise Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1712--1722}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3148174}, doi = {10.1109/JSSC.2022.3148174}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimJKKCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLMBALCNPSPKC22, author = {Jongyup Lim and Jungho Lee and Eunseong Moon and Michael Barrow and Gabriele Atzeni and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Yi Sun and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Dennis Sylvester and Taekwang Jang}, title = {A Light-Tolerant Wireless Neural Recording {IC} for Motor Prediction With Near-Infrared-Based Power and Data Telemetry}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1061--1074}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3141688}, doi = {10.1109/JSSC.2022.3141688}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLMBALCNPSPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKLJBKKMY22, author = {Hyun{-}Chul Park and Seokhyeon Kim and Jooseok Lee and Junho Jung and Seungjae Baek and Taewan Kim and Daehyun Kang and Donggyu Minn and Sung{-}Gi Yang}, title = {Single Transformer-Based Compact Doherty Power Amplifiers for 5G {RF} Phased-Array ICs}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {5}, pages = {1267--1279}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3148044}, doi = {10.1109/JSSC.2022.3148044}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkKLJBKKMY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimBKJKHKCKHH22, author = {Hyung Min Kim and Seok{-}Soo Byun and Jung Kwon Kim and Chang Wook Jeong and Cheol Kwak and Eu Chang Hwang and Seokho Kang and Jinsoo Chung and Yong{-}June Kim and Yun{-}Sok Ha and Sung{-}Hoo Hong}, title = {Machine learning-based prediction model for late recurrence after surgery in patients with renal cell carcinoma}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {241}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01964-w}, doi = {10.1186/S12911-022-01964-W}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimBKJKHKCKHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/YooLJLLKLH22, author = {Sooyoung Yoo and Kahyun Lim and Seyoung Jung and Kee{-}Hyuck Lee and Donghyun Lee and Seok Kim and Ho{-}Young Lee and Hee Hwang}, title = {Examining the adoption and implementation of behavioral electronic health records by healthcare professionals based on the clinical adoption framework}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {210}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01959-7}, doi = {10.1186/S12911-022-01959-7}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/YooLJLLKLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ByunKPCKAKYHJKP22, author = {Sung{-}June Byun and Dong{-}Gyun Kim and Kyung{-}Do Park and Yeun{-}Jin Choi and Pervesh Kumar and Imran Ali and Dong{-}Gyu Kim and June{-}Mo Yoo and Hyung{-}Ki Huh and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {A Low-Power Analog Processor-in-Memory-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4555}, year = {2022}, url = {https://doi.org/10.3390/s22124555}, doi = {10.3390/S22124555}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ByunKPCKAKYHJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoyJYYHLK22, author = {Ji{-}Yeon Choy and Eun{-}Bee Jo and Chang{-}Joo Yim and Hae{-}Kyung Youi and Jung{-}Hoon Hwang and Jun{-}Ho Lee and Hyun{-}Seok Kim}, title = {Improvement in Strain Sensor Stability by Adapting the Metal Contact Layer}, journal = {Sensors}, volume = {22}, number = {2}, pages = {630}, year = {2022}, url = {https://doi.org/10.3390/s22020630}, doi = {10.3390/S22020630}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoyJYYHLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GarifullaSKKKKH22, author = {Mukhammed Garifulla and Juncheol Shin and Chanho Kim and Won Hwa Kim and Hye Jung Kim and Jaeil Kim and Seokin Hong}, title = {A Case Study of Quantizing Convolutional Neural Networks for Fast Disease Diagnosis on Portable Medical Devices}, journal = {Sensors}, volume = {22}, number = {1}, pages = {219}, year = {2022}, url = {https://doi.org/10.3390/s22010219}, doi = {10.3390/S22010219}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/GarifullaSKKKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HejaziRACYHKJL22, author = {Arash Hejazi and Reza E. Rad and Seyed Ali Hosseini Asl and Kyung{-}Duk Choi and Joon{-}Mo Yoo and Hyungki Huh and Seokkee Kim and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A High-Power 3P3T Cross Antenna Switch with Low Harmonic Distortion and Enhanced Isolation Using T-Type Pull-Down Path for Cellular Mobile Devices}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5461}, year = {2022}, url = {https://doi.org/10.3390/s22145461}, doi = {10.3390/S22145461}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HejaziRACYHKJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarYAPHYJHKYL22, author = {Pervesh Kumar and Huo Yingge and Imran Ali and YoungGun Pu and Keum{-}Cheol Hwang and Youngoo Yang and Yeon{-}Jae Jung and Hyung{-}Ki Huh and Seok{-}Kee Kim and Joon{-}Mo Yoo and Kang{-}Yoon Lee}, title = {A Configurable and Fully Synthesizable RTL-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2459}, year = {2022}, url = {https://doi.org/10.3390/s22072459}, doi = {10.3390/S22072459}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KumarYAPHYJHKYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonLKPJKKK22, author = {Seokhun Kwon and Seokwon Lee and Joouk Kim and Chulmin Park and Hosung Jung and Hyungchul Kim and Chulsoo Kim and Hyunil Kang}, title = {Effect of GNWs/NiO-WO3/GNWs Heterostructure for {NO2} Gas Sensing at Room Temperature}, journal = {Sensors}, volume = {22}, number = {2}, pages = {626}, year = {2022}, url = {https://doi.org/10.3390/s22020626}, doi = {10.3390/S22020626}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KwonLKPJKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonSKH22, author = {Seok{-}Jin Kwon and Jung{-}Won Seo and Min{-}Soo Kim and Young{-}Sam Ham}, title = {Applicability Evaluation of Surface and Sub-Surface Defects for Railway Wheel Material Using Induced Alternating Current Potential Drops}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9981}, year = {2022}, url = {https://doi.org/10.3390/s22249981}, doi = {10.3390/S22249981}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KwonSKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MinLLNCK22, author = {Byung Cheol Min and Gwan Hui Lee and Jung Seok Lee and Syifa Haunan Nashuha and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Ultra-Wideband Differential Line-to-Balanced Line Transitions for Super-High-Speed Digital Transmission}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6873}, year = {2022}, url = {https://doi.org/10.3390/s22186873}, doi = {10.3390/S22186873}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MinLLNCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonJSLKWLKKLK22, author = {Hi Gyu Moon and Youngmo Jung and Beomju Shin and Donggeun Lee and Kayoung Kim and Deok Ha Woo and Seok Lee and Sooyeon Kim and Chong{-}Yun Kang and Taikjin Lee and Chulki Kim}, title = {Identification of Chemical Vapor Mixture Assisted by Artificially Extended Database for Environmental Monitoring}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1169}, year = {2022}, url = {https://doi.org/10.3390/s22031169}, doi = {10.3390/S22031169}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonJSLKWLKKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadCKPJHYKL22, author = {Reza E. Rad and Kyung{-}Duk Choi and Sung{-}Jin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyung{-}Ki Huh and Joon{-}Mo Yoo and Seok{-}Kee Kim and Kang{-}Yoon Lee}, title = {A 0.617-2.7 GHz Highly Linear High-Power Dual Port 15 Throws Antenna Switch Module {(DP15T-ASM)} with Branched-Antenna Technique and Termination Mode}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2276}, year = {2022}, url = {https://doi.org/10.3390/s22062276}, doi = {10.3390/S22062276}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadCKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadKPJHYKL22, author = {Reza E. Rad and Sungjin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyungki Huh and Joon{-}Mo Yoo and Seokkee Kim and Kang{-}Yoon Lee}, title = {A Dual-Band 47-dB Dynamic Range 0.5-dB/Step {DPA} with Dual-Path Power-Combining Structure for NB-IoT}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3493}, year = {2022}, url = {https://doi.org/10.3390/s22093493}, doi = {10.3390/S22093493}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCHYPKHJL22, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Arash Hejazi and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {{T/R} {RF} Switch with 150 ns Switching Time and over 100 dBc {IMD} for Wideband Mobile Applications in Thick Oxide {SOI} Process}, journal = {Sensors}, volume = {22}, number = {2}, pages = {507}, year = {2022}, url = {https://doi.org/10.3390/s22020507}, doi = {10.3390/S22020507}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCHYPKHJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WooSKPHB22, author = {Hyun{-}Jung Woo and Dongmin Seo and Min{-}Seok Kim and Min{-}San Park and Won{-}Hwa Hong and Seung{-}Chan Baek}, title = {Localization of Cracks in Concrete Structures Using an Unmanned Aerial Vehicle}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6711}, year = {2022}, url = {https://doi.org/10.3390/s22176711}, doi = {10.3390/S22176711}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WooSKPHB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YimCYHJLK22, author = {Chang{-}Joo Yim and Ji{-}Yeon Choy and Hae{-}Kyung Youi and Jung{-}Hoon Hwang and Eun{-}Bee Jo and Jun{-}Ho Lee and Hyun{-}Seok Kim}, title = {Dilute Polymerization of Aniline on {PDMS} Substrate via Surface Modification Using (3-Aminopropyl)Triethoxysilane for Stretchable Strain Sensor}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2741}, year = {2022}, url = {https://doi.org/10.3390/s22072741}, doi = {10.3390/S22072741}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YimCYHJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimJYJHKL22, author = {Dong Gyu Kim and Ree Jin Joe and Joon{-}Mo Yoo and Yeon Jae Jung and Hyung Ki Huh and Seok Kee Kim and Kang{-}Yoon Lee}, title = {Self-Calibrated Digital Two-Point Modulator for {BLE} {RF} Transmitter}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {12}, pages = {4739--4743}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3184673}, doi = {10.1109/TCSII.2022.3184673}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/KimJYJHKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/YunLJKBKLKCJ22, author = {Daeho Yun and Eonhui Lee and Woosong Jung and Kahyun Kim and Kyung{-}Min Beak and Jihee Kim and Hyun Bae Lee and Byeongseon Ko and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 32-Gb/s PAM4-Binary Bridge With Sampler Offset Cancellation for Memory Testing}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {9}, pages = {3749--3753}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3170887}, doi = {10.1109/TCSII.2022.3170887}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/YunLJKBKLKCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimK22, author = {Jun{-}Seok Kim and Jung{-}Min Kwon}, title = {Single-Stage Isolated {AC-AC} Converter Without Commutation Problem}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {5}, pages = {4665--4675}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3084162}, doi = {10.1109/TIE.2021.3084162}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/KimK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/KimCHKMKNOPBC22, author = {Won{-}Hyoung Kim and Seo{-}Eun Cho and Jin Pyo Hong and Hyeyoung Kim and Seri Maeng and Jae Myeong Kang and Kyoung{-}Sae Na and Seok Hee Oh and Jung Woon Park and Jae Nam Bae and Seong{-}Jin Cho}, title = {Effectiveness of virtual reality exposure treatment for posttraumatic stress disorder due to motor vehicle or industrial accidents}, journal = {Virtual Real.}, volume = {26}, number = {4}, pages = {1539--1549}, year = {2022}, url = {https://doi.org/10.1007/s10055-022-00623-9}, doi = {10.1007/S10055-022-00623-9}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/KimCHKMKNOPBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/LeeKKJLK22, author = {Jeahack Lee and Hyeonseong Kim and Byung{-}Soo Kim and Seokhun Jeon and Jung Chul Lee and Dong{-}Sun Kim}, title = {Implementing Binarized Neural Network Processor on FPGA-Based Platform}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {469--471}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869997}, doi = {10.1109/AICAS54282.2022.9869997}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aicas/LeeKKJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/ParkLKJJBS22, author = {Sebeom Park and Soohyun Lee and Youngtaek Kim and Hyeon Jeon and Seokweon Jung and Jinwook Bok and Jinwook Seo}, title = {{VANT:} {A} Visual Analytics System for Refining Parallel Corpora in Neural Machine Translation}, booktitle = {15th {IEEE} Pacific Visualization Symposium, PacificVis 2022, Tsukuba, Japan, April 11-14, 2022}, pages = {181--185}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PacificVis53943.2022.00029}, doi = {10.1109/PACIFICVIS53943.2022.00029}, timestamp = {Mon, 13 Jun 2022 16:53:35 +0200}, biburl = {https://dblp.org/rec/conf/apvis/ParkLKJJBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/JeCKS22, author = {Mikyung Je and Myeongji Cho and Yoon Jung Kim and Hyeon S. Son}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Exploring the mutation tendency of a specific region in the virus taxonomic groups using data mining techniques}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6663--6665}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020319}, doi = {10.1109/BIGDATA55660.2022.10020319}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/JeCKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/JungKCJK22, author = {Soonchul Jung and Jae Woo Kim and Yoon{-}Seok Choi and Hyeong{-}Ju Jeon and Jin{-}Seo Kim}, title = {Synthesizing a Reference Image from the Macro Images of a Painting for Vignetting Correction}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, pages = {1563--1565}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCI58124.2022.00279}, doi = {10.1109/CSCI58124.2022.00279}, timestamp = {Mon, 22 Apr 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/csci/JungKCJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/AhnCLGKJRPMK22, author = {Minseon Ahn and Andrew Chang and Donghun Lee and Jongmin Gim and Jungmin Kim and Jaemin Jung and Oliver Rebholz and Vincent Pham and Krishna T. Malladi and Yang{-}Seok Ki}, editor = {Spyros Blanas and Norman May}, title = {Enabling {CXL} Memory Expansion for In-Memory Database Management Systems}, booktitle = {International Conference on Management of Data, DaMoN 2022, Philadelphia, PA, USA, 13 June 2022}, pages = {8:1--8:5}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3533737.3535090}, doi = {10.1145/3533737.3535090}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/damon/AhnCLGKJRPMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamMYKKL22, author = {Dongyun Kam and Jung Gyu Min and Jongho Yoon and Sunmean Kim and Seokhyeong Kang and Youngjoo Lee}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Design and Evaluation Frameworks for Advanced RISC-based Ternary Processor}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1077--1082}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774584}, doi = {10.23919/DATE54114.2022.9774584}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/KamMYKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimJRRY22, author = {Pansoo Kim and Sooyeob Jung and Joon{-}Gyu Ryu and Kyoungpil Ra and Seokhyun Yoon}, title = {A Study on the development of a modem verification environment for 3GPP {NR} {NTN}}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748763}, doi = {10.1109/ICEIC54506.2022.9748763}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KimJRRY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/OhJLK22, author = {Seung{-}Hee Oh and Woo{-}Sug Jung and Yong{-}Tae Lee and Kyung{-}Seok Kim}, title = {Disaster Warning and Alerting Integrated Systems Based on {CAP} profile}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {155--159}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728886}, doi = {10.23919/ICACT53585.2022.9728886}, timestamp = {Fri, 18 Mar 2022 11:45:43 +0100}, biburl = {https://dblp.org/rec/conf/icact/OhJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKJJK22, author = {Yoon{-}Seok Choi and Jae Woo Kim and Soonchul Jung and Hyeong{-}Ju Jeon and Jin{-}Seo Kim}, title = {Efficient Analytical Data Processing and Visualization Methods for Supporting Scientific Connoisseurship on Oil Paintings}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2373--2376}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952845}, doi = {10.1109/ICTC55196.2022.9952845}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKJJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeSYKJ022, author = {Haemin Lee and Seok Bin Son and Won Joon Yun and Joongheon Kim and Soyi Jung and Dong Hwa Kim}, title = {Spatio-Temporal Attack Course-of-Action {(COA)} Search Learning for Scalable and Time-Varying Networks}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1581--1584}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952999}, doi = {10.1109/ICTC55196.2022.9952999}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeSYKJ022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SonPLKJ022, author = {Seok Bin Son and Soohyun Park and Haemin Lee and Joongheon Kim and Soyi Jung and Dong Hwa Kim}, title = {Tutorial on Course-of-Action {(COA)} Attack Search Methods in Computer Networks}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1972--1975}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952533}, doi = {10.1109/ICTC55196.2022.9952533}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SonPLKJ022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/KimCMC22, author = {Jungyeon Kim and Sehwan Chung and Seonghyeon Moon and Seokho Chi}, title = {Feasibility Study of a BERT-based Question Answering Chatbot for Information Retrieval from Construction Specifications}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2022, Kuala Lumpur, Malaysia, December 7-10, 2022}, pages = {970--974}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEM55944.2022.9989625}, doi = {10.1109/IEEM55944.2022.9989625}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/KimCMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SeokSLK22, author = {Hyelin Seok and Hyoju Seo and Jungwon Lee and Yongtae Kim}, title = {A Novel Efficient Approximate Adder Design using Single Input Pair based Computation}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {57--58}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031341}, doi = {10.1109/ISOCC56007.2022.10031341}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SeokSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOJSOJ22, author = {Hyojun Kim and Hyeong{-}Seok Oh and Woosong Jung and Yoonho Song and Jonghyun Oh and Deog{-}Kyoon Jeong}, title = {A 100MHz-Reference, 8GHz/16GHz, 177fsrms/223fsrms RO-Based {IL-ADPLL} Incorporating Reference Octupler with Probability-Based Fast Phase-Error Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731714}, doi = {10.1109/ISSCC42614.2022.9731714}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOJSOJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/OhKKJKB22, author = {SeokHwan Oh and Myeong{-}Gee Kim and Youngmin Kim and Guil Jung and Hyuksool Kwon and Hyeon{-}Min Bae}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Sensor Geometry Generalization to Untrained Conditions in Quantitative Ultrasound Imaging}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {13436}, pages = {780--789}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16446-0\_74}, doi = {10.1007/978-3-031-16446-0\_74}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/OhKKJKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/LeeJSCLLKM22, author = {Eungchang Mason Lee and Sungwook Jung and Seungwon Song and Duckyu Choi and Dongkyu Lee and Seunghyun Lee and Seoktae Kim and Hyun Myung}, editor = {Jun Jo and Han{-}Lim Choi and Mard{\'{e}} Helbig and Hyondong Oh and Jemin Hwangbo and Chang{-}Hun Lee and Bela Stantic}, title = {{CEO-MLCPP:} Control-Efficient and Obstacle-Aware Multi-Layer Coverage Path Planner for 3D Reconstruction with UAVs}, booktitle = {Robot Intelligence Technology and Applications 7 - Results from the 10th International Conference on Robot Intelligence Technology and Applications, RiTA 2022, Daejeon, South Korea, 7-9 December, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {642}, pages = {27--36}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26889-2\_3}, doi = {10.1007/978-3-031-26889-2\_3}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rita/LeeJSCLLKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/ParkJPKSLHLJHK22, author = {Jinhwan Park and Sichen Jin and Junmo Park and Sungsoo Kim and Dhairya Sandhyana and Changheon Lee and Myoungji Han and Jungin Lee and Seokyeong Jung and Changwoo Han and Chanwoo Kim}, title = {Conformer-Based on-Device Streaming Speech Recognition with {KD} Compression and Two-Pass Architecture}, booktitle = {{IEEE} Spoken Language Technology Workshop, {SLT} 2022, Doha, Qatar, January 9-12, 2023}, pages = {92--99}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SLT54892.2023.10023291}, doi = {10.1109/SLT54892.2023.10023291}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slt/ParkJPKSLHLJHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/AtzeniLLNLMBLCN22, author = {Gabriele Atzeni and Jongyup Lim and Jiawei Liao and Alessandro Novello and Jungho Lee and Eunseong Moon and Michael Barrow and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Taekwang Jang}, title = {A 260{\texttimes}274 {\(\mu\)}m\({}^{\mbox{2}}\) 572 nW Neural Recording Micromote Using Near-Infrared Power Transfer and an {RF} Data Uplink}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {64--65}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830516}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830516}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/AtzeniLLNLMBLCN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/ChaeKJJJCKKLLH22, author = {Joohwan Chae and Donghan Kim and Woo Seok Jeong and Eunchan Jo and Won{-}Ki Jeong and Junyoung Choi and Seung{-}wook Kim and MyoungGon Kim and Jae{-}Won Lee and Hyechan Lee and JungHyun Han}, editor = {Takafumi Koike and Naoya Koizumi and Gerd Bruder and Daniel Roth and Kazuki Takashima and Takefumi Hiraki and Yuki Ban and Michal Piovarci}, title = {Virtual Air Conditioner's Airflow Simulation and Visualization in {AR}}, booktitle = {28th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2022, Tsukuba, Japan, 29 November 2022- 1 December 2022}, pages = {24:1--24:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3562939.3565615}, doi = {10.1145/3562939.3565615}, timestamp = {Thu, 07 Dec 2023 20:49:10 +0100}, biburl = {https://dblp.org/rec/conf/vrst/ChaeKJJJCKKLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-13763, author = {Seok Bin Son and Soohyun Park and Haemin Lee and Joongheon Kim and Soyi Jung and Donghwa Kim}, title = {Tutorial on Course-of-Action {(COA)} Attack Search Methods in Computer Networks}, journal = {CoRR}, volume = {abs/2205.13763}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.13763}, doi = {10.48550/ARXIV.2205.13763}, eprinttype = {arXiv}, eprint = {2205.13763}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-13763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09218, author = {Junghyuk Lee and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, title = {Demystifying Randomly Initialized Networks for Evaluating Generative Models}, journal = {CoRR}, volume = {abs/2208.09218}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09218}, doi = {10.48550/ARXIV.2208.09218}, eprinttype = {arXiv}, eprint = {2208.09218}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09218.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-00862, author = {Haemin Lee and Seok Bin Son and Won Joon Yun and Joongheon Kim and Soyi Jung and Dong Hwa Kim}, title = {Spatio-Temporal Attack Course-of-Action {(COA)} Search Learning for Scalable and Time-Varying Networks}, journal = {CoRR}, volume = {abs/2209.00862}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.00862}, doi = {10.48550/ARXIV.2209.00862}, eprinttype = {arXiv}, eprint = {2209.00862}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-00862.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-01021, author = {Seokjun Ahn and Jungtaek Kim and Minsu Cho and Jaesik Park}, title = {Sequential Brick Assembly with Efficient Constraint Satisfaction}, journal = {CoRR}, volume = {abs/2210.01021}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.01021}, doi = {10.48550/ARXIV.2210.01021}, eprinttype = {arXiv}, eprint = {2210.01021}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-01021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKYKC21, author = {Dae Jung Kim and Jin Sung Kim and Jin Ho Yang and Seok{-}Cheol Kee and Chung Choo Chung}, title = {Lane Change Intention Classification of Surrounding Vehicles Utilizing Open Set Recognition}, journal = {{IEEE} Access}, volume = {9}, pages = {57589--57602}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3072413}, doi = {10.1109/ACCESS.2021.3072413}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKYKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimOKYJC21, author = {Jeong{-}Wook Kim and Ju{-}Ik Oh and Kwang{-}Seok Kim and Jong{-}Won Yu and Kang{-}Jae Jung and Ilnam Cho}, title = {Efficiency-Improved {UWB} Transparent Antennas Using ITO/Ag/ITO Multilayer Electrode Films}, journal = {{IEEE} Access}, volume = {9}, pages = {165385--165393}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3131868}, doi = {10.1109/ACCESS.2021.3131868}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimOKYJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJKJK21, author = {Hwaran Lee and Seokhwan Jo and Hyungjun Kim and Sangkeun Jung and Tae{-}Yoon Kim}, title = {SUMBT+LaRL: Effective Multi-Domain End-to-End Neural Task-Oriented Dialog System}, journal = {{IEEE} Access}, volume = {9}, pages = {116133--116146}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3105461}, doi = {10.1109/ACCESS.2021.3105461}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeJKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSSK21, author = {Jungwon Lee and Hyoju Seo and Hyelin Seok and Yongtae Kim}, title = {A Novel Approximate Adder Design Using Error Reduced Carry Prediction and Constant Truncation}, journal = {{IEEE} Access}, volume = {9}, pages = {119939--119953}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108443}, doi = {10.1109/ACCESS.2021.3108443}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeSSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RehmanHAAOKPYHY21, author = {Muhammad Riaz ur Rehman and Arash Hejazi and Imran Ali and Muhammad Asif and SeongJin Oh and Pervesh Kumar and YoungGun Pu and Sang{-}Sun Yoo and Keum{-}Cheol Hwang and Youngoo Yang and Yeonjae Jung and Hyungki Huh and Seokkee Kim and Joon{-}Mo Yoo and Kang{-}Yoon Lee}, title = {An Ultra-Low-Power 2.4 GHz All-Digital Phase-Locked Loop With Injection-Locked Frequency Multiplier and Continuous Frequency Tracking}, journal = {{IEEE} Access}, volume = {9}, pages = {152984--152992}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3123167}, doi = {10.1109/ACCESS.2021.3123167}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RehmanHAAOKPYHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/HanPZRWJLYK21, author = {Sung Won Han and Sunghoon Park and Hua Zhong and Eun{-}Seok Ryu and Pei Wang and Sehee Jung and Jayeon Lim and Jeewhan Yoon and Sunghwan Kim}, title = {Estimation of joint directed acyclic graphs with lasso family for gene networks}, journal = {Commun. Stat. Simul. Comput.}, volume = {50}, number = {9}, pages = {2793--2807}, year = {2021}, url = {https://doi.org/10.1080/03610918.2019.1618869}, doi = {10.1080/03610918.2019.1618869}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssc/HanPZRWJLYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijoe/HyunCK21, author = {Seok{-}Hun Hyun and Hyun{-}Jung Cha and Jong{-}Chan Kim}, title = {Adapting Internet of Things to Arduino-based Devices for Low-Cost Remote Sensing in School Science Learning Environments}, journal = {Int. J. Online Biomed. Eng.}, volume = {17}, number = {2}, pages = {4--18}, year = {2021}, url = {https://doi.org/10.3991/ijoe.v17i02.20089}, doi = {10.3991/IJOE.V17I02.20089}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijoe/HyunCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/GuptaYMJKLPAKCY21, author = {Amar Prasad Gupta and Seung Jun Yeo and Mallory Mativenga and Jaeik Jung and WooSeob Kim and Jongmin Lim and Junyoung Park and Jeung Sun Ahn and Seung Hoon Kim and Moon Shik Chae and Yeong Heum Yeon and Namkug Kim and Beom{-}Seok Ko and Jehwang Ryu}, title = {A feasibility study of a portable intraoperative specimen imaging X-ray system based on carbon nanotube field emitters}, journal = {Int. J. Imaging Syst. Technol.}, volume = {31}, number = {3}, pages = {1128--1135}, year = {2021}, url = {https://doi.org/10.1002/ima.22606}, doi = {10.1002/IMA.22606}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/GuptaYMJKLPAKCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSJCYKOJKL21, author = {Keun{-}Mok Kim and Hyun{-}Gi Seok and Oh{-}Yong Jung and Kyung{-}Sik Choi and Byeonghun Yun and Subin Kim and Wonkab Oh and Eui{-}Rim Jeong and Jinho Ko and Sang{-}Gug Lee}, title = {A -123-dBm Sensitivity Split-Channel {BFSK} Reconfigurable Data/Wake-Up Receiver for Low-Power Wide-Area Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {9}, pages = {2656--2667}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3063134}, doi = {10.1109/JSSC.2021.3063134}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSJCYKOJKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NaLLCLYSKKJJSCL21, author = {Dae{-}Hoon Na and Jang{-}Woo Lee and Seon{-}Kyoo Lee and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Anil Kavala and Tongsung Kim and Dong{-}Su Jang and Youngmin Jo and Ji{-}Yeon Shin and Byung{-}Kwan Chun and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jinyub Lee and Jai Hyuk Song}, title = {A 1.8-Gb/s/Pin 16-Tb {NAND} Flash Memory Multi-Chip Package With F-Chip for High-Performance and High-Capacity Storage}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {4}, pages = {1129--1140}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3052492}, doi = {10.1109/JSSC.2021.3052492}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NaLLCLYSKKJJSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ZhongLWRKKBNBTP21, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep metric learning-based image retrieval system for chest radiograph and its clinical applications in {COVID-19}}, journal = {Medical Image Anal.}, volume = {70}, pages = {101993}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.101993}, doi = {10.1016/J.MEDIA.2021.101993}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/ZhongLWRKKBNBTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KangBCKHLPYYCYC21, author = {Si{-}Hyuck Kang and Hyunyoung Baek and Jihoon Cho and Seok Kim and Hee Hwang and Wonjae Lee and Jin Joo Park and Yeonyee E. Yoon and Chang{-}Hwan Yoon and Young{-}Seok Cho and Tae{-}Jin Youn and Goo{-}Yeong Cho and In{-}Ho Chae and Dong{-}Ju Choi and Sooyoung Yoo and Jung{-}Won Suh}, title = {Management of cardiovascular disease using an mHealth tool: a randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00535-z}, doi = {10.1038/S41746-021-00535-Z}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KangBCKHLPYYCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJK21, author = {Dae{-}Young Kim and Minwoo Jung and Seokhoon Kim}, title = {An Internet of Vehicles (IoV) Access Gateway Design Considering the Efficiency of the In-Vehicle Ethernet Backbone}, journal = {Sensors}, volume = {21}, number = {1}, pages = {98}, year = {2021}, url = {https://doi.org/10.3390/s21010098}, doi = {10.3390/S21010098}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKHYPLWP21, author = {Jung Ho Kim and Seokjoon Kim and Sung Hyun Hwang and Tae Hwi Yoon and Jung Soo Park and Eun Sung Lee and Jisu Woo and Ki Soo Park}, title = {Three-Way Junction-Induced Isothermal Amplification with High Signal-to-Background Ratio for Detection of Pathogenic Bacteria}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4132}, year = {2021}, url = {https://doi.org/10.3390/s21124132}, doi = {10.3390/S21124132}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKHYPLWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYBKHKLJC21, author = {Jaehoon Kim and Junghyo Yoon and Jae{-}Yeong Byun and Hyunho Kim and Sewoon Han and Junghyun Kim and Jeong Hoon Lee and Han{-}Sang Jo and Seok Chung}, title = {Nano-Interstice Driven Powerless Blood Plasma Extraction in a Membrane Filter Integrated Microfluidic Device}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1366}, year = {2021}, url = {https://doi.org/10.3390/s21041366}, doi = {10.3390/S21041366}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYBKHKLJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCAYPKHJL21, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Seyed Ali Hosseini Asl and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A Low-Band Multi-Gain {LNA} Design for Diversity Receive Module with 1.2 dB {NF}}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8340}, year = {2021}, url = {https://doi.org/10.3390/s21248340}, doi = {10.3390/S21248340}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCAYPKHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/JungCPKKS21, author = {Seokweon Jung and Kiroong Choe and Seokhyeon Park and Hyung{-}Kwon Ko and Youngtaek Kim and Jinwook Seo}, title = {Mixed-Initiative Approach to Extract Data from Pictures of Medical Invoice}, booktitle = {14th {IEEE} Pacific Visualization Symposium, PacificVis 2021, Tianjin, China, April 19-21, 2021}, pages = {111--115}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PacificVis52677.2021.00022}, doi = {10.1109/PACIFICVIS52677.2021.00022}, timestamp = {Wed, 09 Jun 2021 09:35:54 +0200}, biburl = {https://dblp.org/rec/conf/apvis/JungCPKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/YoonKSLCHSKKHCH21, author = {Kye{-}Seok Yoon and Hye{-}Bong Ko and Jin{-}Woo So and Sung{-}Woo Lee and Sung{-}Kyu Cho and Woon{-}Hyung Heo and Ho{-}Sung Son and Seung{-}Hoon Kim and Dong{-}Joon Kim and Kwon{-}Yub Hyung and Dae{-}Woong Cho and Jung{-}Wook Heo and Hyoung{-}Seok Oh and Sung{-}Ung Kwak}, title = {Zero Current Detector with Slope Judgement Calibration in Mobile Battery Charger {IC}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634777}, doi = {10.1109/A-SSCC53895.2021.9634777}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/YoonKSLCHSKKHCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/BaeYCB21, author = {Kangmin Bae and Kimin Yun and Jungchan Cho and Yuseok Bae}, title = {The Dataset and Baseline Models to Detect Human Postural States Robustly against Irregular Postures}, booktitle = {17th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2021, Washington, DC, USA, November 16-19, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AVSS52988.2021.9663782}, doi = {10.1109/AVSS52988.2021.9663782}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/BaeYCB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/BuK21, author = {Seok{-}Jun Bu and Hae{-}Jung Kim}, title = {Learning Disentangled Representation of Web Address via Convolutional-Recurrent Triplet Network for Classifying Phishing URLs}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369758}, doi = {10.1109/ICEIC51217.2021.9369758}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/BuK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimKLLKJ0KKSLJL21, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {3405--3424}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.274}, doi = {10.18653/V1/2021.EMNLP-MAIN.274}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/KimKLLKJ0KKSLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkLLMKHKPBLK21, author = {Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Jewoo Moon and Suknam Kwon and Sanghyuck Ha and MinSeong Kim and Junghun Park and Jihoon Bang and Sukhwan Lim and Inyup Kang}, title = {Samsung Neural Processing Unit : An {AI} accelerator and {SDK} for flagship mobile {AP}}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567119}, doi = {10.1109/HCS52781.2021.9567119}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ParkLLMKHKPBLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/OhJLK21, author = {Seung{-}Hee Oh and Woo{-}Sug Jung and Yong{-}Tae Lee and Kyung{-}Seok Kim}, title = {Disaster Warning and Alerting Integrated Systems Based on {CAP} profile}, booktitle = {23rd International Conference on Advanced Communication Technology, {ICACT} 2021, Pyeongchang, South Korea, February 7-10, 2021}, pages = {155--159}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ICACT51234.2021.9370708}, doi = {10.23919/ICACT51234.2021.9370708}, timestamp = {Fri, 18 Mar 2022 11:48:11 +0100}, biburl = {https://dblp.org/rec/conf/icact/OhJLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeLKJKHLWKJLLC21, author = {Taewoo Lee and Min{-}Joong Lee and Tae Gyoon Kang and Seokyeoung Jung and Minseok Kwon and Yeona Hong and Jungin Lee and Kyoung{-}Gu Woo and Ho{-}Gyeong Kim and Jiseung Jeong and Jihyun Lee and Hosik Lee and Young Sang Choi}, title = {Adaptable Multi-Domain Language Model for Transformer {ASR}}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {7358--7362}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413475}, doi = {10.1109/ICASSP39728.2021.9413475}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeLKJKHLWKJLLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/0002CCJK21, author = {Taekyung Kim and Jaehoon Choi and Seokeon Choi and Dongki Jung and Changick Kim}, title = {Just a Few Points are All You Need for Multi-view Stereo: {A} Novel Semi-supervised Learning Method for Multi-view Stereo}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {6158--6166}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00612}, doi = {10.1109/ICCV48922.2021.00612}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/0002CCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HongKKNCKK21, author = {Je Hyeong Hong and Hanjo Kim and Minsoo Kim and Gi Pyo Nam and Junghyun Cho and Hyeong{-}Seok Ko and Ig{-}Jae Kim}, title = {A 3d Model-Based Approach For Fitting Masks To Faces In The Wild}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {235--239}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506069}, doi = {10.1109/ICIP42928.2021.9506069}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/HongKKNCKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SinghPKKA21, author = {Gautam Singh and Skand Vishwanath Peri and Junghyun Kim and Hyunseok Kim and Sungjin Ahn}, editor = {Marina Meila and Tong Zhang}, title = {Structured World Belief for Reinforcement Learning in {POMDP}}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {9744--9755}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/singh21a.html}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SinghPKKA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungKCJK21, author = {Soonchul Jung and Jae Woo Kim and Yoon{-}Seok Choi and Hyeong{-}Ju Jeon and Jin{-}Seo Kim}, title = {Convolutional Neural Network for Implementing a 2D Median Filter}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {290--292}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620875}, doi = {10.1109/ICTC52510.2021.9620875}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungKCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/OhJK21, author = {Seung{-}Hee Oh and Woo{-}Sug Jung and Kyung{-}Seok Kim}, title = {The Method of Emergency Message Retransmission for the Disaster Vulnerable People}, booktitle = {Twelfth International Conference on Ubiquitous and Future Networks, {ICUFN} 2021, Jeju Island, South Korea, August 17-20, 2021}, pages = {270--272}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICUFN49451.2021.9528743}, doi = {10.1109/ICUFN49451.2021.9528743}, timestamp = {Wed, 27 Jul 2022 14:31:30 +0200}, biburl = {https://dblp.org/rec/conf/icufn/OhJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimJL21, author = {Yonggab Kim and Hoyoung Jung and Seokcheon Lee}, editor = {Alexandre Dolgui and Alain Bernard and David Lemoine and Gregor von Cieminski and David Romero}, title = {Drone Delivery Vehicle Routing Problem with Multi-flight Level}, booktitle = {Advances in Production Management Systems. Artificial Intelligence for Sustainable and Resilient Production Systems - {IFIP} {WG} 5.7 International Conference, {APMS} 2021, Nantes, France, September 5-9, 2021, Proceedings, Part {III}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {632}, pages = {43--51}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85906-0\_5}, doi = {10.1007/978-3-030-85906-0\_5}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JangLKPACKKYAPL21, author = {Jun{-}Woo Jang and Sehwan Lee and Dongyoung Kim and Hyunsun Park and Ali Shafiee Ardestani and Yeongjae Choi and Channoh Kim and Yoojin Kim and Hyeongseok Yu and Hamzah Abdel{-}Aziz and Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Myeong Woo Kim and Hanwoong Jung and Heewoo Nam and Dongguen Lim and Seungwon Lee and Joon{-}Ho Song and Suknam Kwon and Joseph Hassoun and Sukhwan Lim and Changkyu Choi}, title = {Sparsity-Aware and Re-configurable {NPU} Architecture for Samsung Flagship Mobile SoC}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {15--28}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00011}, doi = {10.1109/ISCA52012.2021.00011}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/JangLKPACKKYAPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkKKC21, author = {Sang{-}Soo Park and Dong{-}Hee Kim and Jun{-}Gu Kang and Ki{-}Seok Chung}, title = {EdgeRL: {A} Light-Weight {C/C++} Framework for On-Device Reinforcement Learning}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {235--236}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613916}, doi = {10.1109/ISOCC53507.2021.9613916}, timestamp = {Mon, 06 Dec 2021 17:33:24 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ParkKKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SeoLSK21, author = {Hyoju Seo and Jungwon Lee and Hyelin Seok and Yongtae Kim}, title = {Design of an Accuracy Enhanced Imprecise Adder with Half Adder-based Approximation}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {153--154}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613888}, doi = {10.1109/ISOCC53507.2021.9613888}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SeoLSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JoeLPKKKKLHRKPK21, author = {Insung Joe and Yunki Lee and Hye Yeon Park and Jong Uk Kim and Dongyeon Kang and Taehoon Kim and Minkwan Kim and Kwangmin Lee and Minsung Heo and Inho Ro and Jinhyung Kim and Inyong Park and Seokjin Kwon and Kisang Yoon and Dami Park and Changkyu Lee and Eunyoung Jo and Minhwan Jeon and Chanho Park and Kyung Rae Byun and Chong Kwang Chang and JaeSung Hur and Kijoong Yoon and Taeksoo Jeon and Jaehak Lee and Jungho Park and Bumsuk Kim and JungChak Ahn and Hyunchul Kim and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, title = {Development of Advanced Inter-Color-Filter Grid on Sub-Micron-Pixel {CMOS} Image Sensor for Mobile Cameras with High Sensitivity and High Resolution}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492339}, doi = {10.23919/VLSICIRCUITS52068.2021.9492339}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JoeLPKKKKLHRKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKJLLKJK21, author = {Gyeong{-}Gu Kang and Seok{-}Tae Koh and Woojin Jang and Jiho Lee and Seongjoo Lee and Ohjo Kwon and Keumdong Jung and Hyun{-}Sik Kim}, title = {A 12-Bit Mobile OLED/{\(\mu\)}LED Display Driver {IC} with Cascaded Loading-Free Capacitive Interpolation {DAC} and 6.24V/{\(\mu\)}s-Slew-Rate Buffer Amplifier}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492490}, doi = {10.23919/VLSICIRCUITS52068.2021.9492490}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKJLLKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LimLMBALCNPPKCP21, author = {Jongyup Lim and Jungho Lee and Eunseong Moon and Michael Barrow and Gabriele Atzeni and Joseph G. Letner and Joseph T. Costello and Samuel R. Nason and Paras R. Patel and Parag G. Patil and Hun{-}Seok Kim and Cynthia A. Chestek and Jamie Phillips and David T. Blaauw and Dennis Sylvester and Taekwang Jang}, title = {A Light Tolerant Neural Recording {IC} for Near-Infrared-Powered Free Floating Motes}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492459}, doi = {10.23919/VLSICIRCUITS52068.2021.9492459}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/LimLMBALCNPPKCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/SeoKLHBKK21, author = {Minkyo Seo and Dongkeun Kim and Kyungmoon Lee and Seunghoon Hong and Jae Seok Bae and Jung Hoon Kim and Suha Kwak}, title = {Neural Contrast Enhancement of {CT} Image}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {3972--3981}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00402}, doi = {10.1109/WACV48630.2021.00402}, timestamp = {Fri, 18 Jun 2021 10:51:54 +0200}, biburl = {https://dblp.org/rec/conf/wacv/SeoKLHBKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-00803, author = {Je Hyeong Hong and Hanjo Kim and Minsoo Kim and Gi Pyo Nam and Junghyun Cho and Hyeong{-}Seok Ko and Ig{-}Jae Kim}, title = {A 3D model-based approach for fitting masks to faces in the wild}, journal = {CoRR}, volume = {abs/2103.00803}, year = {2021}, url = {https://arxiv.org/abs/2103.00803}, eprinttype = {arXiv}, eprint = {2103.00803}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-00803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-08577, author = {Gautam Singh and Skand Vishwanath Peri and Junghyun Kim and Hyunseok Kim and Sungjin Ahn}, title = {Structured World Belief for Reinforcement Learning in {POMDP}}, journal = {CoRR}, volume = {abs/2107.08577}, year = {2021}, url = {https://arxiv.org/abs/2107.08577}, eprinttype = {arXiv}, eprint = {2107.08577}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-08577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03393, author = {Sumin Lee and Hyunjun Eun and Jinyoung Moon and Seokeon Choi and Yoonhyung Kim and Chanho Jung and Changick Kim}, title = {Learning to Discriminate Information for Online Action Detection: Analysis and Application}, journal = {CoRR}, volume = {abs/2109.03393}, year = {2021}, url = {https://arxiv.org/abs/2109.03393}, eprinttype = {arXiv}, eprint = {2109.03393}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04650, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, journal = {CoRR}, volume = {abs/2109.04650}, year = {2021}, url = {https://arxiv.org/abs/2109.04650}, eprinttype = {arXiv}, eprint = {2109.04650}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-00424, author = {Seokjun Kim and Jaeeun Jang and HeeSeok Jung and Hyeoncheol Kim}, title = {Artificial Association Neural Networks}, journal = {CoRR}, volume = {abs/2111.00424}, year = {2021}, url = {https://arxiv.org/abs/2111.00424}, eprinttype = {arXiv}, eprint = {2111.00424}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-00424.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-07584, author = {Dongyun Kam and Jung Gyu Min and Jongho Yoon and Sunmean Kim and Seokhyeong Kang and Youngjoo Lee}, title = {Design and Evaluation Frameworks for Advanced RISC-based Ternary Processor}, journal = {CoRR}, volume = {abs/2111.07584}, year = {2021}, url = {https://arxiv.org/abs/2111.07584}, eprinttype = {arXiv}, eprint = {2111.07584}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-07584.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-12516, author = {Yeong{-}Seok Jeong and Jinsung Kim and Woosung Choi and Jaehwa Chung and Soonyoung Jung}, title = {LightSAFT: Lightweight Latent Source Aware Frequency Transform for Source Separation}, journal = {CoRR}, volume = {abs/2111.12516}, year = {2021}, url = {https://arxiv.org/abs/2111.12516}, eprinttype = {arXiv}, eprint = {2111.12516}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-12516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-13321, author = {Jinsung Kim and Yeong{-}Seok Jeong and Woosung Choi and Jaehwa Chung and Soonyoung Jung}, title = {Learning source-aware representations of music in a discrete latent space}, journal = {CoRR}, volume = {abs/2111.13321}, year = {2021}, url = {https://arxiv.org/abs/2111.13321}, eprinttype = {arXiv}, eprint = {2111.13321}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-13321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-01535, author = {Sang{-}gil Lee and Eunji Kim and Jae Seok Bae and Jung Hoon Kim and Sungroh Yoon}, title = {Robust End-to-End Focal Liver Lesion Detection using Unregistered Multiphase Computed Tomography Images}, journal = {CoRR}, volume = {abs/2112.01535}, year = {2021}, url = {https://arxiv.org/abs/2112.01535}, eprinttype = {arXiv}, eprint = {2112.01535}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-01535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/JungKJKP20, author = {Dae{-}Hyun Jung and Hyoung Seok Kim and Changho Jhin and Hak{-}Jin Kim and Soo Hyun Park}, title = {Time-serial analysis of deep neural network models for prediction of climatic conditions inside a greenhouse}, journal = {Comput. Electron. Agric.}, volume = {173}, pages = {105402}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2020.105402}, doi = {10.1016/J.COMPAG.2020.105402}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/JungKJKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChoKLBKHSY20, author = {Minsu Cho and Kidong Kim and Jungeun Lim and Hyunyoung Baek and Seok Kim and Hee Hwang and Minseok Song and Sooyoung Yoo}, title = {Developing data-driven clinical pathways using electronic health records: The cases of total laparoscopic hysterectomy and rotator cuff tears}, journal = {Int. J. Medical Informatics}, volume = {133}, year = {2020}, url = {https://doi.org/10.1016/j.ijmedinf.2019.104015}, doi = {10.1016/J.IJMEDINF.2019.104015}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/ChoKLBKHSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/HanJKLKKP20, author = {Hee{-}Jo Han and Dae{-}Hyun Jung and Hak{-}Jin Kim and Taek Sung Lee and Hyoung Seok Kim and Ho{-}Youn Kim and Soo Hyun Park}, title = {Application of a Spectroscopic Analysis-Based Portable Sensor for Phosphate Quantitation in Hydroponic Solutions}, journal = {J. Sensors}, volume = {2020}, pages = {9251416:1--9251416:9}, year = {2020}, url = {https://doi.org/10.1155/2020/9251416}, doi = {10.1155/2020/9251416}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/HanJKLKKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/JungYLLKLCLK20, author = {SeHee Jung and SungMin Yang and Eunseok Lee and YongHak Lee and Jisun Ko and Sungjae Lee and Junsang Cho and Jaehwa Lee and Sunghwan Kim}, title = {Estimation of Particulate Levels Using Deep Dehazing Network and Temporal Prior}, journal = {J. Sensors}, volume = {2020}, pages = {8841811:1--8841811:9}, year = {2020}, url = {https://doi.org/10.1155/2020/8841811}, doi = {10.1155/2020/8841811}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/js/JungYLLKLCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeelJKKKBCSKACJ20, author = {Min{-}Sun Keel and Young{-}Gu Jin and Youngchan Kim and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Bumsik Chung and Sooho Son and Hogyun Kim and Taemin An and Sung{-}Ho Choi and Taesub Jung and Yonghun Kwon and Sungyoung Seo and Sae{-}Young Kim and Kwanghyuk Bae and Seung{-}Chul Shin and Myoungoh Ki and Seoung{-}Jae Yoo and Chang{-}Rok Moon and Hyunsurk Ryu and Joonseok Kim}, title = {A {VGA} Indirect Time-of-Flight {CMOS} Image Sensor With 4-Tap 7- {\textdollar}{\textbackslash}mu{\textdollar} m Global-Shutter Pixel and Fixed-Pattern Phase Noise Self-Compensation}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {889--897}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2959502}, doi = {10.1109/JSSC.2019.2959502}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KeelJKKKBCSKACJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimYCLGSKKH20, author = {Chaebin Kim and Hoon Joo Yang and Tae Hyung Cho and Beom Seok Lee and Tae Mok Gwon and Soowon Shin and In Sook Kim and Sung June Kim and Soon Jung Hwang}, title = {Implantable electrical stimulation bioreactor with liquid crystal polymer-based electrodes for enhanced bone regeneration at mandibular large defects in rabbit}, journal = {Medical Biol. Eng. Comput.}, volume = {58}, number = {2}, pages = {383--399}, year = {2020}, url = {https://doi.org/10.1007/s11517-019-02046-2}, doi = {10.1007/S11517-019-02046-2}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimYCLGSKKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/percom/KimCLJSKK20, author = {Kyounggon Kim and Kiyoon Cho and Jihwan Lim and Young Ho Jung and Min Seok Sung and Seong Beom Kim and Huy Kang Kim}, title = {What's your protocol: Vulnerabilities and security threats related to Z-Wave protocol}, journal = {Pervasive Mob. Comput.}, volume = {66}, pages = {101211}, year = {2020}, url = {https://doi.org/10.1016/j.pmcj.2020.101211}, doi = {10.1016/J.PMCJ.2020.101211}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/percom/KimCLJSKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/PadillaKPY20, author = {Giancarlo Eder Guerra Padilla and Kun{-}Jung Kim and Seok{-}Hwan Park and Kee{-}Ho Yu}, title = {Flight Path Planning of Solar-Powered {UAV} for Sustainable Communication Relay}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {4}, pages = {6772--6779}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.3014635}, doi = {10.1109/LRA.2020.3014635}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/PadillaKPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKHLHKKPHJK20, author = {Seung Hee Kim and Hyun{-}Cheol Kim and Chang{-}Uk Hyun and Sungjae Lee and Jung{-}Seok Ha and Joo{-}Hong Kim and Young{-}Joo Kwon and Jeong{-}Won Park and Hyangsun Han and Seong{-}Yeob Jeong and Duk{-}jin Kim}, title = {Evolution of Backscattering Coefficients of Drifting Multi-Year Sea Ice during End of Melting and Onset of Freeze-up in the Western Beaufort Sea}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1378}, year = {2020}, url = {https://doi.org/10.3390/rs12091378}, doi = {10.3390/RS12091378}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKHLHKKPHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LimJKKL20, author = {Chi Hong Lim and Song Hie Jung and A. Reum Kim and Nam Shin Kim and Chang Seok Lee}, title = {Monitoring for Changes in Spring Phenology at Both Temporal and Spatial Scales Based on {MODIS} {LST} Data in South Korea}, journal = {Remote. Sens.}, volume = {12}, number = {20}, pages = {3282}, year = {2020}, url = {https://doi.org/10.3390/rs12203282}, doi = {10.3390/RS12203282}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LimJKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JungUHKL20, author = {Hyunki Jung and Dzuhri Radityo Utomo and Seok{-}Kyun Han and Jusung Kim and Sang{-}Gug Lee}, title = {An 80 MHz Bandwidth and 26.8 dBm {OOB} {IIP3} Transimpedance Amplifier With Improved Nested Feedforward Compensation and Multi-Order Filtering}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3410--3421}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2991772}, doi = {10.1109/TCSI.2020.2991772}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/JungUHKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/WangCKYCKJKS20, author = {Dewei Wang and Pavan Kumar Chundi and Sung Justin Kim and Minhao Yang and Joao Pedro Cerqueira and Joonsung Kang and Seungchul Jung and Sang Joon Kim and Mingoo Seok}, title = {Always-On, Sub-300-nW, Event-Driven Spiking Neural Network based on Spike-Driven Clock-Generation and Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336139}, doi = {10.1109/A-SSCC48613.2020.9336139}, timestamp = {Sat, 13 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/WangCKYCKJKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhangDLTLTWZHXL20, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Xiaotong Luo and Liang Chen and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. V. Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {5--40}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_1}, doi = {10.1007/978-3-030-67070-2\_1}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhangDLTLTWZHXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/LeeCAGKJCPRMK20, author = {Donghun Lee and Andrew Chang and Minseon Ahn and Jongmin Gim and Jungmin Kim and Jaemin Jung and Kang{-}Woo Choi and Vincent Pham and Oliver Rebholz and Krishna T. Malladi and Yang{-}Seok Ki}, editor = {Angela Bonifati and Yongluan Zhou and Marcos Antonio Vaz Salles and Alexander B{\"{o}}hm and Dan Olteanu and George H. L. Fletcher and Arijit Khan and Bin Yang}, title = {Optimizing Data Movement with Near-Memory Acceleration of In-memory {DBMS}}, booktitle = {Proceedings of the 23rd International Conference on Extending Database Technology, {EDBT} 2020, Copenhagen, Denmark, March 30 - April 02, 2020}, pages = {371--374}, publisher = {OpenProceedings.org}, year = {2020}, url = {https://doi.org/10.5441/002/edbt.2020.35}, doi = {10.5441/002/EDBT.2020.35}, timestamp = {Wed, 15 Feb 2023 22:11:05 +0100}, biburl = {https://dblp.org/rec/conf/edbt/LeeCAGKJCPRMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungKSKLCKCKJLR20, author = {Taesub Jung and Yonghun Kwon and Sungyoung Seo and Min{-}Sun Keel and Changkeun Lee and Sung{-}Ho Choi and Sae{-}Young Kim and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Moosup Lim and Hyunsurk Ryu and Yitae Kim and Joonseok Kim and Chang{-}Rok Moon}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {A 4-tap global shutter pixel with enhanced {IR} sensitivity for {VGA} time-of-flight {CMOS} image sensors}, booktitle = {Imaging Sensors and Systems 2020, Burlingame, CA, USA, January 26-30, 2020}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2020}, url = {https://doi.org/10.2352/ISSN.2470-1173.2020.7.ISS-103}, doi = {10.2352/ISSN.2470-1173.2020.7.ISS-103}, timestamp = {Thu, 20 Jul 2023 16:45:52 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungKSKLCKCKJLR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/LeeSHK20, author = {Seunghyung Lee and Seokho Son and Jungsu Han and JongWon Kim}, title = {Refining Micro Services Placement over Multiple Kubernetes-orchestrated Clusters employing Resource Monitoring}, booktitle = {40th {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2020, Singapore, November 29 - December 1, 2020}, pages = {1328--1332}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICDCS47774.2020.00173}, doi = {10.1109/ICDCS47774.2020.00173}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/LeeSHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpm/LimKCBKHY020, author = {Jungeun Lim and Kidong Kim and Minsu Cho and Hyunyoung Baek and Seok Kim and Hee Hwang and Sooyoung Yoo and Minseok Song}, editor = {Sander J. J. Leemans and Henrik Leopold}, title = {Deriving a Sophisticated Clinical Pathway Based on Patient Conditions from Electronic Health Record Data}, booktitle = {Process Mining Workshops - {ICPM} 2020 International Workshops, Padua, Italy, October 5-8, 2020, Revised Selected Papers}, series = {Lecture Notes in Business Information Processing}, volume = {406}, pages = {356--367}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-72693-5\_27}, doi = {10.1007/978-3-030-72693-5\_27}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpm/LimKCBKHY020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeonJCKK20, author = {Hyeong{-}Ju Jeon and Soonchul Jung and Yoon{-}Seok Choi and Jae Woo Kim and Jin{-}Seo Kim}, title = {Object Detection in Artworks Using Data Augmentation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1312--1314}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289321}, doi = {10.1109/ICTC49870.2020.9289321}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeonJCKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JungKCJK20, author = {Soonchul Jung and Jae Woo Kim and Yoon{-}Seok Choi and Hyeong{-}Ju Jeon and Jin{-}Seo Kim}, title = {Generative Adversarial Network for Identifying Authors of Traced Images}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1299--1302}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289592}, doi = {10.1109/ICTC49870.2020.9289592}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JungKCJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuhCIKLSJYNBYSK20, author = {Yunjae Suh and Seungnam Choi and Masamichi Ito and Jeongseok Kim and Youngho Lee and Jongseok Seo and Heejae Jung and Dong{-}Hee Yeo and Seol Namgung and Jongwoo Bong and Sehoon Yoo and Seung{-}Hun Shin and Doowon Kwon and Pilkyu Kang and Seokho Kim and Hoonjoo Na and Kihyun Hwang and Chang{-}Woo Shin and Jun{-}Seok Kim and Paul K. J. Park and Joonseok Kim and Hyunsurk Ryu and Yongin Park}, title = {A 1280{\texttimes}960 Dynamic Vision Sensor with a 4.95-{\(\mu\)}m Pixel Pitch and Motion Artifact Minimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180436}, doi = {10.1109/ISCAS45731.2020.9180436}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuhCIKLSJYNBYSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/JeongJWWYYYK20, author = {Woojae Jeong and Jinhwan Jung and Yuanda Wang and Shuai Wang and Seokwon Yang and Qiben Yan and Yung Yi and Song Min Kim}, title = {{SDR} receiver using commodity wifi via physical-layer signal reconstruction}, booktitle = {MobiCom '20: The 26th Annual International Conference on Mobile Computing and Networking, London, United Kingdom, September 21-25, 2020}, pages = {32:1--32:14}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3372224.3419189}, doi = {10.1145/3372224.3419189}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/JeongJWWYYYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HanYJKSPLB30, author = {Young{-}Tak Han and Seok{-}Jun Yun and Hyun{-}Do Jung and Seok{-}Tae Kim and Jang{-}Uk Shin and Sang{-}Ho Park and Seo{-}Young Lee and Yongsoon Baek}, title = {A Hybrid-Integrated 400G {TROSA} Module Using Chip-to-Chip Optical Butt-Coupling}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083106}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HanYJKSPLB30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChoiJK20, author = {Yoon{-}Seok Choi and Soonchul Jung and Jin{-}Seo Kim}, title = {Immersive 3D Body Painting System}, booktitle = {{SIGGRAPH} Asia 2020 Posters, {SA} 2019, Virtual Event, Republic of Korea, December 4-13, 2020}, pages = {9:1--9:2}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3415264.3425467}, doi = {10.1145/3415264.3425467}, timestamp = {Fri, 19 Feb 2021 16:21:48 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChoiJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeNKCLYSKLJCJJ20, author = {Jang{-}Woo Lee and Dae{-}Hoon Na and Anil Kavala and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Tongsung Kim and Seon{-}Kyoo Lee and Dong{-}Su Jang and Byung{-}Kwan Chun and Youngmin Jo and Sunwon Jung and Doo{-}Il Jung and Chan{-}ho Kim and Daewoon Kang and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Sangjoon Hwang and Jai Hyuk Song}, title = {A 1.8 Gb/s/pin 16Tb {NAND} Flash Memory Multi-Chip Package with F-Chip of Toggle 4.0 Specification for High Performance and High Capacity Storage Systems}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9163052}, doi = {10.1109/VLSICIRCUITS18222.2020.9163052}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeNKCLYSKLJCJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-00577, author = {Kwangyoun Kim and Kyungmin Lee and Dhananjaya Gowda and Junmo Park and Sungsoo Kim and Sichen Jin and Young{-}Yoon Lee and Jinsu Yeo and Daehyun Kim and Seokyeong Jung and Jungin Lee and Myoungji Han and Chanwoo Kim}, title = {Attention based on-device streaming speech recognition with large speech corpus}, journal = {CoRR}, volume = {abs/2001.00577}, year = {2020}, url = {http://arxiv.org/abs/2001.00577}, eprinttype = {arXiv}, eprint = {2001.00577}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-00577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-12314, author = {Dewei Wang and Pavan Kumar Chundi and Sung Justin Kim and Minhao Yang and Joao Pedro Cerqueira and Joonsung Kang and Seungchul Jung and Sang Joon Kim and Mingoo Seok}, title = {Always-On, Sub-300-nW, Event-Driven Spiking Neural Network based on Spike-Driven Clock-Generation and Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device}, journal = {CoRR}, volume = {abs/2006.12314}, year = {2020}, url = {https://arxiv.org/abs/2006.12314}, eprinttype = {arXiv}, eprint = {2006.12314}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-12314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02642, author = {Sang{-}Woo Lee and Hyunhoon Jung and SukHyun Ko and Sunyoung Kim and Hyewon Kim and Kyoungtae Doh and Hyunjung Park and Joseph Yeo and Sang{-}Houn Ok and Joonhaeng Lee and Seongjae Choi and SeungTae Hwang and Eun{-}Young Park and Gwang{-}Ja Ma and Seok{-}Joo Han and Kwang{-}Seung Cha and Nako Sung and Jung{-}Woo Ha}, title = {CareCall: a Call-Based Active Monitoring Dialog Agent for Managing {COVID-19} Pandemic}, journal = {CoRR}, volume = {abs/2007.02642}, year = {2020}, url = {https://arxiv.org/abs/2007.02642}, eprinttype = {arXiv}, eprint = {2007.02642}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-06208, author = {Taewoo Lee and Min{-}Joong Lee and Tae Gyoon Kang and Seokyeong Jung and Minseok Kwon and Yeona Hong and Jungin Lee and Kyoung{-}Gu Woo and Ho{-}Gyeong Kim and Jiseung Jeong and Jihyun Lee and Hosik Lee and Young Sang Choi}, title = {Adaptable Multi-Domain Language Model for Transformer {ASR}}, journal = {CoRR}, volume = {abs/2008.06208}, year = {2020}, url = {https://arxiv.org/abs/2008.06208}, eprinttype = {arXiv}, eprint = {2008.06208}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-06208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-06943, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Liang Chen and Xiaotong Luo and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. Victor Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.06943}, year = {2020}, url = {https://arxiv.org/abs/2009.06943}, eprinttype = {arXiv}, eprint = {2009.06943}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-06943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-10447, author = {Hwaran Lee and Seokhwan Jo and HyungJun Kim and Sangkeun Jung and Tae{-}Yoon Kim}, title = {SUMBT+LaRL: End-to-end Neural Task-oriented Dialog System with Reinforcement Learning}, journal = {CoRR}, volume = {abs/2009.10447}, year = {2020}, url = {https://arxiv.org/abs/2009.10447}, eprinttype = {arXiv}, eprint = {2009.10447}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-10447.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12610, author = {Young{-}Gon Kim and Kyung Sang Kim and Dufan Wu and Hui Ren and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep Learning-based Four-region Lung Segmentation in Chest Radiography for {COVID-19} Diagnosis}, journal = {CoRR}, volume = {abs/2009.12610}, year = {2020}, url = {https://arxiv.org/abs/2009.12610}, eprinttype = {arXiv}, eprint = {2009.12610}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-03663, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep Metric Learning-based Image Retrieval System for Chest Radiograph and its Clinical Applications in {COVID-19}}, journal = {CoRR}, volume = {abs/2012.03663}, year = {2020}, url = {https://arxiv.org/abs/2012.03663}, eprinttype = {arXiv}, eprint = {2012.03663}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-03663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/ParkKSHHLCK19, author = {Sungjoon Park and Minsu Kim and Seokjun Seo and Seungwan Hong and Kyoohyung Han and Keewoo Lee and Jung Hee Cheon and Sun Kim}, title = {A secure {SNP} panel scheme using homomorphically encrypted K-mers without {SNP} calling on the user side}, journal = {{BMC} Genom.}, volume = {20}, number = {{S2}}, year = {2019}, url = {https://doi.org/10.1186/s12864-019-5473-z}, doi = {10.1186/S12864-019-5473-Z}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/ParkKSHHLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/LeeKKY19, author = {Suan Lee and Seok Kang and Jinho Kim and Eun Jung Yu}, title = {Scalable distributed data cube computation for large-scale multidimensional data analysis on a Spark cluster}, journal = {Clust. Comput.}, volume = {22}, number = {Suppl 1}, pages = {2063--2087}, year = {2019}, url = {https://doi.org/10.1007/s10586-018-1811-1}, doi = {10.1007/S10586-018-1811-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/LeeKKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LeeKJLKP19, author = {Keuntae Lee and Seokhwa Kim and Jaehoon (Paul) Jeong and Sejun Lee and Hyoungshick Kim and Jung{-}Soo Park}, title = {A framework for {DNS} naming services for Internet-of-Things devices}, journal = {Future Gener. Comput. Syst.}, volume = {92}, pages = {617--627}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.01.023}, doi = {10.1016/J.FUTURE.2018.01.023}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/LeeKJLKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YunLLK19, author = {Seok{-}Oh Yun and Jung Hoon Lee and Jin Lee and Choul{-}Young Kim}, title = {A Flexible Wireless Sensor Patch for Real-Time Monitoring of Heart Rate and Body Temperature}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {102-D}, number = {5}, pages = {1115--1118}, year = {2019}, url = {https://doi.org/10.1587/transinf.2018EDL8167}, doi = {10.1587/TRANSINF.2018EDL8167}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/YunLLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YunLLK19a, author = {Seok{-}Oh Yun and Jung Hoon Lee and Jin Lee and Choul{-}Young Kim}, title = {Implementation of Smart Dressing Systems Based on Flexible pH Sensors Using {PET} Films}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {102-D}, number = {8}, pages = {1572--1575}, year = {2019}, url = {https://doi.org/10.1587/transinf.2019EDL8056}, doi = {10.1587/TRANSINF.2019EDL8056}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/YunLLK19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/ParkLK19, author = {Jung{-}Heum Park and Hyeong{-}Seok Lim and Hee{-}Chul Kim}, title = {Fault-tolerant embedding of starlike trees into restricted hypercube-like graphs}, journal = {J. Comput. Syst. Sci.}, volume = {105}, pages = {104--115}, year = {2019}, url = {https://doi.org/10.1016/j.jcss.2019.02.005}, doi = {10.1016/J.JCSS.2019.02.005}, timestamp = {Thu, 08 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/ParkLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ChoPKLKKLCSKLLY19, author = {Junghwan Cho and Ki{-}Su Park and Manohar Karki and Eunmi Lee and Seokhwan Ko and Jong Kun Kim and Dongeun Lee and Jaeyoung Choe and Jeongwoo Son and Myungsoo Kim and Sukhee Lee and Jeongho Lee and Changhyo Yoon and Sinyoul Park}, title = {Improving Sensitivity on Identification and Delineation of Intracranial Hemorrhage Lesion Using Cascaded Deep Learning Models}, journal = {J. Digit. Imaging}, volume = {32}, number = {3}, pages = {450--461}, year = {2019}, url = {https://doi.org/10.1007/s10278-018-00172-1}, doi = {10.1007/S10278-018-00172-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ChoPKLKKLCSKLLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JinKSKSKYKR19, author = {Dong{-}Hwan Jin and Ji{-}Wook Kwon and Min{-}Jae Seo and Mi{-}Young Kim and Min{-}Chul Shin and Seokjoon Kang and Junghyuk Yoon and Taek{-}Seung Kim and Seung{-}Tak Ryu}, title = {A Reference-Free Temperature-Dependency-Compensating Readout Scheme for Phase-Change Memory Using Flash-ADC-Configured Sense Amplifiers}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {6}, pages = {1812--1823}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2899720}, doi = {10.1109/JSSC.2019.2899720}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JinKSKSKYKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/BaekJKLJKN19, author = {Ji{-}Yeon Baek and Young{-}Heon Jo and Wonkook Kim and Jong{-}Seok Lee and Dawoon Jung and Dae{-}Won Kim and Jungho Nam}, title = {A New Algorithm to Estimate Chlorophyll-A Concentrations in Turbid Yellow Sea Water Using a Multispectral Sensor in a Low-Altitude Remote Sensing System}, journal = {Remote. Sens.}, volume = {11}, number = {19}, pages = {2257}, year = {2019}, url = {https://doi.org/10.3390/rs11192257}, doi = {10.3390/RS11192257}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/BaekJKLJKN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiJCJK19, author = {Taewon Choi and Soonchul Jung and Yoon{-}Seok Choi and Hyeong{-}Ju Jeon and Jin{-}Seo Kim}, title = {Acquisition System Based on Multisensors for Preserving Traditional Korean Painting}, journal = {Sensors}, volume = {19}, number = {19}, pages = {4292}, year = {2019}, url = {https://doi.org/10.3390/s19194292}, doi = {10.3390/S19194292}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiJCJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiJKGK19, author = {Dong{-}Kyu Choi and Joong{-}Hwa Jung and Ji{-}In Kim and Moneeb Gohar and Seok{-}Joo Koh}, title = {IoT-Based Resource Control for In-Vehicle Infotainment Services: Design and Experimentation}, journal = {Sensors}, volume = {19}, number = {3}, pages = {620}, year = {2019}, url = {https://doi.org/10.3390/s19030620}, doi = {10.3390/S19030620}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiJKGK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungKKCKP19, author = {Dae{-}Hyun Jung and Hak{-}Jin Kim and Hyoung Seok Kim and Jaeyoung Choi and Jeong Do Kim and Soo Hyun Park}, title = {Fusion of Spectroscopy and Cobalt Electrochemistry Data for Estimating Phosphate Concentration in Hydroponic Solution}, journal = {Sensors}, volume = {19}, number = {11}, pages = {2596}, year = {2019}, url = {https://doi.org/10.3390/s19112596}, doi = {10.3390/S19112596}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungKKCKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HwangMJKPHL19, author = {Seokha Hwang and Seungsik Moon and Jaehwan Jung and Daesung Kim and In{-}Cheol Park and Jeongseok Ha and Youngjoo Lee}, title = {Energy-Efficient Symmetric {BC-BCH} Decoder Architecture for Mobile Storages}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {11}, pages = {4462--4475}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2922983}, doi = {10.1109/TCSI.2019.2922983}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HwangMJKPHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ParkKL19, author = {Jung{-}Heum Park and Jae{-}Hoon Kim and Hyeong{-}Seok Lim}, title = {Disjoint path covers joining prescribed source and sink sets in interval graphs}, journal = {Theor. Comput. Sci.}, volume = {776}, pages = {125--137}, year = {2019}, url = {https://doi.org/10.1016/j.tcs.2019.01.019}, doi = {10.1016/J.TCS.2019.01.019}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/ParkKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKJPASKLK19, author = {Hojung Kim and Yongkyu Kim and Hyunwook Ji and Hyunsik Park and Jungkwuen An and Hoon Song and Yun Tae Kim and Hong{-}Seok Lee and Kichul Kim}, title = {A Single-Chip {FPGA} Holographic Video Processor}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {3}, pages = {2066--2073}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2835424}, doi = {10.1109/TIE.2018.2835424}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKJPASKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/KimKJKPK19, author = {Jinyong Kim and Seokhwa Kim and Jaehoon Jeong and Hyoungshick Kim and Jung{-}Soo Park and Taeho Kim}, title = {{CBDN:} Cloud-Based Drone Navigation for Efficient Battery Charging in Drone Networks}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {20}, number = {11}, pages = {4174--4191}, year = {2019}, url = {https://doi.org/10.1109/TITS.2018.2883058}, doi = {10.1109/TITS.2018.2883058}, timestamp = {Fri, 21 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/KimKJKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/HongKIJK19, author = {Man Bok Hong and Sin{-}Jung Kim and Yong Seok Ihn and Gu{-}Cheol Jeong and Keehoon Kim}, title = {KULEX-Hand: An Underactuated Wearable Hand for Grasping Power Assistance}, journal = {{IEEE} Trans. Robotics}, volume = {35}, number = {2}, pages = {420--432}, year = {2019}, url = {https://doi.org/10.1109/TRO.2018.2880121}, doi = {10.1109/TRO.2018.2880121}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/HongKIJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LeeKJKK19, author = {In{-}Ho Lee and Jung{-}Bin Kim and Haejoon Jung and Seok{-}Chul Sean Kwon and Ernest Kurniawan}, title = {Advanced Wireless Technology for Ultrahigh Data Rate Communication}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2019}, pages = {9790853:1--9790853:2}, year = {2019}, url = {https://doi.org/10.1155/2019/9790853}, doi = {10.1155/2019/9790853}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LeeKJKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/ChoiEMJLKK19, author = {Kwang{-}Seong Choi and Yong{-}Sung Eom and Seok Hwan Moon and Jiho Joo and Kwangjoo Lee and Jung Hak Kim and Ju Hyeon Kim}, title = {Development of Laser-Assisted Bonding with Compression {(LABC)} Process for 3D {IC} Integration}, booktitle = {2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/3DIC48104.2019.9058890}, doi = {10.1109/3DIC48104.2019.9058890}, timestamp = {Sun, 19 Apr 2020 18:46:53 +0200}, biburl = {https://dblp.org/rec/conf/3dic/ChoiEMJLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/KimJLHKLGPKJLYK19, author = {Kwangyoun Kim and Seokyeong Jung and Jungin Lee and Myoungji Han and Chanwoo Kim and Kyungmin Lee and Dhananjaya Gowda and Junmo Park and Sungsoo Kim and Sichen Jin and Young{-}Yoon Lee and Jinsu Yeo and Daehyun Kim}, title = {Attention Based On-Device Streaming Speech Recognition with Large Speech Corpus}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2019, Singapore, December 14-18, 2019}, pages = {956--963}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASRU46091.2019.9004027}, doi = {10.1109/ASRU46091.2019.9004027}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asru/KimJLHKLGPKJLYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KimKLKSBLKJYHLL19, author = {Sang Joon Kim and Joonseong Kang and Wonseok Lee and Jonghan Kim and Junyeub Suh and Chisung Bae and Hyungwoo Lee and Jongpal Kim and Seungchul Jung and Seok{-}Ju Yun and Young{-}Jun Hong and Hankyu Lee and Jaechun Lee and Seong Joong Kim}, title = {Micro Bio Processor: a 0.144cc 70uW closed loop platform for body implant electroceutical systems}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918718}, doi = {10.1109/BIOCAS.2019.8918718}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/KimKLKSBLKJYHLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhnHKJLCLK19, author = {Minwook Ahn and Seok Joong Hwang and Wonsub Kim and Seungrok Jung and Yeonbok Lee and Mookyoung Chung and Woohyung Lim and Young Joon Kim}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {{AIX:} {A} high performance and energy efficient inference accelerator on {FPGA} for a DNN-based commercial speech recognition}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1495--1500}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8714950}, doi = {10.23919/DATE.2019.8714950}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/AhnHKJLCLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/JungKRKJ19, author = {Seokyong Jung and Jong{-}Bin Kim and Minsoo Ryu and Sooyong Kang and Hyungsoo Jung}, editor = {Arif Merchant and Hakim Weatherspoon}, title = {Pay Migration Tax to Homeland: Anchor-based Scalable Reference Counting for Multicores}, booktitle = {17th {USENIX} Conference on File and Storage Technologies, {FAST} 2019, Boston, MA, February 25-28, 2019}, pages = {79--91}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/fast19/presentation/jung}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fast/JungKRKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JuHKS19, author = {Yoon Seok Ju and Joon Sun Hwang and Si Jung Kim and Hae Jung Suk}, editor = {Constantine Stephanidis}, title = {Study of Eye Gaze and Presence Effect in Virtual Reality}, booktitle = {{HCI} International 2019 - Posters - 21st International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1033}, pages = {446--449}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-23528-4\_60}, doi = {10.1007/978-3-030-23528-4\_60}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/JuHKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/JungCCJKK19, author = {Soonchul Jung and Taewon Choi and Yoon{-}Seok Choi and In{-}Su Jang and Jae Woo Kim and Jin{-}Seo Kim}, title = {Multisensory Capture System for the Preservation of Traditional Painting}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {467--470}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8701893}, doi = {10.23919/ICACT.2019.8701893}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/JungCCJKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/KimLKP19, author = {Kyu{-}Han Kim and Heung Seok Lee and Jung{-}Hwan Kim and June Ho Park}, title = {Detection of Boiler Tube Leakage Fault in a Thermal Power Plant Using Machine Learning Based Data Mining Technique}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2019, Melbourne, Australia, February 13-15, 2019}, pages = {1006--1010}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIT.2019.8755058}, doi = {10.1109/ICIT.2019.8755058}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/KimLKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiKHKHL19, author = {Hyeong{-}Seok Choi and Jang{-}Hyun Kim and Jaesung Huh and Adrian Kim and Jung{-}Woo Ha and Kyogu Lee}, title = {Phase-Aware Speech Enhancement with Deep Complex U-Net}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=SkeRTsAcYm}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiKHKHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiJkKP19, author = {Dong{-}Kyu Choi and Joong{-}Hwa Jung and Seok Joo Koh and Ji{-}In Kim and Juyoung Park}, title = {In-Vehicle Infotainment Management System in Internet-of-Things Networks}, booktitle = {33rd International Conference on Information Networking, {ICOIN} 2019, Kuala Lumpur, Malaysia, January 9-11, 2019}, pages = {88--92}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICOIN.2019.8718192}, doi = {10.1109/ICOIN.2019.8718192}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoiJkKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/JungCKK19, author = {Joong{-}Hwa Jung and Dong{-}Kyu Choi and Ji{-}In Kim and Seok Joo Koh}, title = {Mobility Management for Healthcare Services in CoAP-Based IoT Networks}, booktitle = {33rd International Conference on Information Networking, {ICOIN} 2019, Kuala Lumpur, Malaysia, January 9-11, 2019}, pages = {7--12}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICOIN.2019.8718156}, doi = {10.1109/ICOIN.2019.8718156}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/JungCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJKJJ19, author = {In Su Kim and Yunju Jeong and Seock Ho Kim and Jae Seok Jang and Soon Ki Jung}, title = {Deep Learning based Effective Surveillance System for Low-Illumination Environments}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {141--143}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806120}, doi = {10.1109/ICUFN.2019.8806120}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimJKJJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/LeeKKP19, author = {Heung Seok Lee and Kyu{-}Han Kim and Jung{-}Hwan Kim and June Ho Park}, title = {A Frequency control using multiple {BESS} in islanded Microgrid}, booktitle = {17th {IEEE} International Conference on Industrial Informatics, {INDIN} 2019, Helsinki, Finland, July 22-25, 2019}, pages = {1531--1535}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/INDIN41052.2019.8972321}, doi = {10.1109/INDIN41052.2019.8972321}, timestamp = {Tue, 04 Feb 2020 11:25:12 +0100}, biburl = {https://dblp.org/rec/conf/indin/LeeKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKSKS19, author = {Nam{-}Hyun Lee and Jongkyun Kim and Donghee Son and Kangjun Kim and Jung Eun Seok}, title = {Comprehensive Study for OFF-State Hot Carrier Degrdation of Scaled nMOSFETs in {DRAM}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720444}, doi = {10.1109/IRPS.2019.8720444}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKSKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LeeYKHKSJBCLC19, author = {Sun Jung Lee and Sung Hye Yu and Yejin Kim and Jun Hyuk Hong and Choung{-}Soo Kim and Seong Il Seo and Chang Wook Jeong and Seok{-}Soo Byun and Byung Ha Chung and Ji Youl Lee and In Young Choi}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Development of Integrated Data and Prediction System Platform for the Localized Prostate Cancer}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1506--1507}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190507}, doi = {10.3233/SHTI190507}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LeeYKHKSJBCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/DooKLKPOC19, author = {Kyeong{-}Hwan Doo and Kwangok Kim and Han Hyub Lee and Seung Hwan Kim and Heuk Park and Jung{-}Yeol Oh and Hwan Seok Chung}, title = {Optical Access and Transport Technologies for 5G and Beyond}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8818097}, doi = {10.23919/PS.2019.8818097}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ps/DooKLKPOC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChoiJK19, author = {Yoon{-}Seok Choi and Soonchul Jung and Jin{-}Seo Kim}, title = {A Method of Making Wound Molds for Prosthetic Makeup using 3D Printer}, booktitle = {{SIGGRAPH} Asia 2019 Posters, {SA} 2019, Brisbane, QLD, Australia, November 17-20, 2019}, pages = {5:1--5:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3355056.3364573}, doi = {10.1145/3355056.3364573}, timestamp = {Thu, 28 Nov 2019 15:57:28 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChoiJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKKLJS19, author = {SungPyo Lee and Hyeonseong Kim and Dongwoo Ko and Minji Lee and Byungkwan Jung and Sangok Seok}, title = {Trajectory Tracking of End Effector on Mobile Robot with Multiple Onboard Cameras}, booktitle = {16th International Conference on Ubiquitous Robots, {UR} 2019, Jeju, South Korea, June 24-27, 2019}, pages = {212--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/URAI.2019.8768778}, doi = {10.1109/URAI.2019.8768778}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKKLJS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-04985, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Wook Song and Jaeyun Jung and Hyoungjoo Ahn and Sangjung Woo and Youngchul Cho and JinHyuck Park and Sewon Oh and Hong{-}Seok Kim}, title = {NNStreamer: Stream Processing Paradigm for Neural Networks, Toward Efficient Development and Execution of On-Device {AI} Applications}, journal = {CoRR}, volume = {abs/1901.04985}, year = {2019}, url = {http://arxiv.org/abs/1901.04985}, eprinttype = {arXiv}, eprint = {1901.04985}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-04985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungKML18, author = {Hai Young Jung and Sung{-}Hwan Kim and Byunghee Moon and Seok{-}Hyun Lee}, title = {A New Circuit Design of Two-Switch Buck-Boost Converter}, journal = {{IEEE} Access}, volume = {6}, pages = {47415--47423}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2866886}, doi = {10.1109/ACCESS.2018.2866886}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungKML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/data/KimJHLLSSO18, author = {Dowan Kim and Myunghee Jung and In Jin Ha and Min Young Lee and Seok{-}Geun Lee and Younhee Shin and Sathiyamoorthy Subramaniyam and Jaehyeon Oh}, title = {Transcriptional Profiles of Secondary Metabolite Biosynthesis Genes and Cytochromes in the Leaves of Four \emph{Papaver} Species}, journal = {Data}, volume = {3}, number = {4}, pages = {55}, year = {2018}, url = {https://doi.org/10.3390/data3040055}, doi = {10.3390/DATA3040055}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/data/KimJHLLSSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YiCHBCJKSP18, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Time-Based Receiver With 2-Tap Decision Feedback Equalizer for Single-Ended Mobile {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {144--154}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2746698}, doi = {10.1109/JSSC.2017.2746698}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YiCHBCJKSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/YooKKJLH18, author = {Sooyoung Yoo and Seok Kim and Eunhye Kim and Eunja Jung and Kee{-}Hyuck Lee and Hee Hwang}, title = {Real-time location system-based asset tracking in the healthcare field: lessons learned from a feasibility study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {18}, number = {1}, pages = {80:1--80:10}, year = {2018}, url = {https://doi.org/10.1186/s12911-018-0656-0}, doi = {10.1186/S12911-018-0656-0}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/YooKKJLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/JeonLJK18, author = {Seokhee Jeon and Hong{-}rae Lee and Jiyoung Jung and Jin Ryong Kim}, title = {User-Adaptive Key Click Vibration on Virtual Keyboard}, journal = {Mob. Inf. Syst.}, volume = {2018}, pages = {6126140:1--6126140:12}, year = {2018}, url = {https://doi.org/10.1155/2018/6126140}, doi = {10.1155/2018/6126140}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/JeonLJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimLKLSL18, author = {Jongkyun Kim and Namhyun Lee and Gang{-}Jun Kim and Young{-}Yun Lee and Jung{-}Eun Seok and Yunsung Lee}, title = {Effect of OFF-state stress on reliability of nMOSFET in {SWD} circuits of {DRAM}}, journal = {Microelectron. Reliab.}, volume = {88-90}, pages = {183--185}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2018.06.101}, doi = {10.1016/J.MICROREL.2018.06.101}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimLKLSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimLKSL18, author = {Gang{-}Jun Kim and Nam{-}Hyun Lee and Jongkyun Kim and Jung Eun Seok and Yunsung Lee}, title = {Effect of {DC/AC} stress on the reliability of cell capacitor in {DRAM}}, journal = {Microelectron. Reliab.}, volume = {88-90}, pages = {179--182}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2018.06.117}, doi = {10.1016/J.MICROREL.2018.06.117}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimLKSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeKLZJR18, author = {Sang{-}Ho Lee and Bu{-}Yo Kim and Kyu{-}Tae Lee and Il{-}Sung Zo and Hyun{-}Seok Jung and Se{-}Hun Rim}, title = {Retrieval of Reflected Shortwave Radiation at the Top of the Atmosphere Using Himawari-8/AHI Data}, journal = {Remote. Sens.}, volume = {10}, number = {2}, pages = {213}, year = {2018}, url = {https://doi.org/10.3390/rs10020213}, doi = {10.3390/RS10020213}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeKLZJR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AbbasLBIHPLKKCK18, author = {Naseem Abbas and Xun Lu and Mohsin Ali Badshah and Jung Bin In and Won Il Heo and Kui Young Park and Mi{-}Kyung Lee and Cho Hee Kim and Pilwon Kang and Woo{-}Jin Chang and Seok{-}Min Kim and Seong Jun Seo}, title = {Development of a Protein Microarray Chip with Enhanced Fluorescence for Identification of Semen and Vaginal Fluid}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3874}, year = {2018}, url = {https://doi.org/10.3390/s18113874}, doi = {10.3390/S18113874}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AbbasLBIHPLKKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLJJPLKL18, author = {Heekyeong Park and Sungho Lee and Seok Hwan Jeong and Ui Hyun Jung and Kidong Park and Min Goo Lee and Sunkook Kim and Joonhyung Lee}, title = {Enhanced Moisture-Reactive Hydrophilic-PTFE-Based Flexible Humidity Sensor for Real-Time Monitoring}, journal = {Sensors}, volume = {18}, number = {3}, pages = {921}, year = {2018}, url = {https://doi.org/10.3390/s18030921}, doi = {10.3390/S18030921}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkLJJPLKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/JungKKLK18, author = {Hyoyoung Jung and Kiseon Kim and Jaewook Kang and Tae Seok Lee and Suil Kim}, title = {An iALM-ICA-Based Antijamming {DS-CDMA} Receiver for {LMS} Systems}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {54}, number = {5}, pages = {2318--2328}, year = {2018}, url = {https://doi.org/10.1109/TAES.2018.2814319}, doi = {10.1109/TAES.2018.2814319}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/JungKKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKK18, author = {Jun{-}Seok Kim and Jung{-}Min Kwon and Bong{-}Hwan Kwon}, title = {High-Efficiency Two-Stage Three-Level Grid-Connected Photovoltaic Inverter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {3}, pages = {2368--2377}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2740835}, doi = {10.1109/TIE.2017.2740835}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimWKL18, author = {Seok{-}Min Kim and In Jung Won and Juyong Kim and Kyo{-}Beum Lee}, title = {DC-Link Ripple Current Reduction Method for Three-Level Inverters With Optimal Switching Pattern}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {12}, pages = {9204--9214}, year = {2018}, url = {https://doi.org/10.1109/TIE.2018.2823662}, doi = {10.1109/TIE.2018.2823662}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/KimWKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KwonKKK18, author = {Owon Kwon and Jun{-}Seok Kim and Jung{-}Min Kwon and Bong{-}Hwan Kwon}, title = {Bidirectional Grid-Connected Single-Power-Conversion Converter With Low-Input Battery Voltage}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {4}, pages = {3136--3144}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2752127}, doi = {10.1109/TIE.2017.2752127}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KwonKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SeoKCL18, author = {Jung{-}hyun Seo and Jong{-}Seok Kim and Hyung Jae Chang and Hyeong{-}Ok Lee}, title = {The hierarchical Petersen network: a new interconnection network with fixed degree}, journal = {J. Supercomput.}, volume = {74}, number = {4}, pages = {1636--1654}, year = {2018}, url = {https://doi.org/10.1007/s11227-017-2186-4}, doi = {10.1007/S11227-017-2186-4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SeoKCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JinKJKC18, author = {Ja{-}Hoon Jin and Seok Kim and Xuefan Jin and Sang{-}Hoon Kim and Jung{-}Hoon Chun}, title = {A 12.5-Gb/s Near-Ground Transceiver Employing a MaxEye Algorithm-Based Adaptation Technique}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {3}, pages = {522--530}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2017.2773642}, doi = {10.1109/TVLSI.2017.2773642}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JinKJKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShimJMBSKSBJ18, author = {Minseob Shim and Seokhyeon Jeong and Paul D. Myers and Suyoung Bang and Junhua Shen and Chulwoo Kim and Dennis Sylvester and David T. Blaauw and Wanyeong Jung}, editor = {Youngsoo Shin}, title = {Edge pursuit comparator with application in a 74.1dB SNDR, 20KS/s 15b {SAR} {ADC}}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {295--296}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297327}, doi = {10.1109/ASPDAC.2018.8297327}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShimJMBSKSBJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/JungLGKKHKC18, author = {Kyeong Joo Jung and Bang Hun Lee and Yeon Nam Gung and Jun Seok Kim and Hyung Suk Kim and Ju Seong Han and Tomaspeter Kim and Bong Jun Choi}, editor = {Jong Kim and Gail{-}Joon Ahn and Seungjoo Kim and Yongdae Kim and Javier L{\'{o}}pez and Taesoo Kim}, title = {{POSTER:} Undetectable Task Bypassing {OS} Scheduler via Hardware Task Switching}, booktitle = {Proceedings of the 2018 on Asia Conference on Computer and Communications Security, AsiaCCS 2018, Incheon, Republic of Korea, June 04-08, 2018}, pages = {801--803}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3196494.3201582}, doi = {10.1145/3196494.3201582}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccs/JungLGKKHKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTVLPNKCK18, author = {Andrey Ignatov and Radu Timofte and Thang Van Vu and Tung Minh Luu and Trung X. Pham and Cao Van Nguyen and Yongwoo Kim and Jae{-}Seok Choi and Munchurl Kim and Jie Huang and Jiewen Ran and Chen Xing and Xingguang Zhou and Pengfei Zhu and Mingrui Geng and Yawei Li and Eirikur Agustsson and Shuhang Gu and Luc Van Gool and Etienne de Stoutz and Nikolay Kobyshev and Kehui Nie and Yan Zhao and Gen Li and Tong Tong and Qinquan Gao and Hanwen Liu and Pablo Navarrete Michelini and Dan Zhu and Hu Fengshuo and Zheng Hui and Xiumei Wang and Lirui Deng and Rang Meng and Jinghui Qin and Yukai Shi and Wushao Wen and Liang Lin and Ruicheng Feng and Shixiang Wu and Chao Dong and Yu Qiao and Subeesh Vasu and Thekke Madam Nimisha and Praveen Kandula and A. N. Rajagopalan and Jie Liu and Cheolkon Jung}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {{PIRM} Challenge on Perceptual Image Enhancement on Smartphones: Report}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {315--333}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_20}, doi = {10.1007/978-3-030-11021-5\_20}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTVLPNKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HwangLJIJYOK18, author = {Donghyun Hwang and ByeongKyu Lim and Hyun Gi Jung and Yong Seok Ihn and Jinwoo Jeong and Sehyuk Yim and Sang{-}Rok Oh and Keehoon Kim}, title = {A Pilot Study On The Novel Non-Invasive Nerve-Holder With Negative-Pressure Suctions}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {1789--1792}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512555}, doi = {10.1109/EMBC.2018.8512555}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HwangLJIJYOK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JinKKPCKJ18, author = {Jahoon Jin and Jaekwon Kim and Hye{-}Ran Kim and Canxing Piao and Jaemin Choi and Dong{-}Seok Kang and C. Jung{-}Hoon}, title = {A 4.0-10.0-Gb/s Referenceless {CDR} with Wide-Range, Jitter-Tolerant, and Harmonic-Lock-Free Frequency Acquisition Technique}, booktitle = {44th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2018, Dresden, Germany, September 3-6, 2018}, pages = {146--149}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ESSCIRC.2018.8494234}, doi = {10.1109/ESSCIRC.2018.8494234}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/JinKKPCKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LiCKJYKJKS18, author = {Jiangyi Li and Pavan Kumar Chundi and Sung Kim and Zhewei Jiang and Minhao Yang and Joonseong Kang and Seungchul Jung and Sang Joon Kim and Mingoo Seok}, title = {A 0.78-{\(\mathrm{\mu}\)}W 96-Ch. Deep Sub-Vt Neural Spike Processor Integrated with a Nanowatt Power Management Unit}, booktitle = {44th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2018, Dresden, Germany, September 3-6, 2018}, pages = {154--157}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ESSCIRC.2018.8494273}, doi = {10.1109/ESSCIRC.2018.8494273}, timestamp = {Tue, 23 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LiCKJYKJKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icigp/KimLC18, author = {Jung Soo Kim and Min{-}Kyu Lee and Ki{-}Seok Chung}, title = {Image Blending Techniques Based on {GPU} Acceleration}, booktitle = {Proceedings of the 2018 International Conference on Image and Graphics Processing, {ICIGP} 2018, Hong Kong, February 24-26, 2018}, pages = {106--109}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3191442.3191471}, doi = {10.1145/3191442.3191471}, timestamp = {Mon, 16 May 2022 16:36:15 +0200}, biburl = {https://dblp.org/rec/conf/icigp/KimLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HanJKKBC18, author = {Seongbae Han and Jaemin Jung and Heeyoung Kim and Seokki Kim and Seungkwon Baek and Sooyong Choi}, title = {Achievable Rate Evaluation by System Level Simulation for mm Wave Based Backhaul Network Adopting in-Band Full-Duplex}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {607--609}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436798}, doi = {10.1109/ICUFN.2018.8436798}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HanJKKBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JungKHJKBC18, author = {Jaemin Jung and Heeyoung Kim and Seongbae Han and Young Rok Jang and Seokki Kim and Seungkwon Baek and Sooyong Choi}, title = {Initial Beam Selection Scheme Using Channel Correlation Matrix in mmWave Massive {MIMO} Systems}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {744--747}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436978}, doi = {10.1109/ICUFN.2018.8436978}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JungKHJKBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJHKBC18, author = {Heeyoung Kim and Jaemin Jung and Seongbae Han and Seokki Kim and Seungkwon Baek and Sooyong Choi}, title = {Low Complexity Beam Searching Algorithm Using Asymptotic Property of Massive {MIMO} Systems}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {589--591}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436659}, doi = {10.1109/ICUFN.2018.8436659}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimJHKBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ThundatKCCKL18, author = {Thomas Thundat and Faheem Khan and Swathi Chaudhari and Kamalesh Chaudhari and Seokbeom Kim and Jungcul Lee}, title = {Microfluidic Cantilever Biosensors}, booktitle = {2018 {IEEE} SENSORS, New Delhi, India, October 28-31, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSENS.2018.8589951}, doi = {10.1109/ICSENS.2018.8589951}, timestamp = {Mon, 19 Dec 2022 11:25:47 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ThundatKCCKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JungUSHLK18, author = {Hyunki Jung and Dzuhri Radityo Utomo and Saebyeok Shin and Seok{-}Kyun Han and Sang{-}Gug Lee and Jusung Kim}, title = {Ka-band {RF} Front-End with 5dB {NF} and 16dB conversion gain in 45nm {CMOS} technology}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {105--106}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649986}, doi = {10.1109/ISOCC.2018.8649986}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JungUSHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ShinUJHLK18, author = {Saebyeok Shin and Dzuhri Radityo Utomo and Hyunki Jung and Seok{-}Kyun Han and Sang{-}Gug Lee and Jusung Kim}, title = {A 22.8-to-32.4 GHz Injection-locked Frequency Tripler with Source Degeneration}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {107--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649927}, doi = {10.1109/ISOCC.2018.8649927}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ShinUJHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AnHPKYLJKBCHK18, author = {Jae{-}Sung An and Sang{-}Hyun Han and Kyeong{-}Bin Park and Ju Eon Kim and Jae{-}Hun Ye and Seung{-}Hwan Lee and Ji{-}Yong Jeong and Jung Soo Kim and Kwang{-}Hyun Baek and Ki{-}Seok Chung and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {Multi-way interactive capacitive touch system with palm rejection of active stylus for 86" touch screen panels}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {182--184}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310244}, doi = {10.1109/ISSCC.2018.8310244}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AnHPKYLJKBCHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yong{-}Gyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Dae{-}Sik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Mon, 28 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCPJKOOPKLJKY18, author = {Yitae Kim and Wonchul Choi and Donghyuk Park and Heegeun Jeoung and Bumsuk Kim and Youngsun Oh and Sunghoon Oh and Byungjun Park and Euiyeol Kim and Yunki Lee and Taesub Jung and Yongwoon Kim and Sukki Yoon and Seokyong Hong and Jesuk Lee and Sangil Jung and Changrok Moon and Yongin Park and Duckhyung Lee and Duckhyun Chang}, title = {A 1/2.8-inch 24Mpixel {CMOS} image sensor with 0.9{\(\mu\)}m unit pixels separated by full-depth deep-trench isolation}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {84--86}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310195}, doi = {10.1109/ISSCC.2018.8310195}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCPJKOOPKLJKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinCKYJSPJJHCK18, author = {Se{-}un Shin and Minseong Choi and Seok{-}Tae Koh and Yu{-}Jin Yang and Seungchul Jung and Young{-}Hoon Sohn and Se{-}Hong Park and Yong{-}Min Ju and Youngsin Jo and Yeunhee Huh and Sung{-}Won Choi and Sang Joon Kim and Gyu{-}Hyeong Cho}, title = {A 13.56MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {154--156}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310230}, doi = {10.1109/ISSCC.2018.8310230}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinCKYJSPJJHCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeBKKY18, author = {Sang{-}gil Lee and Jae Seok Bae and Hyunjae Kim and Jung Hoon Kim and Sungroh Yoon}, editor = {Alejandro F. Frangi and Julia A. Schnabel and Christos Davatzikos and Carlos Alberola{-}L{\'{o}}pez and Gabor Fichtinger}, title = {Liver Lesion Detection from Weakly-Labeled Multi-phase {CT} Volumes with a Grouped Single Shot MultiBox Detector}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2018 - 21st International Conference, Granada, Spain, September 16-20, 2018, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11071}, pages = {693--701}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00934-2\_77}, doi = {10.1007/978-3-030-00934-2\_77}, timestamp = {Sat, 09 Apr 2022 12:46:25 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeBKKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeHKKJCYHKB18, author = {Seo{-}Young Lee and Young{-}Tak Han and Jong{-}Hoi Kim and Young{-}Ho Ko and Hyun{-}Do Jung and Joong{-}Seon Choe and Chun Ju Youn and Won{-}Seok Han and Seok{-}Tae Kim and Yongsoon Baek}, title = {Low-Cost Hybrid-Integrated Micro-Intradyne Coherent Receiver Using {FPCB} wirings}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8385881}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeHKKJCYHKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/BaekKJJ18, author = {Ki Yeol Baek and In Su Kim and Jae Seok Jang and Soon Ki Jung}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {A prototype of a self-motion training system based on deep convolutional neural network and multiple FAMirror}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {296--301}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264788}, doi = {10.1145/3264746.3264788}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/BaekKJJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChoiJJCK18, author = {Yoon{-}Seok Choi and Soonchul Jung and In{-}Su Jang and Taewon Choi and Jin{-}Seo Kim}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Automatic perforation system for korean traditional painting: Dancheong}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {57:1--57:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283331}, doi = {10.1145/3283289.3283331}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChoiJJCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoiHPYBSJYYAKH18, author = {Sung{-}Won Choi and Yeunhee Huh and Sang{-}Hui Park and Kye{-}Seok Yoon and Jun{-}Suk Bang and Se{-}Un Shin and Yong{-}Min Ju and Yu{-}Jin Yang and Junghyuk Yoon and Changyong Ahn and Taekseung Kim and Sung{-}Wan Hong and Gyu{-}Hyeong Cho}, title = {A Quasi-Digital Ultra-Fast Capacitor-Less Low-Dropout Regulator Based on Comparator Control for x8 Current Spike of {PCRAM} Systems}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {107--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502348}, doi = {10.1109/VLSIC.2018.8502348}, timestamp = {Wed, 31 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/ChoiHPYBSJYYAKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-02549, author = {Hyoyoung Jung and Jaewook Kang and Tae Seok Lee and Suil Kim and Kiseon Kim}, title = {An iALM-ICA-based Anti-Jamming {DS-CDMA} Receiver for {LMS} Systems}, journal = {CoRR}, volume = {abs/1803.02549}, year = {2018}, url = {http://arxiv.org/abs/1803.02549}, eprinttype = {arXiv}, eprint = {1803.02549}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-02549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-00436, author = {Sang{-}gil Lee and Jae Seok Bae and Hyunjae Kim and Jung Hoon Kim and Sungroh Yoon}, title = {Liver Lesion Detection from Weakly-labeled Multi-phase {CT} Volumes with a Grouped Single Shot MultiBox Detector}, journal = {CoRR}, volume = {abs/1807.00436}, year = {2018}, url = {http://arxiv.org/abs/1807.00436}, eprinttype = {arXiv}, eprint = {1807.00436}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-00436.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01641, author = {Andrey Ignatov and Radu Timofte and Thang Van Vu and Tung Minh Luu and Trung X. Pham and Cao Van Nguyen and Yongwoo Kim and Jae{-}Seok Choi and Munchurl Kim and Jie Huang and Jiewen Ran and Chen Xing and Xingguang Zhou and Pengfei Zhu and Mingrui Geng and Yawei Li and Eirikur Agustsson and Shuhang Gu and Luc Van Gool and Etienne de Stoutz and Nikolay Kobyshev and Kehui Nie and Yan Zhao and Gen Li and Tong Tong and Qinquan Gao and Hanwen Liu and Pablo Navarrete Michelini and Dan Zhu and Hu Fengshuo and Zheng Hui and Xiumei Wang and Lirui Deng and Rang Meng and Jinghui Qin and Yukai Shi and Wushao Wen and Liang Lin and Ruicheng Feng and Shixiang Wu and Chao Dong and Yu Qiao and Subeesh Vasu and Thekke Madam Nimisha and Praveen Kandula and A. N. Rajagopalan and Jie Liu and Cheolkon Jung}, title = {{PIRM} Challenge on Perceptual Image Enhancement on Smartphones: Report}, journal = {CoRR}, volume = {abs/1810.01641}, year = {2018}, url = {http://arxiv.org/abs/1810.01641}, eprinttype = {arXiv}, eprint = {1810.01641}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-03285, author = {Chanwoo Park and Jae{-}Myung Kim and Seok Hyeon Ha and Jungwoo Lee}, title = {Sampling-based Bayesian Inference with gradient uncertainty}, journal = {CoRR}, volume = {abs/1812.03285}, year = {2018}, url = {http://arxiv.org/abs/1812.03285}, eprinttype = {arXiv}, eprint = {1812.03285}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-03285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonJKL17, author = {Byunghee Moon and Hai Young Jung and Sung{-}Hwan Kim and Seok{-}Hyun Lee}, title = {A Modified Topology of Two-Switch Buck-Boost Converter}, journal = {{IEEE} Access}, volume = {5}, pages = {17772--17780}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2749418}, doi = {10.1109/ACCESS.2017.2749418}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MoonJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/LeeK17, author = {Seok{-}Hoon Lee and Jung{-}Yup Kim}, title = {Walking algorithm for a robotic transfemoral prosthesis capable of walking pattern recognition and posture stabilization}, journal = {Adv. Robotics}, volume = {31}, number = {18}, pages = {965--989}, year = {2017}, url = {https://doi.org/10.1080/01691864.2017.1381645}, doi = {10.1080/01691864.2017.1381645}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/LeeK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChoLCCK17, author = {Hyun Cho and Sang{-}Kyu Lee and Jung{-}Seok Choi and Samwook Choi and Dai{-}Jin Kim}, title = {An exploratory study on association between Internet game contents and aggression in Korean adolescents}, journal = {Comput. Hum. Behav.}, volume = {73}, pages = {257--262}, year = {2017}, url = {https://doi.org/10.1016/j.chb.2016.12.077}, doi = {10.1016/J.CHB.2016.12.077}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ChoLCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/JeongJK17, author = {Won Ho Jeong and Myoung{-}won Jung and Kyung{-}Seok Kim}, title = {Empirical cross-correlation modelling of multiple-input-multiple-output channel considering outdoor building density}, journal = {{IET} Commun.}, volume = {11}, number = {11}, pages = {1782--1788}, year = {2017}, url = {https://doi.org/10.1049/iet-com.2016.1440}, doi = {10.1049/IET-COM.2016.1440}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/JeongJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LeeJHYBBK17, author = {Kee{-}Hyuck Lee and Seyoung Jung and Hee Hwang and Sooyoung Yoo and Hyunyoung Baek and Rong{-}Min Baek and Seok Kim}, title = {A novel concept for integrating and delivering health information using a comprehensive digital dashboard: An analysis of healthcare professionals' intention to adopt a new system and the trend of its real usage}, journal = {Int. J. Medical Informatics}, volume = {97}, pages = {98--108}, year = {2017}, url = {https://doi.org/10.1016/j.ijmedinf.2016.10.001}, doi = {10.1016/J.IJMEDINF.2016.10.001}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LeeJHYBBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/ChoiCPKCLGKHCCL17, author = {Hwanho Choi and Sun Young Cho and Ho Jeong Pak and Youngsoo Kim and Jung{-}Yun Choi and Yoon Jae Lee and Byung Hee Gong and Yeon Seok Kang and Taehoon Han and Geunbae Choi and Yeeun Cho and Soomin Lee and Dekwoo Ryoo and Hwangseo Park}, title = {{NPCARE:} database of natural products and fractional extracts for cancer regulation}, journal = {J. Cheminformatics}, volume = {9}, number = {1}, pages = {2:1--2:9}, year = {2017}, url = {https://doi.org/10.1186/s13321-016-0188-5}, doi = {10.1186/S13321-016-0188-5}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcheminf/ChoiCPKCLGKHCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/ParkKL17, author = {Jung{-}Heum Park and Hee{-}Chul Kim and Hyeong{-}Seok Lim}, title = {Disjoint path covers with path length constraints in restricted hypercube-like graphs}, journal = {J. Comput. Syst. Sci.}, volume = {89}, pages = {246--269}, year = {2017}, url = {https://doi.org/10.1016/j.jcss.2017.05.007}, doi = {10.1016/J.JCSS.2017.05.007}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/ParkKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShimJMBSKSBJ17, author = {Minseob Shim and Seokhyeon Jeong and Paul D. Myers and Suyoung Bang and Junhua Shen and Chulwoo Kim and Dennis Sylvester and David T. Blaauw and Wanyeong Jung}, title = {Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based Comparator With Application in a 74.1 dB {SNDR} and 20 kS/s 15 b {SAR} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {4}, pages = {1077--1090}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2631299}, doi = {10.1109/JSSC.2016.2631299}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShimJMBSKSBJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JungMK17, author = {Kye{-}Dong Jung and Seok{-}Jae Moon and Jin{-}Mook Kim}, title = {Data access control method for multimedia content data sharing and security based on {XMDR-DAI} in mobile cloud storage}, journal = {Multim. Tools Appl.}, volume = {76}, number = {19}, pages = {19983--19999}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-4016-8}, doi = {10.1007/S11042-016-4016-8}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JungMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/KimJKLN17, author = {Hyun Kim and Hun{-}Young Jung and Hong{-}Seok Kwon and Jong{-}Hyeok Lee and Seung{-}Hoon Na}, title = {Predictor-Estimator: Neural Quality Estimation Based on Target Word Prediction for Machine Translation}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {17}, number = {1}, pages = {3:1--3:22}, year = {2017}, url = {https://doi.org/10.1145/3109480}, doi = {10.1145/3109480}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/KimJKLN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JunLLLKKSKJKC17, author = {Dong{-}San Jun and Sung{-}Chang Lim and Jinho Lee and Hahyun Lee and Jongho Kim and Jungwon Kang and Jinwook Seok and Younhee Kim and Soon{-}Heung Jung and Hui Yong Kim and Jin Soo Choi}, title = {Development of an ultra-HD {HEVC} encoder using {SIMD} implementation and fast encoding schemes for smart surveillance system}, journal = {J. Supercomput.}, volume = {73}, number = {3}, pages = {940--960}, year = {2017}, url = {https://doi.org/10.1007/s11227-016-1807-7}, doi = {10.1007/S11227-016-1807-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/JunLLLKKSKJKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/JungYKSK17, author = {Woo{-}Suk Jung and Jeong{-}Han Yun and Sin{-}Kyu Kim and Kyu{-}Seok Shim and Myung{-}Sup Kim}, title = {Structured whitelist generation in {SCADA} network using PrefixSpan algorithm}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {326}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094163}, doi = {10.1109/APNOMS.2017.8094163}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/JungYKSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ShimGKCK17, author = {Kyu{-}Seok Shim and Young{-}Hoon Goo and Sungyun Kim and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {SigManager: Automatic payload signature management system for the classification of dynamically changing internet applications}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {350--353}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094145}, doi = {10.1109/APNOMS.2017.8094145}, timestamp = {Tue, 07 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/ShimGKCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/SijaGSKCK17, author = {Baraka D. Sija and Young{-}Hoon Goo and Kyu{-}Seok Shim and Sungyun Kim and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {Survey on network protocol reverse engineering approaches, methods and tools}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {271--274}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094126}, doi = {10.1109/APNOMS.2017.8094126}, timestamp = {Tue, 07 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/SijaGSKCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HwangJKHPL17, author = {Seokha Hwang and Jaehwan Jung and Daesung Kim and Jeongseok Ha and In{-}Cheol Park and Youngjoo Lee}, title = {An energy-optimized (37840, 34320) symmetric {BC-BCH} decoder for healthy mobile storages}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {169--172}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240243}, doi = {10.1109/ASSCC.2017.8240243}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/HwangJKHPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coria/KimJRPJJKM17, author = {Kyung{-}Min Kim and Jinhong Jung and Jihee Ryu and Ha{-}Myung Park and Joseph P. Joohee and Seokwoo Jeong and U Kang and Sung{-}Hyon Myaeng}, editor = {Jian{-}Yun Nie and Sylvain Lamprier}, title = {A New Question Answering Approach with Conceptual Graphs}, booktitle = {COnf{\'{e}}rence en Recherche d'Informations et Applications - {CORIA} 2017, 14th French Information Retrieval Conference, Marseille, France, March 29-31, 2017. Proceedings}, pages = {218--234}, publisher = {{ARIA}}, year = {2017}, url = {https://doi.org/10.24348/coria.2017.39}, doi = {10.24348/CORIA.2017.39}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coria/KimJRPJJKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/SeoJKM17, author = {Min{-}Gwan Seo and Seokwoo Jung and Kyung{-}Min Kim and Sung{-}Hyon Myaeng}, editor = {Philipp Mayr and Ingo Frommholz and Guillaume Cabanac}, title = {Computing Interdisciplinarity of Scholarly Objects using an Author-Citation-Text Model}, booktitle = {Proceedings of the Fifth Workshop on Bibliometric-enhanced Information Retrieval {(BIR)} co-located with the 39th European Conference on Information Retrieval {(ECIR} 2017), Aberdeen, UK, April 9th, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {1823}, pages = {62--72}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-1823/paper6.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:16 +0100}, biburl = {https://dblp.org/rec/conf/ecir/SeoJKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/OhRKDC17, author = {Jung{-}Yeol Oh and Yeon{-}Chel Ryoo and Kwang{-}Ok Kim and Kyeong{-}Hwan Doo and Hwan Seok Chung}, title = {{YANG} Model based Optical Access {SDN} Control Architecture for Accommodating Heterogeneous Multi-vendor {PON} Systems}, booktitle = {European Conference on Optical Communication, {ECOC} 2017, Gothenburg, Sweden, September 17-21, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ECOC.2017.8346026}, doi = {10.1109/ECOC.2017.8346026}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/OhRKDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LimLMSKLKLLSSPL17, author = {Kyoohyun Lim and Sang{-}Hoon Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Yongha Lee and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Cheolhoon Sung and Geumyoung Park and Garam Lee and Minjung Kim and Seokyoung Park and Hyosun Jung and Jong{-}Ryul Lee}, title = {A 65nm {CMOS} 2{\texttimes}2 {MIMO} multi-band {LTE} {RF} transceiver for small cell base stations}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {332--335}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094593}, doi = {10.1109/ESSCIRC.2017.8094593}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LimLMSKLKLLSSPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiKK17, author = {Nak{-}Jung Choi and Ji{-}In Kim and Seok Joo Koh}, title = {Domain-based identifier-locator mapping management for distributed mobility control}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {674--678}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899579}, doi = {10.1109/ICOIN.2017.7899579}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoiKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimNLPLK17, author = {Dong Hyun Kim and Kyoung Seok Na and Jae Min Lee and Jung Bin Park and Jun Young Lim and Jong{-}Deok Kim}, title = {Design and implementation of low-level machine learning {API} and {API} server}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {644--648}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899577}, doi = {10.1109/ICOIN.2017.7899577}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KimNLPLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/KimSKGJ17, author = {Jung{-}Yeon Kim and Hyun{-}Seok Sung and Youn{-}Kyung Kang and Igor Gaponov and Bong{-}Keun Jung}, title = {Development and evaluation of a method to measure wrist range of motion on paretic hand rehabilitation device}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {1337--1342}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009434}, doi = {10.1109/ICORR.2017.8009434}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/KimSKGJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iqsp/SeoSASSKCKKKKKL17, author = {Wontaek Seo and Hoon Song and Jungkwuen An and Juwon Seo and Geeyoung Sung and Yun{-}Tae Kim and Chil{-}Sung Choi and Sunil Kim and Hojung Kim and Yongkyu Kim and Young Kim and Yunhee Kim and Hong{-}Seok Lee and Sungwoo Hwang}, editor = {Robin B. Jenkin and Elaine Jin}, title = {Image Quality Assessment for Holographic Display}, booktitle = {Image Quality and System Performance XIV, Electronic Imaging 2017, IQSP, Burlingame, CA, USA, 29 January - 2 February 2017}, pages = {186--190}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.12.IQSP-241}, doi = {10.2352/ISSN.2470-1173.2017.12.IQSP-241}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iqsp/SeoSASSKCKKKKKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/YunBKJ17, author = {Inyong Yun and Seokhoon Boo and Joongkyu Kim and Cheolkon Jung}, title = {Moment-Based Dense Correspondence Matching Robust to Image Variation}, booktitle = {19th {IEEE} International Symposium on Multimedia, {ISM} 2017, Taichung, Taiwan, December 11-13, 2017}, pages = {260--263}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISM.2017.44}, doi = {10.1109/ISM.2017.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/YunBKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeOCKKKCNPLKJC17, author = {Soo{-}Min Lee and Jihun Oh and Jinho Choi and Seokkyun Ko and Daero Kim and Kyounghoi Koo and JongRyun Choi and Yoonjee Nam and Sangsoo Park and Hyungkweon Lee and Eunsu Kim and Sukhyun Jung and Kwanyeob Chae and SuHo Kim and Sanghune Park and Sanghyun Lee and Sungho Park}, title = {23.6 {A} 0.6V 4.266Gb/s/pin {LPDDR4X} interface with auto-DQS cleaning and write-VWM training for memory controller}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {398--399}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870429}, doi = {10.1109/ISSCC.2017.7870429}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeOCKKKCNPLKJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SonSKJKSPLPWRLW17, author = {Bongki Son and Yunjae Suh and Sungho Kim and Heejae Jung and Jun{-}Seok Kim and Chang{-}Woo Shin and Keunju Park and Kyoobin Lee and Jin Man Park and Jooyeon Woo and Yohan Roh and Hyunku Lee and Yibing Michelle Wang and Ilia A. Ovsiannikov and Hyunsurk Ryu}, title = {4.1 {A} 640{\texttimes}480 dynamic vision sensor with a 9{\(\mathrm{\mu}\)}m pixel and 300Meps address-event representation}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {66--67}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870263}, doi = {10.1109/ISSCC.2017.7870263}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SonSKJKSPLPWRLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YiCHBCJKSP17, author = {Il{-}Min Yi and Min{-}Kyun Chae and Seok{-}Hun Hyun and Seung{-}Jun Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {23.7 {A} time-based receiver with 2-tap {DFE} for a 12Gb/s/pin single-ended transceiver of mobile {DRAM} interface in 0.8V 65nm {CMOS}}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {400--401}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870430}, doi = {10.1109/ISSCC.2017.7870430}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YiCHBCJKSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ParkKKKC17, author = {Hyun Soo Park and Dae Jung Kim and Chang Mook Kang and Seok{-}Cheol Kee and Chung Choo Chung}, title = {Object detection in adaptive cruise control using multi-class support vector machine}, booktitle = {20th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2017, Yokohama, Japan, October 16-19, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ITSC.2017.8317673}, doi = {10.1109/ITSC.2017.8317673}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/ParkKKKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/YiKYLJ17, author = {Dokkyun Yi and Su{-}Yeon Kim and Seokwon Yeom and Mun{-}Kyo Lee and Sang{-}Won Jung}, title = {Experimental Study on Image Interpolation for Concealed Object Detection}, booktitle = {2017 {IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2017, Daegu, Korea (South), November 16-18, 2017}, pages = {501--504}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MFI.2017.8170370}, doi = {10.1109/MFI.2017.8170370}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mfi/YiKYLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ShinKKSPYP17, author = {Mincheol Shin and Jeongwoo Kim and Jungrim Kim and Dongmin Seo and Chihyun Park and Seok Jong Yu and Sanghyun Park}, editor = {Ahmed Seffah and Birgit Penzenstadler and Carina Alves and Xin Peng}, title = {{CATS:} a big network clustering algorithm based on triangle structures}, booktitle = {Proceedings of the Symposium on Applied Computing, {SAC} 2017, Marrakech, Morocco, April 3-7, 2017}, pages = {1590--1592}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3019612.3019893}, doi = {10.1145/3019612.3019893}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/ShinKKSPYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimYKKC17, author = {Junghoon Kim and Sang{-}Seok Yun and Bong{-}Nam Kang and Daijin Kim and JongSuk Choi}, title = {Reliable multi-person identification using DCNN-based face recognition algorithm and scale-ratio method}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {97--101}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992895}, doi = {10.1109/URAI.2017.7992895}, timestamp = {Mon, 25 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/KimYKKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MinJSKC17, author = {Kyungsik Min and Minchae Jung and Seiyun Shin and Seokki Kim and Sooyong Choi}, title = {System Level Simulation of mmWave Based Mobile Xhaul Networks}, booktitle = {85th {IEEE} Vehicular Technology Conference, {VTC} Spring 2017, Sydney, Australia, June 4-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCSpring.2017.8108659}, doi = {10.1109/VTCSPRING.2017.8108659}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/MinJSKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/BaviKRSPBKSPL16, author = {Rohit Bavi and Raj Kumar and Shailima Rampogu and Minky Son and Chanin Park and Ayoung Baek and Hyong{-}Ha Kim and Jung{-}Keun Suh and Seok Ju Park and Keun Woo Lee}, title = {Molecular interactions of UvrB protein and {DNA} from Helicobacter pylori: Insight into a molecular modeling approach}, journal = {Comput. Biol. Medicine}, volume = {75}, pages = {181--189}, year = {2016}, url = {https://doi.org/10.1016/j.compbiomed.2016.06.005}, doi = {10.1016/J.COMPBIOMED.2016.06.005}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/BaviKRSPBKSPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JeonHJKSKK16, author = {Min{-}Cheol Jeon and Man{-}Seok Han and Jae{-}Uk Jang and Yong{-}Kyun Kim and Sun{-}Youl Seo and Gab{-}Jung Kim and Chang{-}Gyu Kim}, title = {Evaluation of image reconstruction according to changing physical parameter}, journal = {Clust. Comput.}, volume = {19}, number = {2}, pages = {931--938}, year = {2016}, url = {https://doi.org/10.1007/s10586-016-0546-0}, doi = {10.1007/S10586-016-0546-0}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/JeonHJKSKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/ChoiKKLS16, author = {Jihoon Choi and Kyeong Seok Kim and Suh{-}Ryung Kim and Jung Yeun Lee and Yoshio Sano}, title = {On the competition graphs of d-partial orders}, journal = {Discret. Appl. Math.}, volume = {204}, pages = {29--37}, year = {2016}, url = {https://doi.org/10.1016/j.dam.2015.11.004}, doi = {10.1016/J.DAM.2015.11.004}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/ChoiKKLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dm/LimKP16, author = {Hyeong{-}Seok Lim and Hee{-}Chul Kim and Jung{-}Heum Park}, title = {Ore-type degree conditions for disjoint path covers in simple graphs}, journal = {Discret. Math.}, volume = {339}, number = {2}, pages = {770--779}, year = {2016}, url = {https://doi.org/10.1016/j.disc.2015.10.021}, doi = {10.1016/J.DISC.2015.10.021}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dm/LimKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/KimKCYNHKKJKKLP16, author = {Yongkang Kim and Min{-}Seok Kwon and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Wooil Kwon and Sun Whe Kim and Jin{-}Young Jang and Hyunsoo Kim and Youngsoo Kim and Seungyeoun Lee and Taesung Park}, title = {Comparative studies for developing protein based cancer prediction model to maximise the {ROC-AUC} with various variable selection methods}, journal = {Int. J. Data Min. Bioinform.}, volume = {16}, number = {1}, pages = {64--76}, year = {2016}, url = {https://doi.org/10.1504/IJDMB.2016.10000565}, doi = {10.1504/IJDMB.2016.10000565}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/KimKCYNHKKJKKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/YooJKKLCH16, author = {Sooyoung Yoo and Seyoung Jung and Seok Kim and Eunhye Kim and Kee{-}Hyuck Lee and Eunja Chung and Hee Hwang}, title = {A personalized mobile patient guide system for a patient-centered smart hospital: Lessons learned from a usability test and satisfaction survey in a tertiary university hospital}, journal = {Int. J. Medical Informatics}, volume = {91}, pages = {20--30}, year = {2016}, url = {https://doi.org/10.1016/j.ijmedinf.2016.04.003}, doi = {10.1016/J.IJMEDINF.2016.04.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/YooJKKLCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/JangAKPSCJK16, author = {Jinhee Jang and Kook{-}Jin Ahn and Bom{-}Yi Kim and David Porter and Alto Stemmer and Hyun Seok Choi and So{-}Lyung Jung and Bum{-}Soo Kim}, title = {The usefulness of diffusion-weighted readout-segmented {EPI} and fast spin echo with {BLADE} {(PROPELLER)} k-space sampling: {A} comparison with single-shot {EPI} for diffusion-weighted imaging in ischemic stroke patients}, journal = {Int. J. Imaging Syst. Technol.}, volume = {26}, number = {3}, pages = {216--224}, year = {2016}, url = {https://doi.org/10.1002/ima.22179}, doi = {10.1002/IMA.22179}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imst/JangAKPSCJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/KimJM16, author = {Junhee Kim and Seok{-}Jung Jang and Kyung{-}Won Min}, title = {An Electrical Wave Height Measurement at Spatial Multipoint Locations in Liquid Dampers for Structural Vibration Mitigation}, journal = {J. Sensors}, volume = {2016}, pages = {6874125:1--6874125:9}, year = {2016}, url = {https://doi.org/10.1155/2016/6874125}, doi = {10.1155/2016/6874125}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/KimJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/KimSJH16, author = {Young{-}Joo Kim and Jong{-}Soo Seok and Yung{-}Joon Jung and Ok{-}Kyoon Ha}, title = {Light-Weight and Versatile Monitor for a Self-Adaptive Software Framework for IoT Systems}, journal = {J. Sensors}, volume = {2016}, pages = {8085407:1--8085407:8}, year = {2016}, url = {https://doi.org/10.1155/2016/8085407}, doi = {10.1155/2016/8085407}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/KimSJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/MinJK16, author = {Kyung{-}Won Min and Seok{-}Jung Jang and Junhee Kim}, title = {A Standalone Vision Sensing System for Pseudodynamic Testing of Tuned Liquid Column Dampers}, journal = {J. Sensors}, volume = {2016}, pages = {8152651:1--8152651:11}, year = {2016}, url = {https://doi.org/10.1155/2016/8152651}, doi = {10.1155/2016/8152651}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/MinJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimKJ16, author = {Dae Up Kim and Kwang{-}Seok Kim and Seung{-}Boo Jung}, title = {Effects of oxidation on reliability of screen-printed silver circuits for radio frequency applications}, journal = {Microelectron. Reliab.}, volume = {63}, pages = {120--124}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.05.016}, doi = {10.1016/J.MICROREL.2016.05.016}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HamBKSLHLPHY16, author = {Junghyun Ham and Jongseok Bae and Hyungchul Kim and Mincheol Seo and Hwiseob Lee and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Cheon{-}Seok Park and Deukhyoun Heo and Youngoo Yang}, title = {{CMOS} Power Amplifier Integrated Circuit With Dual-Mode Supply Modulator for Mobile Terminals}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {1}, pages = {157--167}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2015.2512703}, doi = {10.1109/TCSI.2015.2512703}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HamBKSLHLPHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/ParkHOYCK16, author = {Jungho Park and Jong Moon Ha and Hyun{-}Seok Oh and Byeng D. Youn and Joo Ho Choi and Nam Ho Kim}, title = {Model-Based Fault Diagnosis of a Planetary Gear: {A} Novel Approach Using Transmission Error}, journal = {{IEEE} Trans. Reliab.}, volume = {65}, number = {4}, pages = {1830--1841}, year = {2016}, url = {https://doi.org/10.1109/TR.2016.2590997}, doi = {10.1109/TR.2016.2590997}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/ParkHOYCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimJHK16, author = {Donghyun Kim and Sungmo Jung and Dae{-}Joon Hwang and Seoksoo Kim}, title = {Mobile-Based DoS Attack Security Agent in Sensor Networking}, journal = {Wirel. Pers. Commun.}, volume = {86}, number = {1}, pages = {91--107}, year = {2016}, url = {https://doi.org/10.1007/s11277-015-3098-3}, doi = {10.1007/S11277-015-3098-3}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimJHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/LeeLHLKO16, author = {Jongwoo Lee and Giuk Lee and Seokmin Hong and SangWook Lee and Jung Hoon Kim and Yonghwan Oh}, title = {A novel multi-articular leg mechanism for biped robots inspired by bi-articular muscle}, booktitle = {6th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2016, Singapore, June 26-29, 2016}, pages = {1372--1377}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BIOROB.2016.7523825}, doi = {10.1109/BIOROB.2016.7523825}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/LeeLHLKO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/natw/ChoiKJ16, author = {Yongsuk Choi and Yong{-}Bin Kim and In{-}Seok Jung}, title = {A 100MS/s 10-bit Split-SAR {ADC} with Capacitor Mismatch Compensation Using Built-In Calibration}, booktitle = {25th {IEEE} North Atlantic Test Workshop, {NATW} 2016, Providence, RI, USA, May 9-11, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NATW.2016.9}, doi = {10.1109/NATW.2016.9}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/natw/ChoiKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChoiJKK16, author = {Yoon{-}Seok Choi and Soonchul Jung and Jae Woo Kim and Jin{-}Seo Kim}, editor = {Johannes Kopf and Phillip Chi{-}Wing Fu}, title = {3D stencil face relievo making system}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - Posters}, pages = {40}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3005294}, timestamp = {Mon, 03 Jul 2023 17:35:02 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/ChoiJKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChaeYHC16, author = {Yu{-}Jung Chae and Sang{-}Seok Yun and Kim Chang Hwan and JongSuk Choi}, title = {Guide system based users' intentions for a humanoid robot}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {67--70}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734022}, doi = {10.1109/URAI.2016.7734022}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChaeYHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShimJMBKSBJ16, author = {Minseob Shim and Seokhyeon Jeong and Paul D. Myers and Suyoung Bang and Chulwoo Kim and Dennis Sylvester and David T. Blaauw and Wanyeong Jung}, title = {An oscillator collapse-based comparator with application in a 74.1dB SNDR, 20KS/s 15b {SAR} {ADC}}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573518}, doi = {10.1109/VLSIC.2016.7573518}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShimJMBKSBJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LimGPKLJSKKC15, author = {Jae{-}A. Lim and Ah Reum Gwak and Su Mi Park and Jun{-}Gun Kwon and Jun{-}Young Lee and Hee Yeon Jung and Bo Kyung Sohn and Jae{-}Won Kim and Dai Jin Kim and Jung{-}Seok Choi}, title = {Are Adolescents with Internet Addiction Prone to Aggressive Behavior? The Mediating Effect of Clinical Comorbidities on the Predictability of Aggression in Adolescents with Internet Addiction}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {18}, number = {5}, pages = {260--267}, year = {2015}, url = {https://doi.org/10.1089/cyber.2014.0568}, doi = {10.1089/CYBER.2014.0568}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LimGPKLJSKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/SeokKKC15, author = {Jungho Seok and Jaesoo Kim and Yong{-}Ki Kim and Kwang{-}Nam Choi}, title = {An analysis of science and technology statistics trend and utilization: a case study in Korea}, journal = {Clust. Comput.}, volume = {18}, number = {2}, pages = {783--793}, year = {2015}, url = {https://doi.org/10.1007/s10586-015-0445-9}, doi = {10.1007/S10586-015-0445-9}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/SeokKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/LimPK15, author = {Hyeong{-}Seok Lim and Jung{-}Heum Park and Hee{-}Chul Kim}, title = {The bicube: an interconnection of two hypercubes}, journal = {Int. J. Comput. Math.}, volume = {92}, number = {1}, pages = {29--40}, year = {2015}, url = {https://doi.org/10.1080/00207160.2014.890715}, doi = {10.1080/00207160.2014.890715}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcm/LimPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/SongLKKKC15, author = {Jungsuk Song and Younsu Lee and Kyuil Kim and Seokhun Kim and Sookyun Kim and Sang{-}Soo Choi}, title = {Automated Verification Methodology of Security Events Based on Heuristic Analysis}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {817918:1--817918:10}, year = {2015}, url = {https://doi.org/10.1155/2015/817918}, doi = {10.1155/2015/817918}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/SongLKKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeKK15, author = {Jung Lee and Seokhun Kim and Sun{-}Jeong Kim}, title = {Mesh segmentation based on curvatures using the {GPU}}, journal = {Multim. Tools Appl.}, volume = {74}, number = {10}, pages = {3401--3412}, year = {2015}, url = {https://doi.org/10.1007/s11042-014-2104-1}, doi = {10.1007/S11042-014-2104-1}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/JungKKS15, author = {Jeehyun Jung and Pilkee Kim and Hyeonjun Kim and Jongwon Seok}, title = {Dynamic modeling and simulation of a nonlinear, non-autonomous grinding system considering spatially periodic waviness on workpiece surface}, journal = {Simul. Model. Pract. Theory}, volume = {57}, pages = {88--99}, year = {2015}, url = {https://doi.org/10.1016/j.simpat.2015.06.005}, doi = {10.1016/J.SIMPAT.2015.06.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/JungKKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SeoKL15, author = {JungHyun Seo and Jong{-}Seok Kim and Hyeong{-}Ok Lee}, title = {An algorithm for sorting pancake by restricted reversals}, journal = {J. Supercomput.}, volume = {71}, number = {10}, pages = {3832--3850}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1473-1}, doi = {10.1007/S11227-015-1473-1}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SeoKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/NahKPLPJPMH15, author = {Jae{-}Ho Nah and Jin{-}Woo Kim and Junho Park and Won{-}Jong Lee and Jeong{-}Soo Park and Seokyoon Jung and Woo{-}Chan Park and Dinesh Manocha and Tack{-}Don Han}, title = {{HART:} {A} Hybrid Architecture for Ray Tracing Animated Scenes}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {21}, number = {3}, pages = {389--401}, year = {2015}, url = {https://doi.org/10.1109/TVCG.2014.2371855}, doi = {10.1109/TVCG.2014.2371855}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/NahKPLPJPMH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/BeenYKL15, author = {Jae Man Been and Woo Seok Yang and Jung{-}Ho Kim and Jae{-}Oh Lee}, title = {Management of IoT traffic using a virtualized {IMS} platform}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {456--459}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275387}, doi = {10.1109/APNOMS.2015.7275387}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/BeenYKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ShimYCK15, author = {Kyu{-}Seok Shim and Sung{-}Ho Yoon and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {Signature management system to cope with traffic changes in application and service}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {192--197}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275425}, doi = {10.1109/APNOMS.2015.7275425}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/ShimYCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KimLKNCYNHKKJKK15, author = {Yongkang Kim and Seungyeoun Lee and Min{-}Seok Kwon and Ahrum Na and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Meejoo Kang and Sun Whe Kim and Jin{-}Young Jang and Yikwon Kim and Youngsoo Kim and Taesung Park}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Developing cancer prediction model based on stepwise selection by {AUC} measure for proteomics data}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {1345--1350}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359874}, doi = {10.1109/BIBM.2015.7359874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KimLKNCYNHKKJKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/LeeJHJKKFSSB15, author = {Inhee Lee and Wanyeong Jung and Hyunsoo Ha and Seokhyeon Jeong and Yejoong Kim and Gyouho Kim and Zhiyoong Foo and Jae{-}Yoon Sim and Dennis Sylvester and David T. Blaauw}, title = {An ultra-low-power biomedical chip for injectable pressure monitor}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2015, Atlanta, GA, USA, October 22-24, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BioCAS.2015.7348313}, doi = {10.1109/BIOCAS.2015.7348313}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/LeeJHJKKFSSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/KimLYY15, author = {Kwang{-}Young Kim and Seok{-}Hyoung Lee and Jung{-}Sun Yoon and Beom{-}Jong You}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Author Identification and Analysis for Papers, Reports and Patents}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {731--735}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_103}, doi = {10.1007/978-981-10-0281-6\_103}, timestamp = {Fri, 08 Mar 2019 11:06:31 +0100}, biburl = {https://dblp.org/rec/conf/csa2/KimLYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-sda/SungALKSSKSCC15, author = {Geeyoung Sung and Jungkwuen An and Hong{-}Seok Lee and Sunil Kim and Hoon Song and Juwon Seo and Hojung Kim and Wontaek Seo and Chil{-}Sung Choi and U{-}In Chung}, editor = {Nicolas S. Holliman and Andrew J. Woods and Gregg E. Favalora and Takashi Kawai}, title = {Enhancement of the effective viewing window for holographic display with amplitude-only {SLM}}, booktitle = {Stereoscopic Displays and Applications XXVI, San Francisco, California, USA, February 8-12, 2015}, series = {{SPIE} Proceedings}, volume = {9391}, pages = {939102}, publisher = {{SPIE}}, year = {2015}, url = {https://doi.org/10.1117/12.2082768}, doi = {10.1117/12.2082768}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-sda/SungALKSSKSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JangJCJKCKLSB15, author = {Tae{-}Kwang Jang and Seokhyeon Jeong and Myungjoon Choi and Wanyeong Jung and Gyouho Kim and Yen{-}Po Chen and Yejoong Kim and Wootaek Lim and Dennis Sylvester and David T. Blaauw}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {{FOCUS:} Key building blocks and integration strategy of a miniaturized wireless sensor node}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {257--262}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313876}, doi = {10.1109/ESSCIRC.2015.7313876}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/JangJCJKCKLSB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YooKBJRK15, author = {Jeong{-}Joon Yoo and Sundeep Krishnadasan and John Brothers and Seokyoon Jung and Soojung Ryu and Jeongwook Kim}, title = {Path rendering using winding number generator}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {96--97}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE.2015.7066334}, doi = {10.1109/ICCE.2015.7066334}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/YooKBJRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/HwangPK14, author = {Won{-}Seok Hwang and Jung Park and Sang{-}Wook Kim}, editor = {Dongsoo S. Kim and Sang{-}Wook Kim and Suk{-}Han Lee and Lajos Hanzo and Roslan Ismail}, title = {A method for recommending the latest news articles via MinHash and {LSH}}, booktitle = {Proceedings of the 9th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2015, Bali, Indonesia, January 08 - 10, 2015}, pages = {60:1--60:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2701126.2701205}, doi = {10.1145/2701126.2701205}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/HwangPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunSJCLKKCJC15, author = {Won{-}Joo Yun and Indal Song and Hanki Jeoung and Hundai Choi and Seok{-}Ho Lee and Jun{-}Bae Kim and Chi{-}Wook Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {17.7 {A} digital {DLL} with hybrid {DCC} using 2-step duty error extraction and 180{\textdegree} phase aligner for 2.67Gb/S/pin 16Gb 4-H stack {DDR4} {SDRAM} with TSVs}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063056}, doi = {10.1109/ISSCC.2015.7063056}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunSJCLKKCJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/SonSKPNCCK15, author = {Yunmok Son and Hocheol Shin and Dongkwan Kim and Young{-}Seok Park and Juhwan Noh and Kibum Choi and Jungwoo Choi and Yongdae Kim}, editor = {Jaeyeon Jung and Thorsten Holz}, title = {Rocking Drones with Intentional Sound Noise on Gyroscopic Sensors}, booktitle = {24th {USENIX} Security Symposium, {USENIX} Security 15, Washington, D.C., USA, August 12-14, 2015}, pages = {881--896}, publisher = {{USENIX} Association}, year = {2015}, url = {https://www.usenix.org/conference/usenixsecurity15/technical-sessions/presentation/son}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uss/SonSKPNCCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeAMKELKYCKPBB15, author = {Chang{-}Kyo Lee and Min{-}Su Ahn and Daesik Moon and Kiho Kim and Yoon{-}Joo Eom and Won{-}Young Lee and Jongmin Kim and Sanghyuk Yoon and Baekkyu Choi and Seokhong Kwon and Joon{-}Young Park and Seung{-}Jun Bae and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 6.4Gb/s/pin at sub-1V supply voltage TX-interleaving technique for mobile {DRAM} interface}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {182}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231254}, doi = {10.1109/VLSIC.2015.7231254}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeAMKELKYCKPBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AhnKJLS14, author = {Insung Ahn and Hayeon Kim and Sunghoon Jung and Ji{-}Hae Lee and Hyeon Seok Son}, title = {SimFlu: {A} simulation tool for predicting the variation pattern of influenza {A} virus}, journal = {Comput. Biol. Medicine}, volume = {52}, pages = {35--40}, year = {2014}, url = {https://doi.org/10.1016/j.compbiomed.2014.06.003}, doi = {10.1016/J.COMPBIOMED.2014.06.003}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/AhnKJLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimKC14, author = {Seokhoon Kim and Gui{-}Jung Kim and Kyung{-}Yong Chung}, title = {Performance analysis of non-PC/SC based mini-WiMAX connection manager}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {775--789}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0317-0}, doi = {10.1007/S10586-013-0317-0}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KimKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChaKB14, author = {Myungraee Cha and Jun{-}Seok Kim and Jun{-}Geol Baek}, title = {Density weighted support vector data description}, journal = {Expert Syst. Appl.}, volume = {41}, number = {7}, pages = {3343--3350}, year = {2014}, url = {https://doi.org/10.1016/j.eswa.2013.11.025}, doi = {10.1016/J.ESWA.2013.11.025}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChaKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/KimKPLKJ14, author = {Younghyun Kim and Haneul Ko and Sangheon Pack and Jong{-}Hyouk Lee and Seok Joo Koh and Heeyoung Jung}, title = {Performance analysis of distributed mapping system in ID/locator separation architectures}, journal = {J. Netw. Comput. Appl.}, volume = {39}, pages = {223--232}, year = {2014}, url = {https://doi.org/10.1016/j.jnca.2013.07.005}, doi = {10.1016/J.JNCA.2013.07.005}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/KimKPLKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/ChoiJKK14, author = {Yoon{-}Seok Choi and Soonchul Jung and Jae Woo Kim and Bon{-}Ki Koo}, title = {Real-time video photomosaics with optimized image set and {GPU}}, journal = {J. Real Time Image Process.}, volume = {9}, number = {3}, pages = {569--578}, year = {2014}, url = {https://doi.org/10.1007/s11554-013-0384-8}, doi = {10.1007/S11554-013-0384-8}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/ChoiJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoCKPSKY14, author = {Jihyun Cho and Jaehyuk Choi and Seong{-}Jin Kim and Seokjun Park and Jungsoon Shin and James D. K. Kim and Euisik Yoon}, title = {A 3-D Camera With Adaptable Background Light Suppression Using Pixel-Binning and Super-Resolution}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {10}, pages = {2319--2332}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2014.2340377}, doi = {10.1109/JSSC.2014.2340377}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoCKPSKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JunRKJL14, author = {Eun{-}A Jun and Hyun Sook Rhee and Jeom Goo Kim and Seok Won Jung and Dong Hoon Lee}, title = {Fingerprint-based access control using smart cards in {IPTV}}, journal = {Multim. Tools Appl.}, volume = {73}, number = {2}, pages = {647--661}, year = {2014}, url = {https://doi.org/10.1007/s11042-011-0765-6}, doi = {10.1007/S11042-011-0765-6}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JunRKJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ChoiSKK14, author = {Sang{-}Soo Choi and Jungsuk Song and Seokhun Kim and Sookyun Kim}, title = {A model of analyzing cyber threats trend and tracing potential attackers based on darknet traffic}, journal = {Secur. Commun. Networks}, volume = {7}, number = {10}, pages = {1612--1621}, year = {2014}, url = {https://doi.org/10.1002/sec.796}, doi = {10.1002/SEC.796}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ChoiSKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimJKKC14, author = {Seok Kim and Eun{-}Young Jin and Kee{-}Won Kwon and Jintae Kim and Jung{-}Hoon Chun}, title = {A 6.4-Gb/s Voltage-Mode Near-Ground Receiver With a One-Tap Data and Edge {DFE}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {6}, pages = {438--442}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2320012}, doi = {10.1109/TCSII.2014.2320012}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimJKKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimJLKC14, author = {Seok Kim and Youngkyun Jeong and Mira Lee and Kee{-}Won Kwon and Jung{-}Hoon Chun}, title = {A 5.2-Gb/s Low-Swing Voltage-Mode Transmitter With an AC-/DC-Coupled Equalizer and a Voltage Offset Generator}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {1}, pages = {213--225}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2013.2262186}, doi = {10.1109/TCSI.2013.2262186}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimJLKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HongAKC14, author = {Jung{-}Hyun Hong and Youngho Ahn and Byungjin Kim and Ki{-}Seok Chung}, title = {Design of OpenCL framework for embedded multi-core processors}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {60}, number = {2}, pages = {233--241}, year = {2014}, url = {https://doi.org/10.1109/TCE.2014.6851999}, doi = {10.1109/TCE.2014.6851999}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HongAKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/KimLP14, author = {Hee{-}Chul Kim and Hyeong{-}Seok Lim and Jung{-}Heum Park}, title = {An approach to conditional diagnosability analysis under the {PMC} model and its application to torus networks}, journal = {Theor. Comput. Sci.}, volume = {548}, pages = {98--116}, year = {2014}, url = {https://doi.org/10.1016/j.tcs.2014.07.006}, doi = {10.1016/J.TCS.2014.07.006}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/KimLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/KimPKL14, author = {Seok{-}Kyoon Kim and Chang Reung Park and Jung{-}Su Kim and Young Il Lee}, title = {A Stabilizing Model Predictive Controller for Voltage Regulation of a {DC/DC} Boost Converter}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {22}, number = {5}, pages = {2016--2023}, year = {2014}, url = {https://doi.org/10.1109/TCST.2013.2296508}, doi = {10.1109/TCST.2013.2296508}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/KimPKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimJJKSHPY14, author = {Min{-}Su Kim and Sung{-}Chan Jung and Jonghyuk Jeong and Hyungchul Kim and Mincheol Seo and Junghyun Ham and Cheon{-}Seok Park and Youngoo Yang}, title = {Adaptive {TX} Leakage Canceler for the {UHF} {RFID} Reader Front End Using a Direct Leaky Coupling Method}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {4}, pages = {2081--2087}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2267932}, doi = {10.1109/TIE.2013.2267932}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimJJKSHPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ShinSKALJJC14, author = {Jaegue Shin and Seungyong Shin and Yangsu Kim and Seungyoung Ahn and Seokhwan Lee and Guho Jung and Seong{-}Jeub Jeon and Dong{-}Ho Cho}, title = {Design and Implementation of Shaped Magnetic-Resonance-Based Wireless Power Transfer System for Roadway-Powered Moving Electric Vehicles}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {3}, pages = {1179--1192}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2258294}, doi = {10.1109/TIE.2013.2258294}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ShinSKALJJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimJKCC14, author = {Yoo{-}Seok Kim and Gwang{-}Pil Jung and Haan Kim and Kyu{-}Jin Cho and Chong{-}Nam Chu}, title = {Wheel Transformer: {A} Wheel-Leg Hybrid Robot With Passive Transformable Wheels}, journal = {{IEEE} Trans. Robotics}, volume = {30}, number = {6}, pages = {1487--1498}, year = {2014}, url = {https://doi.org/10.1109/TRO.2014.2365651}, doi = {10.1109/TRO.2014.2365651}, timestamp = {Fri, 09 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/KimJKCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChauhanCOJK14, author = {Hari Chauhan and Yongsuk Choi and Marvin Onabajo and In{-}Seok Jung and Yong{-}Bin Kim}, title = {Accurate and Efficient On-Chip Spectral Analysis for Built-In Testing and Calibration Approaches}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {3}, pages = {497--506}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2251919}, doi = {10.1109/TVLSI.2013.2251919}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChauhanCOJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ChoiKSMYPC14, author = {Yun{-}Seok Choi and Ho Moon Kim and Jung Seok Suh and Hyeong Min Mun and Seung Ung Yang and Chan Min Park and Hyouk Ryeol Choi}, title = {Recognition of inside pipeline geometry by using monocular camera and {PSD} sensors}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2014, Besancon, France, July 8-11, 2014}, pages = {634--639}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/AIM.2014.6878150}, doi = {10.1109/AIM.2014.6878150}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ChoiKSMYPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/AthmanathanSCKA14, author = {Aravinthan Athmanathan and Milos Stanisavljevic and Junho Cheon and Seokjoon Kang and Changyong Ahn and Junghyuk Yoon and Min{-}Chul Shin and Taekseung Kim and Nikolaos Papandreou and Haris Pozidis and Evangelos Eleftheriou}, title = {A 6-bit drift-resilient readout scheme for multi-level Phase-Change Memory}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {137--140}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008879}, doi = {10.1109/ASSCC.2014.7008879}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/AthmanathanSCKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KwonKLNYYHKKJP14, author = {Min{-}Seok Kwon and Yongkang Kim and Seungyeoun Lee and Junghyun Namkung and Taegyun Yun and Sung{-}Gon Yi and Sangjo Han and Meejoo Kang and Sun Whe Kim and Jin{-}Young Jang and Taesung Park}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Biomarker development for pancreatic ductal adenocarcinoma using integrated analysis of mRNA and miRNA expression}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {273--278}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999167}, doi = {10.1109/BIBM.2014.6999167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KwonKLNYYHKKJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeKBKHCJJJGFLSSB14, author = {Inhee Lee and Yejoong Kim and Suyoung Bang and Gyouho Kim and Hyunsoo Ha and Yen{-}Po Chen and Dongsuk Jeon and Seokhyun Jeong and Wanyeong Jung and Mohammad Hassan Ghaed and Zhiyoong Foo and Yoonmyung Lee and Jae{-}Yoon Sim and Dennis Sylvester and David T. Blaauw}, title = {Circuit techniques for miniaturized biomedical sensors}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--7}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946070}, doi = {10.1109/CICC.2014.6946070}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/LeeKBKHCJJJGFLSSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/ChoiCJOK14, author = {Yongsuk Choi and Chun{-}hsiang Chang and In{-}Seok Jung and Marvin Onabajo and Yong{-}Bin Kim}, title = {A built-in calibration system with a reduced {FFT} engine for linearity optimization of low power {LNA}}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {222--227}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962077}, doi = {10.1109/DFT.2014.6962077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/ChoiCJOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/JungK14, author = {In{-}Seok Jung and Yong{-}Bin Kim}, title = {A 12-bit 32MS/s {SAR} {ADC} using built-in self calibration technique to minimize capacitor mismatch}, booktitle = {2014 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2014, Amsterdam, The Netherlands, October 1-3, 2014}, pages = {276--280}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DFT.2014.6962078}, doi = {10.1109/DFT.2014.6962078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/JungK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeYMLKHYLNCPY14, author = {Kihyuck Lee and Jungmin Yoon and Kyeongran Min and Jungchang Lee and Shinil Kang and Sung Jun Hong and Sung Hoon Yoon and Jong{-}Shill Lee and Kyoung Won Nam and Seok Hyun Cho and Hoonki Park and Kim In Young}, title = {An objective index to estimate the survival rate of primary blast lung injury}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {1206--1209}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943813}, doi = {10.1109/EMBC.2014.6943813}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeYMLKHYLNCPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ficloud/MoonJLK14, author = {Seonghoon Moon and Chanhyuk Jung and Jong{-}Seok Lee and Songkuk Kim}, editor = {Muhammad Younas and Irfan Awan and Antonio Pescap{\`{e}}}, title = {On the Impact of Layer-Splitting for Cloud-Based {SVC} Streaming}, booktitle = {2014 International Conference on Future Internet of Things and Cloud, FiCloud 2014, Barcelona, Spain, August 27-29, 2014}, pages = {210--215}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FiCloud.2014.41}, doi = {10.1109/FICLOUD.2014.41}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ficloud/MoonJLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/PratamaSKJKKJJ14, author = {Pandu Sandi Pratama and Yuhanes Dedy Setiawan and Dae Hwan Kim and Young Seok Jung and Hak Kyeong Kim and Sang Bong Kim and Sang Kwun Jeong and Jin Il Jeong}, title = {Fault detection algorithm for automatic guided vehicle based on multiple positioning modules}, booktitle = {2014 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2014, Delhi, India, September 24-27, 2014}, pages = {751--757}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICACCI.2014.6968511}, doi = {10.1109/ICACCI.2014.6968511}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/PratamaSKJKKJJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/SetiawanPKKJKYYH14, author = {Yuhanes Dedy Setiawan and Pandu Sandi Pratama and Jin Wook Kim and Dae Hwan Kim and Young Seok Jung and Sang Bong Kim and Suk Min Yoon and Tae Kyeong Yeo and Sup Hong}, title = {Path replanning and controller design for trajectory tracking of automated guided vehicles}, booktitle = {2014 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2014, Delhi, India, September 24-27, 2014}, pages = {771--777}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICACCI.2014.6968519}, doi = {10.1109/ICACCI.2014.6968519}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icacci/SetiawanPKKJKYYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YooJRK14, author = {Jeong{-}Joon Yoo and Seokyoon Jung and Soojung Ryu and Jeongwook Kim}, title = {Tile boundary sharing for tile-based vector graphics rendering}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {93--94}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6775923}, doi = {10.1109/ICCE.2014.6775923}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/YooJRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/KimPH14, author = {Doik Kim and Jung{-}Min Park and Seokmin Hong}, editor = {Joaquim Filipe and Oleg Yu. Gusikhin and Kurosh Madani and Jurek Z. Sasiadek}, title = {A Real-time Motion Data Reduction and Restoration Compatible with Robot's Physical Limits}, booktitle = {{ICINCO} 2014 - Proceedings of the 11th International Conference on Informatics in Control, Automation and Robotics, Volume 2, Vienna, Austria, 1 - 3 September, 2014}, pages = {360--367}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0005041303600367}, doi = {10.5220/0005041303600367}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/KimPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/LeeKJK14, author = {Min Jung Lee and Yong Won Kim and Sang{-}Lim Ju and Kyung{-}Seok Kim}, title = {Performance comparison of spatial multiplexing multi-input multi-output systems in accordance with dimming control}, booktitle = {2nd International Conference on Systems and Informatics, {ICSAI} 2014, 15-17 November 2014, Wistaria Hotel, Shanghai, China}, pages = {597--601}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICSAI.2014.7009356}, doi = {10.1109/ICSAI.2014.7009356}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icsai/LeeKJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiKSMYPC14, author = {Yun{-}Seok Choi and Ho Moon Kim and Jung Seok Suh and Hyeong Min Mun and Seung Ung Yang and Chan Min Park and Hyouk Ryeol Choi}, title = {Recognition of inside pipeline geometry by using {PSD} sensors for autonomous navigation}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {5024--5029}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6943276}, doi = {10.1109/IROS.2014.6943276}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChoiKSMYPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YangKSCMPMC14, author = {Seung Ung Yang and Ho Moon Kim and Jung Seok Suh and Yun{-}Seok Choi and Hyeong Min Mun and Chan Min Park and Hyungpil Moon and Hyoukryeol Choi}, title = {Novel robot mechanism capable of 3D differential driving inside pipelines}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {1944--1949}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6942820}, doi = {10.1109/IROS.2014.6942820}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/YangKSCMPMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKJPJKCLPL14, author = {Seok Kim and Jung{-}Myung Kang and Xuefan Jin and Se{-}Ung Park and Ja{-}Hoon Jin and Kee{-}Won Kwon and Jung{-}Hoon Chun and Jung Ho Lee and Jun Young Park and Dae Young Lee}, title = {A 12.5-Gb/s near-GND transceiver for wire-line {UHD} video interfaces}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865428}, doi = {10.1109/ISCAS.2014.6865428}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKJPJKCLPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ChoiCCJOK14, author = {Yongsuk Choi and Chun{-}hsiang Chang and Hari Chauhan and In{-}Seok Jung and Marvin Onabajo and Yong{-}Bin Kim}, title = {A built-in calibration system to optimize third-order intermodulation performance of {RF} amplifiers}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {599--602}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908486}, doi = {10.1109/MWSCAS.2014.6908486}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ChoiCCJOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JungK14, author = {In{-}Seok Jung and Yong{-}Bin Kim}, title = {A novel self-calibration scheme for 12-bit 50MS/s {SAR} {ADC}}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {5--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908338}, doi = {10.1109/MWSCAS.2014.6908338}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/JungK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/JungKLKLW14, author = {Mi Jung and Chulki Kim and Taikjin Lee and Jae Hun Kim and Seok Lee and Deok{-}Ha Woo}, title = {Plasmonic properties of metal nanodot arrays with same diameter utilizing nanoporous alumina mask}, booktitle = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, pages = {682--683}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEMS.2014.6908868}, doi = {10.1109/NEMS.2014.6908868}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/JungKLKLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YooKBJRK14, author = {Jeong{-}Joon Yoo and Sundeep Krishnadasan and John Brothers and Seokyoon Jung and Soojung Ryu and Jeongwook Kim}, title = {Path rendering for high resolution mobile device}, booktitle = {{SIGGRAPH} Asia 2014 Mobile Graphics and Interactive Applications, Shenzhen, China, December 3-6, 2014}, pages = {13:1--13:5}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2669062.2669085}, doi = {10.1145/2669062.2669085}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/YooKBJRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/HongLBJJYKPKS13, author = {Dongwan Hong and Jongkeun Lee and Thomas Bleazard and Hyunchul Jung and Young Seok Ju and Saet{-}Byeol Yu and Sujung Kim and Sung{-}Soo Park and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{TIARA} genome database: update 2013}, journal = {Database J. Biol. Databases Curation}, volume = {2013}, year = {2013}, url = {https://doi.org/10.1093/database/bat003}, doi = {10.1093/DATABASE/BAT003}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/HongLBJJYKPKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/YoonPKB13, author = {Hyunsoo Yoon and Cheong{-}Sool Park and Jun{-}Seok Kim and Jun{-}Geol Baek}, title = {Algorithm learning based neural network integrating feature selection and classification}, journal = {Expert Syst. Appl.}, volume = {40}, number = {1}, pages = {231--241}, year = {2013}, url = {https://doi.org/10.1016/j.eswa.2012.07.018}, doi = {10.1016/J.ESWA.2012.07.018}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/YoonPKB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LeeLK13, author = {Jung{-}Min Lee and Kyung{-}Ho Lee and Dae{-}Seok Kim}, title = {Cloud-Based RF-Inspection for Ship Maintenance}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/546469}, doi = {10.1155/2013/546469}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/LeeLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HongKC13, author = {Jung{-}Hyun Hong and Won{-}Jin Kim and Ki{-}Seok Chung}, title = {A Parallelization Technique with Integrated Multi-Threading for Video Decoding on Multi-core Systems}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {7}, number = {10}, pages = {2479--2496}, year = {2013}, url = {https://doi.org/10.3837/tiis.2013.10.009}, doi = {10.3837/TIIS.2013.10.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/HongKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonKJPKHRPJ13, author = {Yong Seok Kwon and Myeong Ock Ko and Mi Sun Jung and Ik Gon Park and Namje Kim and Sang Pil Han and Han{-}Cheol Ryu and Kyunghyun Park and Min{-}Yong Jeon}, title = {Dynamic Sensor Interrogation Using Wavelength-Swept Laser with a Polygon-Scanner-Based Wavelength Filter}, journal = {Sensors}, volume = {13}, number = {8}, pages = {9669--9678}, year = {2013}, url = {https://doi.org/10.3390/s130809669}, doi = {10.3390/S130809669}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwonKJPKHRPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimKK13, author = {Jungmoon Kim and Dong Seok Kim and Chulwoo Kim}, title = {A Single-Inductor Eight-Channel Output {DC-DC} Converter With Time-Limited Power Distribution Control and Single Shared Hysteresis Comparator}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {12}, pages = {3354--3367}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2265960}, doi = {10.1109/TCSI.2013.2265960}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LimKKLDY13, author = {Jungmin Lim and Yongki Kim and Dongyoung Koo and Soojin Lee and Seokjoo Doo and Hyunsoo Yoon}, title = {A novel Adaptive Cluster Transformation (ACT)-based intrusion tolerant architecture for hybrid information technology}, journal = {J. Supercomput.}, volume = {66}, number = {2}, pages = {918--935}, year = {2013}, url = {https://doi.org/10.1007/s11227-013-0928-5}, doi = {10.1007/S11227-013-0928-5}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LimKKLDY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeKJLY13, author = {Jang{-}Woo Lee and Hong{-}Jung Kim and Chun{-}Seok Jeong and Jae{-}Jin Lee and Changsik Yoo}, title = {Skew Compensation Technique for Source-Synchronous Parallel {DRAM} Interface}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {11}, pages = {2155--2159}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2227853}, doi = {10.1109/TVLSI.2012.2227853}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeKJLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiasim/ParkKLJKKJJ13, author = {Jinsoo Park and Yun Bae Kim and Haneul Lee and Gisun Jung and Sangeun Kim and Jeong Seok Kang and Jay Jou and Yeon{-}Jae Jung}, editor = {Gary S. H. Tan and Gee Kin Yeo and Stephen John Turner and Yong Meng Teo}, title = {Generating the Confidence Interval of Time Averaged Estimator Using Threshold Bootstrap}, booktitle = {AsiaSim 2013 - 13th International Conference on Systems Simulation, Singapore, November 6-8, 2013. Proceedings}, series = {Communications in Computer and Information Science}, volume = {402}, pages = {212--218}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-45037-2\_20}, doi = {10.1007/978-3-642-45037-2\_20}, timestamp = {Mon, 14 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiasim/ParkKLJKKJJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata/ParkPKKBA13, author = {Seung Hwan Park and Cheong{-}Sool Park and Jun{-}Seok Kim and Sung{-}Shick Kim and Jun{-}Geol Baek and Daewoong An}, title = {Data Mining Approaches for Packaging Yield Prediction in the Post-fabrication Process}, booktitle = {{IEEE} International Congress on Big Data, BigData Congress 2013, Santa Clara, CA, USA, June 27 2013-July 2, 2013}, pages = {363--368}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BigData.Congress.2013.55}, doi = {10.1109/BIGDATA.CONGRESS.2013.55}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdata/ParkPKKBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JungBLK13, author = {Jinyung Jung and Seok{-}Hyung Bae and Joon Hyub Lee and Myung{-}Suk Kim}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Make it move: a movement design method of simple standing products based on systematic mapping of torso movements {\&} product messages}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, pages = {1279--1288}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2470654.2466168}, doi = {10.1145/2470654.2466168}, timestamp = {Tue, 01 Jun 2021 15:21:42 +0200}, biburl = {https://dblp.org/rec/conf/chi/JungBLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/OdomZFHMCLNLLKRSSM13, author = {William Odom and John Zimmerman and Jodi Forlizzi and Ana L{\'{o}}pez Higuera and Mauro Marchitto and Jos{\'{e}} J. Ca{\~{n}}as and Youn{-}Kyung Lim and Tek{-}Jin Nam and Moon{-}Hwan Lee and Yeoreum Lee and Da{-}jung Kim and Yea{-}Kyung Row and Jinmin Seok and Bokyung Sohn and Heather Moore}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {Fragmentation and transition: understanding perceptions of virtual possessions among young adults in Spain, South Korea and the United States}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, pages = {1833--1842}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2470654.2466242}, doi = {10.1145/2470654.2466242}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/OdomZFHMCLNLLKRSSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/LeeSLKNJLPH13, author = {Won{-}Jong Lee and Youngsam Shin and Jaedon Lee and Jin{-}Woo Kim and Jae{-}Ho Nah and Seokyoon Jung and Shihwa Lee and Hyun{-}Sang Park and Tack{-}Don Han}, editor = {Kayvon Fatahalian and Christian Theobalt and Jaakko Lehtinen}, title = {{SGRT:} {A} Mobile {GPU} Architecture for Real-Time Ray Tracing}, booktitle = {High-Performance Graphics 2013, Anaheim, California, USA, July 19-21, 2013. Proceedings}, pages = {109--120}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2492045.2492057}, doi = {10.1145/2492045.2492057}, timestamp = {Sat, 30 Sep 2023 09:39:43 +0200}, biburl = {https://dblp.org/rec/conf/egh/LeeSLKNJLPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChoiKOPCJHCPJOA13, author = {Sung Ho Choi and Yi Tae Kim and Min Seok Oh and Younghwan Park and Jeongjin Cho and Youngheup Jang and Hyungjun Han and Jongwon Choi and Howoo Park and Sangil Jung and Hoon Sang Oh and Jung Chak Ahn and Hiroshige Goto and Chi{-}Young Choi and Yonghan Roh}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {A novel pixel design with hybrid type isolation scheme for low dark current in {CMOS} image sensor}, booktitle = {Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8659}, pages = {86590F}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2003697}, doi = {10.1117/12.2003697}, timestamp = {Wed, 02 Aug 2023 16:01:06 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChoiKOPCJHCPJOA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/KimJLC13, author = {Kyung{-}Ho Kim and Da{-}Un Jung and Seok{-}Han Lee and Jong{-}Soo Choi}, title = {A hand tracking framework using the 3D active tracking volume}, booktitle = {The 19th Korea-Japan Joint Workshop on Frontiers of Computer Vision, Incheon, Korea (South), January 30 - Feb. 1, 2013}, pages = {159--163}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FCV.2013.6485480}, doi = {10.1109/FCV.2013.6485480}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/fcv/KimJLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/JungBK13, author = {Jinyung Jung and Seok{-}Hyung Bae and Myung{-}Suk Kim}, editor = {Friedemann Mattern and Silvia Santini and John F. Canny and Marc Langheinrich and Jun Rekimoto}, title = {Three case studies of {UX} with moving products}, booktitle = {The 2013 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing, UbiComp '13, Zurich, Switzerland, September 8-12, 2013}, pages = {509--518}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2493432.2493442}, doi = {10.1145/2493432.2493442}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/JungBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeSL0NPJL13, author = {Won{-}Jong Lee and Youngsam Shin and Jaedon Lee and Jin{-}Woo Kim and Jae{-}Ho Nah and Hyun{-}Sang Park and Seokyoon Jung and Shihwa Lee}, title = {A novel mobile {GPU} architecture based on ray tracing}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {21--22}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486777}, doi = {10.1109/ICCE.2013.6486777}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeSL0NPJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KimLKCJ13, author = {Eun Kim and Yun{-}Seok Lee and Seong{-}Yun Kim and Jung{-}Won Choi and Min{-}Soo Jung}, title = {A Study on the Information Protection Modules for Secure Mobile Payments}, booktitle = {2013 International Conference on {IT} Convergence and Security, {ICITCS} 2013, Macau, China, December 16-18, 2013}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICITCS.2013.6717791}, doi = {10.1109/ICITCS.2013.6717791}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/KimLKCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/LeeKKJJ13, author = {Yun{-}Seok Lee and Eun Kim and Young{-}Sub Kim and Ha{-}Yong Jeon and Min{-}Soo Jung}, title = {A Study on Secure Chip for Message Authentication between a Smart Meter and Home Appliances in Smart Grid}, booktitle = {2013 International Conference on {IT} Convergence and Security, {ICITCS} 2013, Macau, China, December 16-18, 2013}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICITCS.2013.6717790}, doi = {10.1109/ICITCS.2013.6717790}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/LeeKKJJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/JungMKCLK13, author = {Whoi Jin Jung and SeokHong Min and Bong Gyu Kim and Hyung Suk Choi and Jae Yong Lee and Byung{-}Chul Kim}, title = {{R-HWMP:} Reservation-based {HWMP} supporting end-to-end QoS in Wireless Mesh Networks}, booktitle = {The International Conference on Information Networking 2013, {ICOIN} 2013, Bangkok, Thailand, January 28-30, 2013}, pages = {385--390}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICOIN.2013.6496409}, doi = {10.1109/ICOIN.2013.6496409}, timestamp = {Thu, 07 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/JungMKCLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimJKCC13, author = {Yoo{-}Seok Kim and Gwang{-}Pil Jung and Haan Kim and Kyu{-}Jin Cho and Chong{-}Nam Chu}, title = {Wheel transformer: {A} miniaturized terrain adaptive robot with passively transformed wheels}, booktitle = {2013 {IEEE} International Conference on Robotics and Automation, Karlsruhe, Germany, May 6-10, 2013}, pages = {5625--5630}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICRA.2013.6631385}, doi = {10.1109/ICRA.2013.6631385}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimJKCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeJKSKJC13, author = {Alex Lee and Dong{-}San Jun and Jongho Kim and Jinwuk Seok and Younhee Kim and Soon{-}Heung Jung and Jin Soo Choi}, title = {An efficient inter prediction mode decision method for fast motion estimation in {HEVC}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {502--505}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675406}, doi = {10.1109/ICTC.2013.6675406}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeJKSKJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimSCTMKRC13, author = {Ho Moon Kim and Jung Seok Suh and Yun{-}Seok Choi and Tran Duc Trong and Hyungpil Moon and Jachoon Koo and SungMoo Ryew and Hyouk Ryeol Choi}, title = {An In-pipe robot with multi-axial differential gear mechanism}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {252--257}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6696361}, doi = {10.1109/IROS.2013.6696361}, timestamp = {Tue, 05 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimSCTMKRC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungKHL13, author = {Oh{-}Yong Jung and Seungjin Kim and Seok{-}Kyun Han and Sang{-}Gug Lee}, title = {A low power low inaccuracy linearity-compensated temperature sensor for attachable medical devices}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1087--1090}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572039}, doi = {10.1109/ISCAS.2013.6572039}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JungKHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/KimKL13, author = {Seok{-}Kyoon Kim and Jung{-}Su Kim and Young Il Lee}, title = {Model predictive control {(MPC)} based direct torque control {(DTC)} of permanent magnet synchronous motors (PMSMs)}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563637}, doi = {10.1109/ISIE.2013.6563637}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/KimKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/KimKJS13, author = {HyunGon Kim and Minsoo Kim and SeokWon Jung and JaeHyun Seo}, editor = {James J. Park and Joseph Kee{-}Yin Ng and Hwa{-}Young Jeong and Agustinus Borgy Waluyo}, title = {Regional {CRL} Distribution Based on the {LBS} for Vehicular Networks}, booktitle = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {240}, pages = {1039--1046}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6738-6\_128}, doi = {10.1007/978-94-007-6738-6\_128}, timestamp = {Tue, 27 Mar 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mue/KimKJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JungOK13, author = {In{-}Seok Jung and Marvin Onabajo and Yong{-}Bin Kim}, title = {A 10-bit 64MS/s {SAR} {ADC} using variable clock period method}, booktitle = {{IEEE} 56th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2013, Columbus, OH, USA, August 4-7, 2013}, pages = {1144--1147}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/MWSCAS.2013.6674855}, doi = {10.1109/MWSCAS.2013.6674855}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/JungOK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/KimCLL13, author = {Seokyong Kim and Hyun{-}Taek Choi and Jung{-}Won Lee and Yeongjun Lee}, editor = {Jong{-}Hwan Kim and Eric T. Matson and Hyun Myung and Peter Weiliang Xu and Fakhri Karray}, title = {Design, Implementation, and Experiment of an Underwater Robot for Effective Inspection of Underwater Structures}, booktitle = {Robot Intelligence Technology and Applications 2 - Results from the 2nd International Conference on Robot Intelligence Technology and Applications, RiTA 2013, Denver, Colorado, USA, December 18-20, 2013}, series = {Advances in Intelligent Systems and Computing}, volume = {274}, pages = {821--829}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-05582-4\_72}, doi = {10.1007/978-3-319-05582-4\_72}, timestamp = {Thu, 26 Apr 2018 00:01:26 +0200}, biburl = {https://dblp.org/rec/conf/rita/KimCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/KimKLLKKYP13, author = {Joo Hyung Kim and Jung Joo Kim and Chang{-}Eun Lee and Jong Ho Lee and Dong Seok Kim and Nam Joo Kim and Kwang Dong Yoo and Heung{-}Soo Park}, title = {Fluorine improvement of {MOSFET} interface as revealed by {RTS} measurements and {HRTEM}}, booktitle = {2013 {IEEE} Radio and Wireless Symposium, Austin, TX, USA, January 20-23, 2013}, pages = {97--99}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/RWS.2013.6486653}, doi = {10.1109/RWS.2013.6486653}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/rws/KimKLLKKYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/JungSYKHKCC13, author = {Seung Mo Jung and Jong Hyun Seok and Ho Jin Yoo and Do Hyung Kim and You Keun Han and Woo{-}Seop Kim and Joo{-}Sun Choi and Jun Dong Cho}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Noise immunity improvement in the {RESET} signal of {DDR3} {SDRAM} memory module}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {343--348}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749713}, doi = {10.1109/SOCC.2013.6749713}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/JungSYKHKCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiPKP12, author = {Seungwon Choi and Jung{-}Hyun Park and Seokkwon Kim and Dong{-}Jo Park}, title = {Joint Design of Uplink-Downlink {MIMO} Relay Networks Using Duality}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {1}, pages = {333--336}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.333}, doi = {10.1587/TRANSCOM.E95.B.333}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiPKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKSJ12, author = {Yun{-}Seok Lee and Eun Kim and Seung{-}Joon Seok and Min{-}Soo Jung}, title = {A Smartcard-Based User Authentication Scheme to Ensure the {PFS} in Multi-Server Environments}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {2}, pages = {619--622}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.619}, doi = {10.1587/TRANSCOM.E95.B.619}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKSJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JungAHK12, author = {Sungmo Jung and Jae Young Ahn and Dae{-}Joon Hwang and Seoksoo Kim}, title = {An Optimization Scheme for M2M-Based Patient Monitoring in Ubiquitous Healthcare Domain}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/708762}, doi = {10.1155/2012/708762}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/JungAHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/YoonSKPL12, author = {Seok{-}Ho Yoon and Jung{-}Hwan Shin and Sang{-}Wook Kim and Sunju Park and Jae Bum Lee}, title = {Subject-based extraction of a latent blog community}, journal = {Inf. Sci.}, volume = {184}, number = {1}, pages = {215--229}, year = {2012}, url = {https://doi.org/10.1016/j.ins.2011.08.004}, doi = {10.1016/J.INS.2011.08.004}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/YoonSKPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/KimPP12, author = {Seokkwon Kim and Jung{-}Hyun Park and Dong{-}Jo Park}, title = {Beamforming of Amplify-and-Forward Relays under Individual Power Constraints}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {30}, number = {8}, pages = {1347--1357}, year = {2012}, url = {https://doi.org/10.1109/JSAC.2012.120905}, doi = {10.1109/JSAC.2012.120905}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/KimPP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimKKHPLPNCLYCCC12, author = {Hengjun J. Kim and Namkug Kim and Sehyun Kim and Seokjun Hong and Kyungmo Park and Sabina Lim and Jung{-}Mi Park and Byungjo Na and Younbyoung Chae and Jeongchan Lee and Sujung Yeo and Il{-}Hwan Choe and Seung{-}Yeon Cho and Gyunggoo Cho}, title = {Sex differences in amygdala subregions: Evidence from subregional shape analysis}, journal = {NeuroImage}, volume = {60}, number = {4}, pages = {2054--2061}, year = {2012}, url = {https://doi.org/10.1016/j.neuroimage.2012.02.025}, doi = {10.1016/J.NEUROIMAGE.2012.02.025}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/KimKKHPLPNCLYCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeoZYLLKPLP12, author = {Nam Su Heo and Shun Zheng and Minho Yang and Seok Jae Lee and Sang Yup Lee and Hwa{-}Jung Kim and Jung Youn Park and Chang{-}Soo Lee and Taejung Park}, title = {Label-Free Electrochemical Diagnosis of Viral Antigens with Genetically Engineered Fusion Protein}, journal = {Sensors}, volume = {12}, number = {8}, pages = {10097--10108}, year = {2012}, url = {https://doi.org/10.3390/s120810097}, doi = {10.3390/S120810097}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HeoZYLLKPLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungKK12, author = {Sungmo Jung and Jong hyun Kim and Seoksoo Kim}, title = {Network Modeling and Energy-Efficiency Optimization for Advanced Machine-to-Machine Sensor Networks}, journal = {Sensors}, volume = {12}, number = {11}, pages = {14851--14861}, year = {2012}, url = {https://doi.org/10.3390/s121114851}, doi = {10.3390/S121114851}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJLPPSLL12, author = {Byeong{-}Il Kim and Soon Woo Jeong and Kyoung G. Lee and Taejung Park and Jung Youn Park and Jae Jun Song and Seok Jae Lee and Chang{-}Soo Lee}, title = {Synthesis of Bioactive Microcapsules Using a Microfluidic Device}, journal = {Sensors}, volume = {12}, number = {8}, pages = {10136--10147}, year = {2012}, url = {https://doi.org/10.3390/s120810136}, doi = {10.3390/S120810136}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJLPPSLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JungK12, author = {In{-}Seok Jung and Yong{-}Bin Kim}, title = {A {CMOS} Low-Power Digital Polar Modulator System Integration for {WCDMA} Transmitter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {2}, pages = {1154--1160}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2158777}, doi = {10.1109/TIE.2011.2158777}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JungK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LeeCJKKKL12, author = {Jinyi Lee and Myoungki Choi and Jongwoo Jun and Seokjin Kwon and Joo{-}Hyung Kim and Jungmin Kim and Minhhuy Le}, title = {Nondestructive Testing of Train Wheels Using Vertical Magnetization and Differential-Type Hall-Sensor Array}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {61}, number = {9}, pages = {2346--2353}, year = {2012}, url = {https://doi.org/10.1109/TIM.2012.2199190}, doi = {10.1109/TIM.2012.2199190}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LeeCJKKKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KimKLNLP12, author = {Kyunga Kim and Min{-}Seok Kwon and Sungyoung Lee and Junghyun Namkung and Ming D. Li and Taesung Park}, title = {GxG-Viztool: {A} program for visualizing gene-gene interactions in genetic association analysis}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2012, Philadelphia, USA, October 4-7, 2012}, pages = {838--843}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBMW.2012.6470249}, doi = {10.1109/BIBMW.2012.6470249}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KimKLNLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/ChoiKKKHP12, author = {Seung{-}yun Choi and Tae{-}Keun Kim and Dong Yeop Kim and Bong{-}Seok Kim and Jung{-}Hoon Hwang and Chang{-}Woo Park}, title = {Development of joint torque sensor applied to compensate crosstalk error}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {1086--1088}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386505}, doi = {10.1109/COASE.2012.6386505}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/ChoiKKKHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KimKCKH12, author = {Tae{-}Keun Kim and Bong{-}Seok Kim and Seung{-}yun Choi and Dae{-}Ho Kim and Jung{-}Hoon Hwang}, title = {2-DOF torque sensor for precise force control of robot hand}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {892--895}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386408}, doi = {10.1109/COASE.2012.6386408}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KimKCKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeeLOOLLK12, author = {Seungsin Lee and Seok Lee and Byungtae Oh and Kwan{-}Jung Oh and Ilsoon Lim and Jin Young Lee and Changyeong Kim}, title = {3D video format and compression methods for Efficient Multiview Video Transfer}, booktitle = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC), Las Vegas, NV, USA, January 14-17, 2012}, pages = {10--14}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCNC.2012.6181054}, doi = {10.1109/CCNC.2012.6181054}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeeLOOLLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/JungK12, author = {In{-}Seok Jung and Yong{-}Bin Kim}, editor = {Erik Brunvard and Ken Stevens and Joseph R. Cavallaro and Tong Zhang}, title = {A low stand-by power start-up circuit for {SMPS} {PWM} controller}, booktitle = {Great Lakes Symposium on {VLSI} 2012, GLSVLSI'12, Salt Lake City, UT, USA, May 3-4, 2012}, pages = {251--254}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2206781.2206841}, doi = {10.1145/2206781.2206841}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/JungK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/JeongKJK12, author = {Won Ho Jeong and Joo Seock Kim and Myoung{-}won Jung and Kyung{-}Seok Kim}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak}, title = {{MIMO} Channel Measurement and Analysis for 4G Mobile Communication}, booktitle = {Convergence and Hybrid Information Technology - 6th International Conference, {ICHIT} 2012, Daejeon, Korea, August 23-25, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7425}, pages = {676--682}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32645-5\_85}, doi = {10.1007/978-3-642-32645-5\_85}, timestamp = {Sun, 02 Jun 2019 21:21:29 +0200}, biburl = {https://dblp.org/rec/conf/ichit/JeongKJK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitst/KimLDY12, author = {Yongki Kim and Jungmin Lim and Seokjoo Doo and Hyunsoo Yoon}, editor = {Nick Savage and Safwan El Assad and Charles A. Shoniregun}, title = {The design of adaptive intrusion tolerant system(ITS) based on historical data}, booktitle = {7th International Conference for Internet Technology and Secured Transactions, {ICITST} 2012, London, United Kingdom, December 10-12, 2012}, pages = {662--667}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6470899/}, timestamp = {Thu, 10 Mar 2022 09:31:39 +0100}, biburl = {https://dblp.org/rec/conf/icitst/KimLDY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaeKKKC12, author = {Jun{-}Han Bae and Kyoung{-}Ho Kim and Seok Kim and Kee{-}Won Kwon and Jung{-}Hoon Chun}, title = {A low-power dual-PFD phase-rotating {PLL} with a {PFD} controller for 5Gb/s serial links}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2159--2162}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271715}, doi = {10.1109/ISCAS.2012.6271715}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaeKKKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/CollinsJKK12, author = {Edward Collins and In{-}Seok Jung and Yong{-}Bin Kim and Kyung Ki Kim}, title = {A design and integration of Parametric Measurement Unit on to a 600MHz {DCL}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {435--438}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406889}, doi = {10.1109/ISOCC.2012.6406889}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/CollinsJKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLPKRJJLYJCK12, author = {Jaeseok Park and Ingeol Lee and Young{-}Seok Park and Sung{-}Geun Kim and Kyungho Ryu and Dong{-}Hoon Jung and Kangwook Jo and Choong Keun Lee and Hongil Yoon and Seong{-}Ook Jung and Woo{-}Young Choi and Sungho Kang}, title = {Integration of dual channel timing formatter system for high speed memory test equipment}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {185--187}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407070}, doi = {10.1109/ISOCC.2012.6407070}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLPKRJJLYJCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/JungKL12, author = {In{-}Seok Jung and Yong{-}Bin Kim and Fabrizio Lombardi}, title = {A novel sort error hardened 10T {SRAM} cells for low voltage operation}, booktitle = {55th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2012, Boise, ID, USA, August 5-8, 2012}, pages = {714--717}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MWSCAS.2012.6292120}, doi = {10.1109/MWSCAS.2012.6292120}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/JungKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/OhKLKBKKLAKHKML12, author = {Min Seok Oh and Hae Kyung Kong and Han Soo Lee and Kyungil Kim and Kwanghyuk Bae and Soo Bang Kim and Sungkwan Kim and Moosup Lim and Jung Chak Ahn and Tae{-}Chan Kim and Goto Hiroshige and Seoung Hyun Kim and Dong{-}Ki Min and Yong Jei Lee}, title = {Backside-illumination 14{\(\mathrm{\mu}\)}m-pixel {QVGA} time-of-flight {CMOS} imager}, booktitle = {10th {IEEE} International {NEWCAS} Conference, Montreal, QC, Canada, June 17-20, 2012}, pages = {325--328}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEWCAS.2012.6329022}, doi = {10.1109/NEWCAS.2012.6329022}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/OhKLKBKKLAKHKML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/LeeLNKSLJ12, author = {Won{-}Jong Lee and Shihwa Lee and Jae{-}Ho Nah and Jin{-}Woo Kim and Youngsam Shin and Jaedon Lee and Seokyoon Jung}, title = {{SGRT:} a scalable mobile {GPU} architecture based on ray tracing}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2012, Los Angeles, California, USA, August 5-9, 2012, Poster Proceedings}, pages = {44}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2342896.2342953}, doi = {10.1145/2342896.2342953}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/LeeLNKSLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/LeeLNKSLJ12a, author = {Won{-}Jong Lee and Shihwa Lee and Jae{-}Ho Nah and Jin{-}Woo Kim and Youngsam Shin and Jaedon Lee and Seokyoon Jung}, title = {{SGRT:} a scalable mobile {GPU} architecture based on ray tracing}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2012, Los Angeles, California, USA, August 5-9, 2012, Talks Proceedings}, pages = {2}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2343045.2343048}, doi = {10.1145/2343045.2343048}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/LeeLNKSLJ12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KoKKBK11, author = {Hyo{-}Heon Ko and Jun{-}Seok Kim and Jihyun Kim and Jun{-}Geol Baek and Sung{-}Shick Kim}, title = {Intelligent adaptive process control using dynamic deadband for semiconductor manufacturing}, journal = {Expert Syst. Appl.}, volume = {38}, number = {6}, pages = {6759--6767}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.12.073}, doi = {10.1016/J.ESWA.2010.12.073}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KoKKBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JungGKK11, author = {Heeyoung Jung and Moneeb Gohar and Ji In Kim and Seok Joo Koh}, title = {Distributed Mobility Control in Proxy Mobile IPv6 Networks}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {8}, pages = {2216--2224}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.2216}, doi = {10.1587/TRANSCOM.E94.B.2216}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JungGKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/JeonKLK11, author = {Junghwi Jeon and Chulmin Kim and Ki{-}seok Lee and Cheeha Kim}, title = {Fast Retransmission Scheme for Overcoming Hidden Node Problem in {IEEE} 802.11 Networks}, journal = {J. Comput. Sci. Eng.}, volume = {5}, number = {4}, pages = {324--330}, year = {2011}, url = {https://doi.org/10.5626/JCSE.2011.5.4.324}, doi = {10.5626/JCSE.2011.5.4.324}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/JeonKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ChaeWKBK11, author = {Heung Seok Chae and Gyun Woo and Tae Yeon Kim and Jung Ho Bae and Won{-}Young Kim}, title = {An automated approach to reducing test suites for testing retargeted {C} compilers for embedded systems}, journal = {J. Syst. Softw.}, volume = {84}, number = {12}, pages = {2053--2064}, year = {2011}, url = {https://doi.org/10.1016/j.jss.2011.04.023}, doi = {10.1016/J.JSS.2011.04.023}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/ChaeWKBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChoJLCJJPKLK11, author = {Sooyoung Cho and Yukyung Jun and Sanghyun Lee and Hyung{-}Seok Choi and Sungchul Jung and Youngjun Jang and Charny Park and Sangok Kim and Sanghyuk Lee and Wan Kyu Kim}, title = {miRGator v2.0 : an integrated system for functional investigation of microRNAs}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {158--162}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1094}, doi = {10.1093/NAR/GKQ1094}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChoJLCJJPKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SeoCJKK11, author = {Seong{-}Young Seo and Jung{-}Hoon Chun and Young{-}Hyun Jun and Seok Kim and Kee{-}Won Kwon}, title = {A Digitally Controlled Oscillator With Wide Frequency Range and Low Supply Sensitivity}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {58-II}, number = {10}, pages = {632--636}, year = {2011}, url = {https://doi.org/10.1109/TCSII.2011.2164146}, doi = {10.1109/TCSII.2011.2164146}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SeoCJKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeJKJ11, author = {Seungho Lee and Yu{-}Seok Jeong and Yong{-}Jae Kim and Sang{-}Yong Jung}, title = {Novel Analysis and Design Methodology of Interior Permanent-Magnet Synchronous Motor Using Newly Adopted Synthetic Flux Linkage}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {58}, number = {9}, pages = {3806--3814}, year = {2011}, url = {https://doi.org/10.1109/TIE.2010.2093479}, doi = {10.1109/TIE.2010.2093479}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeJKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acn/JungKK11, author = {Sungmo Jung and Jong hyun Kim and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {A Study on {MAC} Address Spoofing Attack Detection Structure in Wireless Sensor Network Environment}, booktitle = {Advanced Communication and Networking - Third International Conference, {ACN} 2011, Brno, Czech Republic, August 15-17, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {199}, pages = {31--35}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23312-8\_4}, doi = {10.1007/978-3-642-23312-8\_4}, timestamp = {Fri, 30 Apr 2021 10:51:24 +0200}, biburl = {https://dblp.org/rec/conf/acn/JungKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aici/KimBKJK11, author = {Junghyun Kim and Sung Wook Baik and Kangseok Kim and Changduk Jung and Wonil Kim}, editor = {Hepu Deng and Duoqian Miao and Jingsheng Lei and Fu Lee Wang}, title = {A Cartoon Image Classification System Using {MPEG-7} Descriptors}, booktitle = {Artificial Intelligence and Computational Intelligence - Third International Conference, {AICI} 2011, Taiyuan, China, September 24-25, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7003}, pages = {368--375}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23887-1\_46}, doi = {10.1007/978-3-642-23887-1\_46}, timestamp = {Mon, 16 Sep 2019 15:29:37 +0200}, biburl = {https://dblp.org/rec/conf/aici/KimBKJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aici/LeeBKJK11, author = {Joo Hwan Lee and Sung Wook Baik and Kangseok Kim and Changduk Jung and Wonil Kim}, editor = {Hepu Deng and Duoqian Miao and Jingsheng Lei and Fu Lee Wang}, title = {{IGC:} An Image Genre Classification System}, booktitle = {Artificial Intelligence and Computational Intelligence - Third International Conference, {AICI} 2011, Taiyuan, China, September 24-25, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7003}, pages = {360--367}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23887-1\_45}, doi = {10.1007/978-3-642-23887-1\_45}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aici/LeeBKJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KwonKLCYNP11, author = {Min{-}Seok Kwon and Kyunga Kim and Sungyoung Lee and Wonil Chung and Sung{-}Gon Yi and Junghyun Namkung and Taesung Park}, title = {{GWAS-GMDR:} {A} program package for genome-wide scan of gene-gene interactions with covariate adjustment based on multifactor dimensionality reduction}, booktitle = {2011 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2011, Atlanta, GA, USA, November 12-15, 2011}, pages = {703--707}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BIBMW.2011.6112456}, doi = {10.1109/BIBMW.2011.6112456}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KwonKLCYNP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/KimaLLSLBK11, author = {Eungyeong Kim and Seok Lee and Taikjin Lee and Beom Ju Shin and Jungho Lee and Young Tae Byun and Hyung Seok Kim}, title = {An Intelligent Real-Time Odor Monitoring System Using a Pattern Extraction Algorithm}, booktitle = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, pages = {473--479}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DASC.2011.92}, doi = {10.1109/DASC.2011.92}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/KimaLLSLBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeKMLL11, author = {Hyo{-}Ki Lee and Joo{-}Han Kim and Hyoun{-}Seok Myoung and Jung{-}Hun Lee and Kyoung{-}Joung Lee}, title = {Repeatability of the accelerometric-based method to detect step events for hemiparetic stroke patients}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {5195--5198}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091285}, doi = {10.1109/IEMBS.2011.6091285}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeKMLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HwangKAJ11, author = {Wonil Hwang and Nam{-}Hyo Kim and Hyeong{-}Joon Ahn and Hee{-}Seok Jung}, editor = {Julie A. Jacko}, title = {Factors for Representing In-Vehicle Roominess}, booktitle = {Human-Computer Interaction. Towards Mobile and Intelligent Interaction Environments - 14th International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6763}, pages = {386--390}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21616-9\_43}, doi = {10.1007/978-3-642-21616-9\_43}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HwangKAJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/KoPKHJ11, author = {Yoon{-}Hyuk Ko and Byoung{-}Gun Park and Rae{-}Young Kim and Dong{-}Seok Hyun and Ha{-}Jin Jung}, title = {A simple space vector {PWM} scheme with neutral point balancing for three-level neutral point clamped inverter}, booktitle = {2011 {IEEE} Industry Applications Society Annual Meeting, Orlando, FL, USA, October 9-13, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IAS.2011.6074330}, doi = {10.1109/IAS.2011.6074330}, timestamp = {Tue, 06 Jul 2021 18:52:42 +0200}, biburl = {https://dblp.org/rec/conf/iasam/KoPKHJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/ChaLKKMSL11, author = {YoungWook Cha and Kyoungmin Lee and Choon Hee Kim and Junguk Kong and Jeonghoon Moon and Woojin Seok and Huhnkuk Lim}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Grid Network Management System Based on Hierarchical Information Model}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {249--258}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_33}, doi = {10.1007/978-3-642-24106-2\_33}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/ChaLKKMSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/KimHKJK11, author = {Junghyun Kim and Doohong Hwang and Kangseok Kim and Changduk Jung and Wonil Kim}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Development of Real-Time Learning Components Using Expanded {SCORM}}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {501--512}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_64}, doi = {10.1007/978-3-642-24106-2\_64}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/KimHKJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/JeonLK11, author = {Junghwi Jeon and Ki{-}seok Lee and Cheeha Kim}, editor = {Cheeha Kim and Yongtae Shin}, title = {Fast route recovery scheme for Mobile Ad Hoc Networks}, booktitle = {2011 International Conference on Information Networking, {ICOIN} 2011, Kuala Lumpur, Malaysia, January 26-28, 2011}, pages = {419--423}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICOIN.2011.5723121}, doi = {10.1109/ICOIN.2011.5723121}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/JeonLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeKJ11, author = {Yun{-}Seok Lee and Eun Kim and Min{-}Soo Jung}, editor = {Suk{-}Han Lee and Lajos Hanzo and Min Young Chung and Sang{-}Won Lee and Kwangsu Cho}, title = {A smart card based user authentication scheme for multi-server environment}, booktitle = {Proceedings of the 5th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2011, Seoul, Republic of Korea, February 21 - 23, 2011}, pages = {117}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1968613.1968750}, doi = {10.1145/1968613.1968750}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/LeeKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/CollinsJKK11, author = {Edward Collins and In{-}Seok Jung and Yong{-}Bin Kim and Kyung Ki Kim}, title = {A design approach of a Parametric Measurement Unit on to a 600MHz {DCL}}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {446--449}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138628}, doi = {10.1109/ISOCC.2011.6138628}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/CollinsJKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11, author = {Hoeju Chung and Byung{-}Hoon Jeong and ByungJun Min and Youngdon Choi and Beak{-}Hyung Cho and Junho Shin and Jinyoung Kim and Jung Sunwoo and Joon{-}min Park and Qi Wang and Yong{-}jun Lee and Sooho Cha and Dukmin Kwon and Sang{-}Tae Kim and Sunghoon Kim and Yoohwan Rho and Mu{-}Hui Park and Jaewhan Kim and Ickhyun Song and Sunghyun Jun and Jaewook Lee and KiSeung Kim and Ki{-}won Lim and Won{-}ryul Chung and ChangHan Choi and HoGeun Cho and Inchul Shin and Woochul Jun and Seokwon Hwang and Ki{-}Whan Song and KwangJin Lee and Sang{-}whan Chang and Woo{-}Yeong Cho and Jei{-}Hwan Yoo and Young{-}Hyun Jun}, title = {A 58nm 1.8V 1Gb {PRAM} with 6.4MB/s program {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {500--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746415}, doi = {10.1109/ISSCC.2011.5746415}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {212--213}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746287}, doi = {10.1109/ISSCC.2011.5746287}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/JangKLKYKKR11, author = {Choonki Jang and Jungwon Kim and Jaejin Lee and Hee{-}Seok Kim and Donghoon Yoo and Sukjin Kim and Hongseok Kim and Soojung Ryu}, editor = {Jan Vitek and Bjorn De Sutter}, title = {An instruction-scheduling-aware data partitioning technique for coarse-grained reconfigurable architectures}, booktitle = {Proceedings of the {ACM} {SIGPLAN/SIGBED} 2011 conference on Languages, compilers, and tools for embedded systems, {LCTES} 2011, Chicago, IL, USA, April 11-14, 2011}, pages = {151--160}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1967677.1967699}, doi = {10.1145/1967677.1967699}, timestamp = {Thu, 24 Jun 2021 16:19:30 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/JangKLKYKKR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/JungKC11, author = {In{-}Seok Jung and Elizabeth R. Kim and Minsu Choi}, title = {Learning nanotechnology through crossbar-based architecture and Carbon Nanotube(CNT) FETs}, booktitle = {2011 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2011, San Diego, CA, USA, June 5-6, 2011}, pages = {60--63}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/MSE.2011.5937093}, doi = {10.1109/MSE.2011.5937093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/JungKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucma/JungK11, author = {Sungmo Jung and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {A Study on Safe Reproduction of Reference Points for Recognition on Screen}, booktitle = {Ubiquitous Computing and Multimedia Applications - Second International Conference, {UCMA} 2011, Daejeon, Korea, April 13-15, 2011. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {150}, pages = {7--10}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20975-8\_2}, doi = {10.1007/978-3-642-20975-8\_2}, timestamp = {Thu, 18 Oct 2018 15:29:49 +0200}, biburl = {https://dblp.org/rec/conf/ucma/JungK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKHK11, author = {Dae{-}Ho Kim and Bong{-}Seok Kim and Jung{-}Hoon Hwang and Eun{-}Tai Kim}, title = {The new 2 {DOF} frame torque sensor for the Metacarpophalangeal joint in robotic hand}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {556--559}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6145882}, doi = {10.1109/URAI.2011.6145882}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimKHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wism/KimHKJK11, author = {Junghyun Kim and Doohong Hwang and Kangseok Kim and Changduk Jung and Wonil Kim}, editor = {Zhiguo Gong and Xiangfeng Luo and Junjie Chen and Jingsheng Lei and Fu Lee Wang}, title = {Development of {LMS/LCMS} (Contents Link Module) Real-Time Interactive in Videos for Maximizing the Effect of Learning}, booktitle = {Web Information Systems and Mining - International Conference, {WISM} 2011, Taiyuan, China, September 24-25, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6988}, pages = {444--451}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23982-3\_54}, doi = {10.1007/978-3-642-23982-3\_54}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/wism/KimHKJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/KimSPLY10, author = {Ki{-}Young Kim and Ho{-}Seok Song and Sukhoon Park and Jung{-}Ju Lee and Yong{-}San Yoon}, title = {Design and Evaluation of a Teleoperated Surgical Manipulator with an Additional Degree of Freedom for Laparoscopic Surgery}, journal = {Adv. Robotics}, volume = {24}, number = {12}, pages = {1695--1718}, year = {2010}, url = {https://doi.org/10.1163/016918610X522522}, doi = {10.1163/016918610X522522}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/KimSPLY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejc/JungKKKY10, author = {Ji Hye Jung and Seok{-}Jin Kang and Myungho Kim and Sungsoon Kim and Jeong{-}Youn Yu}, title = {Adjoint crystals and Young walls for U\({}_{\mbox{p}}\)(sl\({}_{\mbox{2}}\))}, journal = {Eur. J. Comb.}, volume = {31}, number = {3}, pages = {738--758}, year = {2010}, url = {https://doi.org/10.1016/j.ejc.2009.10.004}, doi = {10.1016/J.EJC.2009.10.004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejc/JungKKKY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/KimKH10, author = {Jung{-}Hyun Kim and Hyeong{-}Joon Kwon and Kwang{-}Seok Hong}, title = {Location awareness-based intelligent multi-agent technology}, journal = {Multim. Syst.}, volume = {16}, number = {4-5}, pages = {275--292}, year = {2010}, url = {https://doi.org/10.1007/s00530-010-0194-9}, doi = {10.1007/S00530-010-0194-9}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/KimKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungSHAK10, author = {Sungmo Jung and Jaegu Song and Dae{-}Joon Hwang and Jae Young Ahn and Seoksoo Kim}, title = {A Study on Software-based Sensing Technology for Multiple Object Control in {AR} Video}, journal = {Sensors}, volume = {10}, number = {11}, pages = {9857--9871}, year = {2010}, url = {https://doi.org/10.3390/s101109857}, doi = {10.3390/S101109857}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungSHAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongJKSK10, author = {Jaegu Song and Sungmo Jung and Jong hyun Kim and Dongil Seo and Seoksoo Kim}, title = {Research on a Denial of Service (DoS) Detection System Based on Global Interdependent Behaviors in a Sensor Network Environment}, journal = {Sensors}, volume = {10}, number = {11}, pages = {10376--10386}, year = {2010}, url = {https://doi.org/10.3390/s101110376}, doi = {10.3390/S101110376}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SongJKSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/YouJK10, author = {Young Il You and Seok Myung Jung and Dong Keun Kim}, title = {Integrated mobile terrestrial digital multimedia broadcasting player compliant with the T-DMB, CMMB, and {ISDB-T} standards}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {56}, number = {2}, pages = {488--493}, year = {2010}, url = {https://doi.org/10.1109/TCE.2010.5505960}, doi = {10.1109/TCE.2010.5505960}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/YouJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/JungKK10, author = {Ho Gi Jung and Dong Seok Kim and Jaihie Kim}, title = {Light-Stripe-Projection-Based Target Position Designation for Intelligent Parking-Assist System}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {11}, number = {4}, pages = {942--953}, year = {2010}, url = {https://doi.org/10.1109/TITS.2010.2057426}, doi = {10.1109/TITS.2010.2057426}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/JungKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/KimSS10, author = {Tae{-}Jung Kim and Bo{-}Seok Seo and Jae{-}Won Suh}, editor = {Jacques Blanc{-}Talon and Don Bone and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {An Efficient Mode Decision Algorithm for Combined Scalable Video Coding}, booktitle = {Advanced Concepts for Intelligent Vision Systems - 12th International Conference, {ACIVS} 2010, Sydney, Australia, December 13-16, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6475}, pages = {58--68}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17691-3\_6}, doi = {10.1007/978-3-642-17691-3\_6}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/acivs/KimSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HwangKLJK10, author = {Seokjoong Hwang and Seon Wook Kim and Joon Goo Lee and Dongha Jung and Areum Kim}, title = {Design of ultra low power stream data receiver based on {UHF} passive {RFID} tag system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {172--175}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5774900}, doi = {10.1109/APCCAS.2010.5774900}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HwangKLJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/KimKKK10, author = {Dong Seok Kim and Jungmoon Kim and Jihwan Kim and Chulwoo Kim}, title = {An on-chip soft-start technique of current-mode {DC-DC} converter for biomedical applications}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {500--503}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5775038}, doi = {10.1109/APCCAS.2010.5775038}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/KimKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeYKLJLC10, author = {Seok Lee and Jayang Yoon and Jaehoon Kim and Changsuk Lee and Hawoong Jung and Jaeshin Lee and Joongho Choi}, title = {High-capacity {DC-DC} converters for active matrix {OLED} display}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {480--483}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5775053}, doi = {10.1109/APCCAS.2010.5775053}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeYKLJLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsrt/AhnSSK10, author = {Jung Hyun Ahn and Moon Gi Seok and Chang Ho Sung and Tag Gon Kim}, editor = {Stephen John Turner and David J. Roberts}, title = {Hierarchical Federation Composition for Information Hiding in HLA-Based Distributed Simulation}, booktitle = {{DS-RT} '10 Proceedings of the 2010 {IEEE/ACM} 14th International Symposium on Distributed Simulation and Real Time Applications, Fairfax, Virginia, USA, 17-20 October 2010}, pages = {223--226}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DS-RT.2010.35}, doi = {10.1109/DS-RT.2010.35}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsrt/AhnSSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ChoiK10, author = {E.{-}Jung Choi and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Sankar K. Pal and William I. Grosky and Niki Pissinou and Timothy K. Shih and Dominik Slezak}, title = {Fusion Render Cloud System for 3D Contents Using a Super Computer}, booktitle = {Signal Processing and Multimedia - International Conferences, {SIP} and MulGraB 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {123}, pages = {204--211}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17641-8\_25}, doi = {10.1007/978-3-642-17641-8\_25}, timestamp = {Tue, 23 May 2017 01:07:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/ChoiK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/JungKCLK10, author = {Sungmo Jung and Jong hyun Kim and Giovanni Cagalaban and Ji{-}hoon Lim and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Thanos Vasilakos and Kouichi Sakurai and Yang Xiao and Gansen Zhao and Dominik Slezak}, title = {Design of Cyber Attack Precursor Symptom Detection Algorithm through System Base Behavior Analysis and Memory Monitoring}, booktitle = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {120}, pages = {276--283}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17604-3\_33}, doi = {10.1007/978-3-642-17604-3\_33}, timestamp = {Tue, 23 May 2017 01:07:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/JungKCLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/JungSK10, author = {Sungmo Jung and Jaegu Song and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Sankar K. Pal and William I. Grosky and Niki Pissinou and Timothy K. Shih and Dominik Slezak}, title = {A Study on Marker Overlapping Control for M2M-Based Augmented Reality Multiple Object Loading Using Bresenham Algorithm}, booktitle = {Signal Processing and Multimedia - International Conferences, {SIP} and MulGraB 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {123}, pages = {8--15}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17641-8\_2}, doi = {10.1007/978-3-642-17641-8\_2}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/JungSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KwakPKKPKKHKS10, author = {Yoonsik Kwak and Dong{-}Hee Park and Jiwon Kwak and Dongho Kwak and Sangmoon Park and Kijeong Kil and Minseop Kim and Jungyoo Han and TaeHwan Kim and Seokil Song}, editor = {Tai{-}Hoon Kim and Thanos Vasilakos and Kouichi Sakurai and Yang Xiao and Gansen Zhao and Dominik Slezak}, title = {Implementation of the Sensor Node Hardware Platform for an Automatic Stall Management}, booktitle = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {120}, pages = {330--334}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17604-3\_40}, doi = {10.1007/978-3-642-17604-3\_40}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/KwakPKKPKKHKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/SongJK10, author = {Jaegu Song and Sungmo Jung and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Alan Chin{-}Chen Chang and Mingchu Li and Chunming Rong and Charalampos Z. Patrikakis and Dominik Slezak}, title = {Study on the Future Internet System through Analysis of {SCADA} Systems}, booktitle = {Communication and Networking - International Conference, {FGCN} 2010, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2010, Jeju Island, Korea, December 13-15, 2010. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {119}, pages = {10--14}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-17587-9\_2}, doi = {10.1007/978-3-642-17587-9\_2}, timestamp = {Tue, 23 May 2017 01:07:32 +0200}, biburl = {https://dblp.org/rec/conf/fgit/SongJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iucs/YeomLSK10, author = {Seokwon Yeom and Dong{-}Su Lee and Jung{-}Young Son and Shin{-}Hwan Kim}, title = {Concealed object detection using passive millimeter wave imaging}, booktitle = {4th International Universal Communication Symposium, {IUCS} 2010, Beijing, China, October 18-19, 2010}, pages = {383--386}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IUCS.2010.5666180}, doi = {10.1109/IUCS.2010.5666180}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iucs/YeomLSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KangJSKHJLLL10, author = {Andy Kang and Sung jin Jung and Daniel Son and Wook Kim and Young woo Han and Hwang soo Jung and Bryan Lee and Seung hoon Lee and Yun seok Lee}, editor = {Jinny H. J. Choo}, title = {Digital IDEA's {VFX} work}, booktitle = {{ACM} {SIGGRAPH} {ASIA} 2010 Computer Animation Festival, Seoul, Republic of Korea, December 15 - 18, 2010}, pages = {54:1}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1900264.1900318}, doi = {10.1145/1900264.1900318}, timestamp = {Tue, 06 Nov 2018 16:58:04 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KangJSKHJLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sucoms/JungK10, author = {Sungmo Jung and Seoksoo Kim}, editor = {Tai{-}Hoon Kim and Adrian Stoica and Ruay{-}Shiung Chang}, title = {A Study on {AR} 3D Objects Shading Method Using Electronic Compass Sensor}, booktitle = {Security-Enriched Urban Computing and Smart Grid - First International Conference, SUComS 2010, Daejeon, Korea, September 15-17, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {78}, pages = {72--76}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16444-6\_11}, doi = {10.1007/978-3-642-16444-6\_11}, timestamp = {Wed, 13 Mar 2019 09:09:04 +0100}, biburl = {https://dblp.org/rec/conf/sucoms/JungK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sucoms/SongPSPLBHLCKKK10, author = {Sang Hoon Song and Kyoung Un Park and Junghan Song and Hyeon Young Paik and Chi Woo Lee and Su mi Bang and Joon Seok Hong and Hyun Joo Lee and InSook Cho and Jeong Ah Kim and Hyun Young Kim and Yoon Kim}, editor = {Tai{-}Hoon Kim and Adrian Stoica and Ruay{-}Shiung Chang}, title = {Alerting of Laboratory Critical Values}, booktitle = {Security-Enriched Urban Computing and Smart Grid - First International Conference, SUComS 2010, Daejeon, Korea, September 15-17, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {78}, pages = {524--531}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16444-6\_65}, doi = {10.1007/978-3-642-16444-6\_65}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sucoms/SongPSPLBHLCKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/NamkungKYCKP09, author = {Junghyun Namkung and Kyunga Kim and Sung{-}Gon Yi and Wonil Chung and Min{-}Seok Kwon and Taesung Park}, title = {New evaluation measures for multifactor dimensionality reduction classifiers in gene-gene interaction analysis}, journal = {Bioinform.}, volume = {25}, number = {3}, pages = {338--345}, year = {2009}, url = {https://doi.org/10.1093/bioinformatics/btn629}, doi = {10.1093/BIOINFORMATICS/BTN629}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/NamkungKYCKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPJ09, author = {Sungkon Kim and Jungwhee Lee and Min{-}Seok Park and Byung{-}Wan Jo}, title = {Vehicle Signal Analysis Using Artificial Neural Networks for a Bridge Weigh-in-Motion System}, journal = {Sensors}, volume = {9}, number = {10}, pages = {7943--7956}, year = {2009}, url = {https://doi.org/10.3390/s91007943}, doi = {10.3390/S91007943}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLPJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/speech/LeeJKL09, author = {Cheongjae Lee and Sangkeun Jung and Seokhwan Kim and Gary Geunbae Lee}, title = {Example-based dialog modeling for practical multi-domain dialog system}, journal = {Speech Commun.}, volume = {51}, number = {5}, pages = {466--484}, year = {2009}, url = {https://doi.org/10.1016/j.specom.2009.01.008}, doi = {10.1016/J.SPECOM.2009.01.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/speech/LeeJKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ParkKL09, author = {Jung{-}Heum Park and Hee{-}Chul Kim and Hyeong{-}Seok Lim}, title = {Many-to-Many Disjoint Path Covers in the Presence of Faulty Elements}, journal = {{IEEE} Trans. Computers}, volume = {58}, number = {4}, pages = {528--540}, year = {2009}, url = {https://doi.org/10.1109/TC.2008.160}, doi = {10.1109/TC.2008.160}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ParkKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LimAJPKVCJPY09, author = {Kyung{-}Hoon Lim and Gunhyun Ahn and Sung{-}Chan Jung and Hyun{-}Chul Park and Min{-}Su Kim and Ju{-}Ho Van and Hanjin Cho and Jonghyuk Jeong and Cheon{-}Seok Park and Youngoo Yang}, title = {A 60-W Multicarrier {WCDMA} Power Amplifier Using an {RF} Predistorter}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {4}, pages = {265--269}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2009.2015385}, doi = {10.1109/TCSII.2009.2015385}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LimAJPKVCJPY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SeoLKYLJ09, author = {Young{-}Suk Seo and Jang{-}Woo Lee and Hong{-}Jung Kim and Changsik Yoo and Jae{-}Jin Lee and Chun{-}Seok Jeong}, title = {A 5-Gbit/s Clock- and Data-Recovery Circuit With 1/8-Rate Linear Phase Detector in 0.18-{\(\mu\)}m {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {1}, pages = {6--10}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2008.2008520}, doi = {10.1109/TCSII.2008.2008520}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SeoLKYLJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/YouKJ09, author = {Young Il You and Dong Keun Kim and Seok Myung Jung}, title = {Novel {A/V} synchronization method for portable {T-DMB} players supporting {BIFS}}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {4}, pages = {1860--1865}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5373743}, doi = {10.1109/TCE.2009.5373743}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/YouKJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/YuJCKR09, author = {Nam Hee Yu and Kwang Su Jung and Yong Je Chung and Hi{-}Seok Kim and Keun Ho Ryu}, editor = {Hamid R. Arabnia and Mary Qu Yang}, title = {A Protein Sub-structure Comparison Method using Distance Matrix}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {69--74}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Wed, 02 Jun 2010 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocomp/YuJCKR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/YoonSKP09, author = {Seok{-}Ho Yoon and Jung{-}Hwan Shin and Sang{-}Wook Kim and Sunju Park}, editor = {David Wai{-}Lok Cheung and Il{-}Yeol Song and Wesley W. Chu and Xiaohua Hu and Jimmy Lin}, title = {Extraction of a latent blog community based on subject}, booktitle = {Proceedings of the 18th {ACM} Conference on Information and Knowledge Management, {CIKM} 2009, Hong Kong, China, November 2-6, 2009}, pages = {1529--1532}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645953.1646163}, doi = {10.1145/1645953.1646163}, timestamp = {Fri, 27 Aug 2021 11:13:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/YoonSKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/SongJK09, author = {Jaegu Song and Sungmo Jung and Seoksoo Kim}, editor = {Dominik Slezak and Tai{-}Hoon Kim and Alan Chin{-}Chen Chang and Thanos Vasilakos and Mingchu Li and Kouichi Sakurai}, title = {Research on Rule-Based {AR} System for Users' Situation Awareness}, booktitle = {Communication and Networking - International Conference, {FGCN/ACN} 2009, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2009, Jeju Island, Korea, December 10-12, 2009. Proceedings}, series = {Communications in Computer and Information Science}, volume = {56}, pages = {188--192}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-10844-0\_24}, doi = {10.1007/978-3-642-10844-0\_24}, timestamp = {Tue, 23 May 2017 01:07:31 +0200}, biburl = {https://dblp.org/rec/conf/fgit/SongJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/SongKL09, author = {Ho{-}Seok Song and Ki{-}Young Kim and Jung{-}Ju Lee}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Development of the dexterous manipulator and the force sensor for Minimally Invasive Surgery}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {524--528}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4803998}, doi = {10.1109/ICARA.2000.4803998}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icara/SongKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimKH09, author = {Jung{-}Hyun Kim and Hyeong{-}Joon Kwon and Kwang{-}Seok Hong}, editor = {Osvaldo Gervasi and David Taniar and Beniamino Murgante and Antonio Lagan{\`{a}} and Youngsong Mun and Marina L. Gavrilova}, title = {WiBro Net.-Based Five Senses Multimedia Technology Using Mobile Mash-Up}, booktitle = {Computational Science and Its Applications - {ICCSA} 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5593}, pages = {286--301}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02457-3\_25}, doi = {10.1007/978-3-642-02457-3\_25}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ChungHCK09, author = {Myung{-}Jin Chung and Jung{-}Su Han and Hyung{-}Jin Choi and Seok{-}Joong Kim}, editor = {Won Kim and Hyung{-}Jin Choi and Dongho Won}, title = {An enhanced {ECCM} method using {OFCDM} in WiBro system}, booktitle = {Proceedings of the 3rd International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2009, Suwon, Korea, January 15-16, 2009}, pages = {499--503}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1516241.1516327}, doi = {10.1145/1516241.1516327}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/ChungHCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interaction/KimPK09, author = {Hyeon{-}Seok Kim and Chan Jung Park and Seong Baeg Kim}, editor = {Sungwon Sohn and Ling Chen and Soonwook Hwang and Kyungeun Cho and Shigeo Kawata and Kyhyun Um and Franz I. S. Ko and Kae{-}Dal Kwack and Jong Hyung Lee and Gang Kou and Kiyoshi Nakamura and Alvis Cheuk M. Fong and Patrick C. M. Ma}, title = {Improvement of Jeju National Museum service using mobile {RFID}}, booktitle = {Proceedings of the 2nd International Conference on Interaction Sciences: Information Technology, Culture and Human {(ICIS} 2009), Seoul, Korea, 24-26 November 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {403}, pages = {1066--1071}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1655925.1656118}, doi = {10.1145/1655925.1656118}, timestamp = {Sun, 28 Feb 2021 18:18:34 +0100}, biburl = {https://dblp.org/rec/conf/interaction/KimPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoonCLJHKJSSCSCKJK09, author = {Yongsam Moon and Yong{-}Ho Cho and Hyun{-}Bae Lee and Byung{-}Hoon Jeong and Seok{-}Hun Hyun and Byungchul Kim and In{-}Chul Jeong and Seong{-}Young Seo and Junho Shin and Seok{-}Woo Choi and Ho{-}Sung Song and Jung{-}Hwan Choi and Kyehyun Kyung and Young{-}Hyun Jun and Kinam Kim}, title = {1.2V 1.6Gb/s 56nm 6F\({}^{\mbox{2}}\) 4Gb {DDR3} {SDRAM} with hybrid-I/O sense amplifier and segmented sub-array architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {128--129}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977341}, doi = {10.1109/ISSCC.2009.4977341}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoonCLJHKJSSCSCKJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iucs/SonYGVLK09, author = {Jung{-}Young Son and Seokwon Yeom and Vladimir P. Guschin and Yuriy Vashpanov and Dong{-}Su Lee and Shin{-}Hwan Kim}, editor = {Kazumasa Enami}, title = {Stereo camera model of feed horns in focal plane array}, booktitle = {Proceedings of the 3rd International Universal Communication Symposium, {IUCS} 2009, Tokyo, Japan, 3-4 December 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {398}, pages = {237--240}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1667780.1667829}, doi = {10.1145/1667780.1667829}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iucs/SonYGVLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/YiRWAKJCL09, author = {Sun Young Yi and Kum Hei Ryu and Hyun Soo Woo and Woojin Ahn and Woo Seok Kim and Hoeryong Jung and Jang Ho Cho and Doo Yong Lee}, editor = {James D. Westwood and Susan W. Westwood and Randy S. Haluck and Helene M. Hoffman and Greg T. Mogel and Roger Phillips and Richard A. Robb and Kirby G. Vosburgh}, title = {Sectional Analysis of Learning on the KAIST-Ewha Colonoscopy Simulation {II}}, booktitle = {Medicine Meets Virtual Reality 17 - NextMed: Design for/the Well Being, {MMVR} 2009, Long Beach, CA, USA, January 19-22, 2009}, series = {Studies in Health Technology and Informatics}, volume = {142}, pages = {432--434}, publisher = {{IOS} Press}, year = {2009}, url = {https://doi.org/10.3233/978-1-58603-964-6-432}, doi = {10.3233/978-1-58603-964-6-432}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/YiRWAKJCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/HuangJKH09, author = {Rong{-}Guo Huang and Sang{-}Hyeon Jin and Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede}, title = {Flower image recognition using difference image entropy}, booktitle = {MoMM'2009 - The 7th International Conference on Advances in Mobile Computing and Multimedia, 14-16 December 2009, Kuala Lumpur, Malaysia}, pages = {618--621}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1821748.1821868}, doi = {10.1145/1821748.1821868}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/momm/HuangJKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KimKLH09, author = {Jung{-}Hyun Kim and Hyeong{-}Joon Kwon and Hyo{-}Haeng Lee and Kwang{-}Seok Hong}, editor = {Daqing Zhang and Marius Portmann and Ah{-}Hwee Tan and Jadwiga Indulska}, title = {Mobile Web 2.0-Oriented Five Senses Multimedia Technology with LBS-Based Intelligent Agent}, booktitle = {Ubiquitous Intelligence and Computing, 6th International Conference, {UIC} 2009, Brisbane, Australia, July 7-9, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5585}, pages = {281--295}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02830-4\_22}, doi = {10.1007/978-3-642-02830-4\_22}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uic/KimKLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KangKJYH09, author = {Jin Whan Kang and Sang{-}Hyo Kim and Young{-}Seok Jung and Seokho Yoon and Tae Hee Han}, title = {A New Demapper for {BICM} system with {HARQ}}, booktitle = {Proceedings of the 70th {IEEE} Vehicular Technology Conference, {VTC} Fall 2009, 20-23 September 2009, Anchorage, Alaska, {USA}}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECF.2009.5378692}, doi = {10.1109/VETECF.2009.5378692}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/KangKJYH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/NguyenHLKW08, author = {Tuan{-}Quang Nguyen and Jun{-}Seok Heo and Jung{-}Hoon Lee and Yi{-}Reun Kim and Kyu{-}Young Whang}, title = {Query Expansion Using Augmented Terms in an Extended Boolean Model}, journal = {J. Comput. Sci. Eng.}, volume = {2}, number = {1}, pages = {26--43}, year = {2008}, url = {https://doi.org/10.5626/jcse.2008.2.1.026}, doi = {10.5626/JCSE.2008.2.1.026}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/NguyenHLKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/LeeCKJ08, author = {Se{-}Yul Lee and Gyoo{-}Seok Choi and Chang{-}Su Kim and Hoe{-}Kyung Jung}, title = {A Study of a Secure Mobile Agent Services Based on Grid Proxy Gateway}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {6}, number = {3}, pages = {348--352}, year = {2008}, url = {http://www.koreascience.or.kr/article/ArticleFullRecord.jsp?cn=E1ICAW\_2008\_v6n3\_348}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/LeeCKJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimKNKIK08, author = {Sung Woo Kim and Soo Chan Kim and Ki Chang Nam and Eun Seok Kang and Jae Jung Im and Deok Won Kim}, title = {A new method of screening for diabetic neuropathy using laser Doppler and photoplethysmography}, journal = {Medical Biol. Eng. Comput.}, volume = {46}, number = {1}, pages = {61--67}, year = {2008}, url = {https://doi.org/10.1007/s11517-007-0257-z}, doi = {10.1007/S11517-007-0257-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimKNKIK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/JungLBJKWM08, author = {Mi Jung and Seok Lee and Young Tae Byun and Young Min Jhon and Sun Ho Kim and Deok{-}Ha Woo and Sun{-}il Mho}, title = {Characteristics and fabrication of nanohole array on InP semiconductor substrate using nanoporous alumina}, journal = {Microelectron. J.}, volume = {39}, number = {3-4}, pages = {526--528}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2007.07.107}, doi = {10.1016/J.MEJO.2007.07.107}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/JungLBJKWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/JungYBK08, author = {Yong Woo Jung and Jae Jin Yoon and Jun Seok Byun and Young Dong Kim}, title = {Dielectric function analysis of ZnSe and CdSe using parametric semiconductor model}, journal = {Microelectron. J.}, volume = {39}, number = {3-4}, pages = {570--572}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2007.07.038}, doi = {10.1016/J.MEJO.2007.07.038}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/JungYBK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YangKKCP08, author = {Jin Seok Yang and Seong{-}Il Kim and Yong Tae Kim and Woon Jo Cho and Jung Ho Park}, title = {Electrical characteristics of nano-crystal Si particles for nano-floating gate memory}, journal = {Microelectron. J.}, volume = {39}, number = {12}, pages = {1553--1555}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2008.03.016}, doi = {10.1016/J.MEJO.2008.03.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YangKKCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YangPKKKH08, author = {Jin Seok Yang and Jung Ho Park and Seong{-}Il Kim and Seo Young Kim and Yong Tae Kim and Il Ki Han}, title = {{I-V} characteristics of a methanol sensor for direct methanol fuel cell {(DMFC)} as a function of deposited platinum (Pt) thickness}, journal = {Microelectron. J.}, volume = {39}, number = {9}, pages = {1140--1143}, year = {2008}, url = {https://doi.org/10.1016/j.mejo.2007.12.023}, doi = {10.1016/J.MEJO.2007.12.023}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YangPKKKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimLJKCJ08, author = {Jong{-}Seok Kim and Sang{-}Woo Lee and Kyu{-}Dong Jung and Woon{-}Bae Kim and Sung{-}Hoon Choa and Byeong{-}Kwon Ju}, title = {Quality factor measurement of micro gyroscope structure according to vacuum level and desired Q-factor range package method}, journal = {Microelectron. Reliab.}, volume = {48}, number = {6}, pages = {948--952}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2008.03.001}, doi = {10.1016/J.MICROREL.2008.03.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimLJKCJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/SeokKSHK08, author = {Jung{-}Eun Seok and Hyun{-}Joo Kim and Jae{-}Yong Seo and Sam{-}jin Hwang and Byung{-}Heon Kwak}, title = {Optimization of gate poly {TAB} size and reliability on short channel pMOSFET}, journal = {Microelectron. Reliab.}, volume = {48}, number = {8-9}, pages = {1185--1188}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2008.07.007}, doi = {10.1016/J.MICROREL.2008.07.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/SeokKSHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/KimJS08, author = {Jaewhan Kim and Wondea Jung and Young Seok Son}, title = {The MDTA-based method for assessing diagnosis failures and their risk impacts in nuclear power plants}, journal = {Reliab. Eng. Syst. Saf.}, volume = {93}, number = {2}, pages = {337--349}, year = {2008}, url = {https://doi.org/10.1016/j.ress.2006.10.020}, doi = {10.1016/J.RESS.2006.10.020}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/KimJS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/speech/JungLKL08, author = {Sangkeun Jung and Cheongjae Lee and Seokhwan Kim and Gary Geunbae Lee}, title = {DialogStudio: {A} workbench for data-driven spoken dialog system development and management}, journal = {Speech Commun.}, volume = {50}, number = {8-9}, pages = {697--715}, year = {2008}, url = {https://doi.org/10.1016/j.specom.2008.04.003}, doi = {10.1016/J.SPECOM.2008.04.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/speech/JungLKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/LeeWJKR08, author = {Yang Koo Lee and Ling Wang and Young Jin Jung and Hi{-}Seok Kim and Keun Ho Ryu}, editor = {Qiang Wu and Xiangjian He and Quang Vinh Nguyen and Wenjing Jia and Mao Lin Huang}, title = {Time-based insertion methods for monitoring sensor data}, booktitle = {Proceedings of 8th {IEEE} International Conference on Computer and Information Technology, {CIT} 2008, Sydney, Australia, July 8-11, 2008}, pages = {833--838}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CIT.2008.4594782}, doi = {10.1109/CIT.2008.4594782}, timestamp = {Sun, 31 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/LeeWJKR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/SeokKJKK08, author = {Seung{-}Joon Seok and Hyeong{-}Jun Kim and Kwang{-}Min Jung and Kyung{-}Hoe Kim and Chul{-}Hee Kang}, editor = {Yan Ma and Deokjai Choi and Shingo Ata}, title = {Dynamic Multi-stream Transport Protocol}, booktitle = {Challenges for Next Generation Network Operations and Service Management, 11th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2008, Beijing, China, October 22-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5297}, pages = {287--296}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88623-5\_29}, doi = {10.1007/978-3-540-88623-5\_29}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/SeokKJKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/KimSL08, author = {Ki{-}Young Kim and Ho{-}Seok Song and Jung{-}Ju Lee}, editor = {Hamid R. Arabnia and Mary Qu Yang and Jack Y. Yang}, title = {Development of a Human arm-liked Robotic Surgical System with Force Measurement for Laparoscopic Surgery}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2008, July 14-17, 2008, Las Vegas Nevada, USA, 2 Volumes}, pages = {977--983}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Fri, 06 Feb 2009 11:52:50 +0100}, biburl = {https://dblp.org/rec/conf/biocomp/KimSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisse/JoKPYKH08, author = {Byung{-}Wan Jo and Heoun Kim and Jung{-}Hoon Park and Kwang{-}won Yoon and Seok{-}Won Kang and Seong{-}hee Han}, editor = {Tarek M. Sobh}, title = {Design of bridge health monitoring system on Wireless Sensor Network}, booktitle = {Innovations and Advances in Computer Sciences and Engineering, Volume {I} of the proceedings of the 2008 International Conference on Systems, Computing Sciences and Software Engineering (SCSS), part of the International Joint Conferences on Computer, Information, and Systems Sciences, and Engineering, {CISSE} 2008, Bridgeport, Connecticut, {USA}}, pages = {551--554}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-90-481-3658-2\_96}, doi = {10.1007/978-90-481-3658-2\_96}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisse/JoKPYKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisse/JoYKPK08, author = {Byung{-}Wan Jo and Kwang{-}won Yoon and Seok{-}Won Kang and Jung{-}Hoon Park and Heoun Kim}, editor = {Tarek M. Sobh}, title = {Laboratory performance test of overload vehicles regu-lation system on Ubiquitous road}, booktitle = {Innovations and Advances in Computer Sciences and Engineering, Volume {I} of the proceedings of the 2008 International Conference on Systems, Computing Sciences and Software Engineering (SCSS), part of the International Joint Conferences on Computer, Information, and Systems Sciences, and Engineering, {CISSE} 2008, Bridgeport, Connecticut, {USA}}, pages = {545--550}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-90-481-3658-2\_95}, doi = {10.1007/978-90-481-3658-2\_95}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisse/JoYKPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeJCHKKK08, author = {Joon Goo Lee and Dongha Jung and Jiho Chu and Seokjoong Hwang and Jong{-}Kook Kim and Janam Ku and Seon Wook Kim}, editor = {Limor Fix}, title = {Applying passive {RFID} system to wireless headphones for extreme low power consumption}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {486--491}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391594}, doi = {10.1145/1391469.1391594}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeJCHKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/JuKHKK08, author = {Hyung{-}jun Ju and Se{-}Young Kim and Jung{-}woo Han and Ki{-}Man Kim and Seok{-}yeb Kang}, editor = {Cheng{-}Zhong Xu and Minyi Guo}, title = {Speech Enhancement Using Spatial Processing and Modified Excitation Source for Underwater Speech Communication}, booktitle = {2008 {IEEE/IPIP} International Conference on Embedded and Ubiquitous Computing {(EUC} 2008), Shanghai, China, December 17-20, 2008, Volume {II:} Workshops}, pages = {647--650}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/EUC.2008.183}, doi = {10.1109/EUC.2008.183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/JuKHKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/LeeRKKH08, author = {Woo{-}Seok Lee and Yong{-}Wan Roh and Dong{-}Ju Kim and Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Caihua Xiong and Honghai Liu and Yongan Huang and Youlun Xiong}, title = {Speech Emotion Recognition Using Spectral Entropy}, booktitle = {Intelligent Robotics and Applications, First International Conference, {ICIRA} 2008, Wuhan, China, October 15-17, 2008 Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5315}, pages = {45--54}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88518-4\_6}, doi = {10.1007/978-3-540-88518-4\_6}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icira/LeeRKKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkLSK08, author = {Jung{-}Jun Park and Yong{-}Ju Lee and Jae{-}Bok Song and Hong{-}Seok Kim}, title = {Safe joint mechanism based on nonlinear stiffness for safe human-robot collision}, booktitle = {2008 {IEEE} International Conference on Robotics and Automation, {ICRA} 2008, May 19-23, 2008, Pasadena, California, {USA}}, pages = {2177--2182}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROBOT.2008.4543529}, doi = {10.1109/ROBOT.2008.4543529}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkLSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ParkKH08, author = {In{-}Kwon Park and Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Won Kim and Hyung{-}Jin Choi}, title = {An implementation of an FPGA-based embedded gesture recognizer using a data glove}, booktitle = {Proceedings of the 2nd International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2008, Suwon, Korea, January 31 - February 01, 2008}, pages = {496--500}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1352793.1352897}, doi = {10.1145/1352793.1352897}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/ParkKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkKC08, author = {Jung{-}Sool Park and Kyung{-}Tak Kim and Yun{-}Seok Choi}, title = {Application of Vegetation Condition Index and Standardized Vegetation Index for Assessment of Spring Drought in South Korea}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {774--777}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4779463}, doi = {10.1109/IGARSS.2008.4779463}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ParkKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkKL08, author = {Jung{-}Heum Park and Hee{-}Chul Kim and Hyeong{-}Seok Lim}, title = {On the construction of paired many-to-many disjoint path covers in hypercube-like interconnection networks with faulty elements}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--8}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536124}, doi = {10.1109/IPDPS.2008.4536124}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ParkKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKPLCLKLYSKCY08, author = {Dong{-}Uk Lee and Shin{-}Deok Kang and Nak{-}Kyu Park and Hyun{-}Woo Lee and Young{-}Kyoung Choi and Jung{-}Woo Lee and Seung{-}Wook Kwack and Hyeong{-}Ouk Lee and Won{-}Joo Yun and Sang{-}Hoon Shin and Kwan{-}Weon Kim and Young{-}Jung Choi and Ye Seok Yang}, title = {Multi-Slew-Rate Output Driver and Optimized Impedance-Calibration Circuit for 66nm 3.0Gb/s/pin {DRAM} Interface}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {280--281}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523166}, doi = {10.1109/ISSCC.2008.4523166}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKPLCLKLYSKCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08, author = {Won{-}Joo Yun and Hyun{-}Woo Lee and Dongsuk Shin and Shin{-}Deok Kang and Ji{-}Yeon Yang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyang{-}Hwa Choi and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Young{-}Kyoung Choi and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Ye Seok Yang}, title = {A 0.1-to-1.5GHz 4.2mW All-Digital {DLL} with Dual Duty-Cycle Correction Circuit and Update Gear Circuit for {DRAM} in 66nm {CMOS} Technology}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {282--283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523167}, doi = {10.1109/ISSCC.2008.4523167}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msv/JungLTAK08, author = {SeokHo Jung and Bokman Lee and Elmurod Talipov and MyunWhan Ahn and Chonggun Kim}, editor = {Hamid R. Arabnia and Leonidas Deligiannidis}, title = {Effects of Valid Source-Destination Edges for Node-Disjoint Multipaths on {AD} {HOC} Networks}, booktitle = {Proceedings of the 2008 International Conference on Modeling, Simulation {\&} Visualization Methods, {MSV} 2008, Las Vegas, Nevada, USA, July 14-17, 2008}, pages = {308--313}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Tue, 10 Feb 2009 13:09:19 +0100}, biburl = {https://dblp.org/rec/conf/msv/JungLTAK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/JungK08, author = {Sungmo Jung and Seoksoo Kim}, title = {The Study of Metal Resource Management Module and Process by Analyzing Information}, booktitle = {2008 International Conference on Multimedia and Ubiquitous Engineering {(MUE} 2008), 24-26 April 2008, Busan, Korea}, pages = {448--453}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MUE.2008.57}, doi = {10.1109/MUE.2008.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mue/JungK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ncm/KimOKJC08, author = {Hyun Seok Kim and Jung{-}Hyun Oh and Ju{-}Bae Kim and Yeon{-}Oh Jeong and Jin{-}Young Choi}, editor = {Jinhwa Kim and Dursun Delen and Jinsoo Park and Franz Ko and Yun Ji Na}, title = {Formal Verification of Cryptographic Protocol for Secure {RFID} System}, booktitle = {{NCM} 2008, The Fourth International Conference on Networked Computing and Advanced Information Management, Gyeongju, Korea, September 2-4, 2008 - Volume 2}, pages = {470--477}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/NCM.2008.21}, doi = {10.1109/NCM.2008.21}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ncm/KimOKJC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuKHSL07, author = {Su Jung Yu and Moon Gyung Kim and Seok Won Heo and Joo{-}Seok Song and Yong Surk Lee}, title = {An efficient scalable and hybrid arithmetic unit for public key cryptographic applications}, journal = {{IEICE} Electron. Express}, volume = {4}, number = {14}, pages = {461--466}, year = {2007}, url = {https://doi.org/10.1587/elex.4.461}, doi = {10.1587/ELEX.4.461}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuKHSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/YangHLLKY07, author = {WonSeob Yang and Kyung Soon Hwang and Keon{-}Myung Lee and Kyung Mi Lee and Wun{-}Jae Kim and Seok{-}Jung Yun}, title = {Requirement Analysis and Architecture Design for Ubiquitous Healthcare Service Systems}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {7}, number = {3}, pages = {209--215}, year = {2007}, url = {https://doi.org/10.5391/IJFIS.2007.7.3.209}, doi = {10.5391/IJFIS.2007.7.3.209}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/YangHLLKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitdm/JeongHK07, author = {Seokyun Jeong and Sun Hur and Jung{-}Yong Kim}, title = {Parameter Decision for Enhancing Performance of Wireless {LANS} with Prioritized Messages}, journal = {Int. J. Inf. Technol. Decis. Mak.}, volume = {6}, number = {2}, pages = {301--313}, year = {2007}, url = {https://doi.org/10.1142/S0219622007002514}, doi = {10.1142/S0219622007002514}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijitdm/JeongHK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ParkYJK07, author = {Dongkyu Park and Seoksoo Yoon and Inhwa Jung and Chulwoo Kim}, title = {Noise-Aware Split-Path Domino Logic and its Clock Delaying Scheme}, journal = {J. Circuits Syst. Comput.}, volume = {16}, number = {1}, pages = {139--154}, year = {2007}, url = {https://doi.org/10.1142/S0218126607003563}, doi = {10.1142/S0218126607003563}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ParkYJK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ParkHLKL07, author = {Jungsun Park and Sohyun Hwang and Yong Seok Lee and Sang{-}Cheol Kim and Doheon Lee}, title = {SNP@Ethnos: a database of ethnically variant single-nucleotide polymorphisms}, journal = {Nucleic Acids Res.}, volume = {35}, number = {Database-Issue}, pages = {711--715}, year = {2007}, url = {https://doi.org/10.1093/nar/gkl962}, doi = {10.1093/NAR/GKL962}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ParkHLKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ParkLK07, author = {Jung{-}Heum Park and Hyeong{-}Seok Lim and Hee{-}Chul Kim}, title = {Panconnectivity and pancyclicity of hypercube-like interconnection networks with faulty elements}, journal = {Theor. Comput. Sci.}, volume = {377}, number = {1-3}, pages = {170--180}, year = {2007}, url = {https://doi.org/10.1016/j.tcs.2007.02.029}, doi = {10.1016/J.TCS.2007.02.029}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/ParkLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/ChaeKJL07, author = {Heung Seok Chae and Tae Yeon Kim and Woo{-}Sung Jung and Joon{-}Sang Lee}, title = {Using Metrics for Estimating Maintainability of Web Applications: An Empirical Study}, booktitle = {6th Annual {IEEE/ACIS} International Conference on Computer and Information Science {(ICIS} 2007), 11-13 July 2007, Melbourne, Australia}, pages = {1053--1059}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICIS.2007.192}, doi = {10.1109/ICIS.2007.192}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/ChaeKJL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/OhKC07, author = {Jung{-}Hyun Oh and Hyun Seok Kim and Jin{-}Young Choi}, title = {A Secure Communication Protocol for Low-Cost {RFID} System}, booktitle = {Seventh International Conference on Computer and Information Technology {(CIT} 2007), October 16-19, 2007, University of Aizu, Fukushima, Japan}, pages = {949--954}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CIT.2007.8}, doi = {10.1109/CIT.2007.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/OhKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cira/JungLPK07, author = {Sang{-}Guk Jung and Shim{-}Seok Lee and Yong{-}Bum Park and Jang{-}Kyung Kim}, title = {Development of {URC} Testing {\&} Certification System}, booktitle = {Proceedings of the 7th {IEEE} International Symposium on Computational Intelligence in Robotics and Automation, {CIRA} 2007, 20-23 June 2007, Jacksonville, Florida, {USA}}, pages = {333--337}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CIRA.2007.382897}, doi = {10.1109/CIRA.2007.382897}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/cira/JungLPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JungK07, author = {Hyun Seok Jung and Tae Hoon Kim}, editor = {Michael J. Smith and Gavriel Salvendy}, title = {A Methodology for Construction Information System for Small Size Organization with Excel/VBA}, booktitle = {Human Interface and the Management of Information. Methods, Techniques and Tools in Information Design, Symposium on Human Interface 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4557}, pages = {642--649}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73345-4\_73}, doi = {10.1007/978-3-540-73345-4\_73}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/JungK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JunJKL07, author = {Eun{-}A Jun and Seok Won Jung and Jeom Goo Kim and Jong In Lim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Efficient an Implementation Method Research of a Smart Card Atomic Operation}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {113--121}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_11}, doi = {10.1007/978-3-540-74477-1\_11}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/JunJKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimSJHJW07, author = {Eun{-}ki Kim and Hyungjong Shin and Byung{-}Gil Jeon and Seokhee Han and Jaemin Jung and Youjip Won}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {{FRASH:} Hierarchical File System for {FRAM} and Flash}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4705}, pages = {238--251}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74472-6\_19}, doi = {10.1007/978-3-540-74472-6\_19}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimSJHJW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeKHLPYO07, author = {Geuk Lee and Seok Tae Kim and Inkyu Han and Chang Yong Lee and Seon Ho Park and Do Won Yi and Jung Min Oh}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Security and Test Environment for {SIP}}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {157--165}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_16}, doi = {10.1007/978-3-540-74477-1\_16}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeKHLPYO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icess/KimH07, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Yann{-}Hang Lee and Heung{-}Nam Kim and Jong Kim and Yongwan Park and Laurence Tianruo Yang and Sung Won Kim}, title = {An Improved Fusion Design of Audio-Gesture for Multi-modal {HCI} Based on Web and {WPS}}, booktitle = {Embedded Software and Systems, [Third] International Conference, {ICESS} 2007, Daegu, Korea, May 14-16, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4523}, pages = {309--316}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72685-2\_29}, doi = {10.1007/978-3-540-72685-2\_29}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icess/KimH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/KimH07, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Takeshi Okadome and Tatsuya Yamazaki and Mounir Makhtari}, title = {Fusion and Fission: Improved {MMIA} for Multi-modal {HCI} Based on {WPS} and Voice-XML}, booktitle = {Pervasive Computing for Quality of Life Enhancement, 5th International Conference On Smart Homes and Health Telematics, {ICOST} 2007, Nara, Japan, June 21-23, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4541}, pages = {141--152}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73035-4\_15}, doi = {10.1007/978-3-540-73035-4\_15}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icost/KimH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkKSK07, author = {Jung{-}Jun Park and Byeong{-}Sang Kim and Jae{-}Bok Song and Hong{-}Seok Kim}, title = {Safe Link Mechanism based on Passive Compliance for Safe Human-Robot Collision}, booktitle = {2007 {IEEE} International Conference on Robotics and Automation, {ICRA} 2007, 10-14 April 2007, Roma, Italy}, pages = {1152--1157}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBOT.2007.363140}, doi = {10.1109/ROBOT.2007.363140}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkKSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip6-8/OhKC07, author = {Jung{-}Hyun Oh and Hyun Seok Kim and Jin{-}Young Choi}, editor = {Robert Bestak and Boris Sim{\'{a}}k and Ewa Kozlowska}, title = {A Light-weight Security Protocol for {RFID} System}, booktitle = {Personal Wireless Communications, The 12th {IFIP} International Conference on Personal Wireless Communications {(PWC} 2007), Prague, Czech Republic, September 2007}, series = {{IFIP}}, volume = {245}, pages = {384--395}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-74159-8\_38}, doi = {10.1007/978-0-387-74159-8\_38}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip6-8/OhKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSLP07, author = {Jung{-}Wook Kim and Jinook Song and Seokho Lee and In{-}Cheol Park}, title = {Tiled Interleaving for Multi-Level 2-D Discrete Wavelet Transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {3984--3987}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378673}, doi = {10.1109/ISCAS.2007.378673}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimSLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwrt/KimOC07, author = {Hyun Seok Kim and Jung{-}Hyun Oh and Jin{-}Young Choi}, editor = {Quan Z. Sheng and Zakaria Maamar and Sherali Zeadally and Mark Cameron}, title = {{RFID} Privacy Protection Scheme for Secure Ubiquitous Computing}, booktitle = {{RFID} Technology - Concepts, Applications, Challenges, Proceedings of the 1st International Workshop on {RFID} Technology - Concepts, Applications, Challenges, {IWRT} 2007, In conjunction with {ICEIS} 2007, Funchal, Madeira, Portugal, June 2007}, pages = {117--124}, publisher = {{INSTICC} {PRESS}}, year = {2007}, timestamp = {Thu, 08 Jan 2009 14:58:38 +0100}, biburl = {https://dblp.org/rec/conf/iwrt/KimOC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimH07, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Bruno Apolloni and Robert J. Howlett and Lakhmi C. Jain}, title = {SNNR-Based Improved Multi-modal Fusion and Fission Using Fuzzy Value Based on {WPS} and Web}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, {KES} 2007, {XVII} Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4692}, pages = {696--703}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74819-9\_86}, doi = {10.1007/978-3-540-74819-9\_86}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimYH07, author = {Jung{-}Hyun Kim and Jeh{-}Seon Youn and Kwang{-}Seok Hong}, editor = {Bruno Apolloni and Robert J. Howlett and Lakhmi C. Jain}, title = {Toward a Novel Multi-modal {HCI:} Fusion Architecture Using Confidence Score and Fuzzy Value}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, {KES} 2007, {XVII} Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4692}, pages = {246--253}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74819-9\_31}, doi = {10.1007/978-3-540-74819-9\_31}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimYH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/KimH07, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Tomoya Enokido and Leonard Barolli and Makoto Takizawa}, title = {{WPS} and Voice-XML-Based Multi-Modal Fusion Agent Using {SNNR} and Fuzzy Value}, booktitle = {Network-Based Information Systems, First International Conference, NBiS 2007, Regensburg, Germany, September 3-7, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4658}, pages = {523--532}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74573-0\_54}, doi = {10.1007/978-3-540-74573-0\_54}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nbis/KimH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/KimLJL07, author = {Seokhwan Kim and Cheongjae Lee and Sangkeun Jung and Gary Geunbae Lee}, title = {A Spoken Dialogue System for Electronic Program Guide Information Access}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {178--181}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415076}, doi = {10.1109/ROMAN.2007.4415076}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/KimLJL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/KimCJP07, author = {Seong{-}Hoo Kim and Bong Joon Choi and Mi{-}Sook Jung and Kyoo Seok Park}, title = {A Real Time Network Game System Based on Retransmission of N-based Game Command History for Revising Packet Errors}, booktitle = {5th {ACIS} International Conference on Software Engineering Research, Management {\&} Applications {(SERA} 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea}, pages = {917--923}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SERA.2007.27}, doi = {10.1109/SERA.2007.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/KimCJP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/KimLH07, author = {Jung{-}Hyun Kim and Kue{-}Bum Lee and Kwang{-}Seok Hong}, title = {A Pattern Recognition-Based Multi-Modal {HCI} Using 3 Sensory Channels}, booktitle = {5th {ACIS} International Conference on Software Engineering Research, Management {\&} Applications {(SERA} 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea}, pages = {743--750}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SERA.2007.23}, doi = {10.1109/SERA.2007.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/KimLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/LeeKH07b, author = {Kue{-}Bum Lee and Jung{-}Hyun Kim and Kwang{-}Seok Hong}, title = {An Implementation of Multi-Modal Game Interface Based on PDAs}, booktitle = {5th {ACIS} International Conference on Software Engineering Research, Management {\&} Applications {(SERA} 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea}, pages = {759--768}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SERA.2007.48}, doi = {10.1109/SERA.2007.48}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/LeeKH07b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sofsem/KimYLLS07, author = {Sang{-}Wook Kim and Seok{-}Ho Yoon and Sang{-}Cheol Lee and Junghoon Lee and Miyoung Shin}, editor = {Jan van Leeuwen and Giuseppe F. Italiano and Wiebe van der Hoek and Christoph Meinel and Harald Sack and Frantisek Pl{\'{a}}sil}, title = {Generating High Dimensional Data and Query Sets}, booktitle = {{SOFSEM} 2007: Theory and Practice of Computer Science, 33rd Conference on Current Trends in Theory and Practice of Computer Science, Harrachov, Czech Republic, January 20-26, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4362}, pages = {357--366}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-69507-3\_30}, doi = {10.1007/978-3-540-69507-3\_30}, timestamp = {Fri, 21 Oct 2022 16:47:28 +0200}, biburl = {https://dblp.org/rec/conf/sofsem/KimYLLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KimH07, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Jadwiga Indulska and Jianhua Ma and Laurence Tianruo Yang and Theo Ungerer and Jiannong Cao}, title = {An Improved Fusion and Fission Architecture Between Multi-modalities Based on Wearable Computing}, booktitle = {Ubiquitous Intelligence and Computing, 4th International Conference, {UIC} 2007, Hong Kong, China, July 11-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4611}, pages = {113--122}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73549-6\_12}, doi = {10.1007/978-3-540-73549-6\_12}, timestamp = {Wed, 31 Jan 2024 08:24:33 +0100}, biburl = {https://dblp.org/rec/conf/uic/KimH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KimSJ07, author = {Seokhun Kim and Soonyong Song and Hyunsub Jung}, title = {WiBro-Based Mobile {RFID} Service Development}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {2878--2882}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/WCNC.2007.533}, doi = {10.1109/WCNC.2007.533}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KimSJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wilf/KimH07, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Francesco Masulli and Sushmita Mitra and Gabriella Pasi}, title = {An Improved Weight Decision Rule Using {SNNR} and Fuzzy Value for Multi-modal {HCI}}, booktitle = {Applications of Fuzzy Sets Theory, 7th International Workshop on Fuzzy Logic and Applications, {WILF} 2007, Camogli, Italy, July 7-10, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4578}, pages = {127--135}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73400-0\_16}, doi = {10.1007/978-3-540-73400-0\_16}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wilf/KimH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimJSH06, author = {Su{-}Yeon Kim and Taesoo Jung and Eui{-}Ho Suh and Hyun{-}Seok Hwang}, title = {Customer segmentation and strategy development based on customer lifetime value: {A} case study}, journal = {Expert Syst. Appl.}, volume = {31}, number = {1}, pages = {101--107}, year = {2006}, url = {https://doi.org/10.1016/j.eswa.2005.09.004}, doi = {10.1016/J.ESWA.2005.09.004}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimJSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiOLLMSKR06, author = {Seok Gyu Choi and Jung Hun Oh and Bok{-}Hyung Lee and Byeong Ok Lim and Sung Woon Moon and Dong{-}Hoon Shin and Sam{-}Dong Kim and Jin Koo Rhee}, title = {Comparative Study on Breakdown Characteristics for InGaAs Metamorphic High Electron Mobility Transistor and InGaAs/InP-Composite Channel Metamorphic High Electron Mobility Transistor}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {5}, pages = {616--621}, year = {2006}, url = {https://doi.org/10.1093/ietele/e89-c.5.616}, doi = {10.1093/IETELE/E89-C.5.616}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiOLLMSKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ParkKL06, author = {Jung{-}Heum Park and Hee{-}Chul Kim and Hyeong{-}Seok Lim}, title = {Many-to-Many Disjoint Path Covers in Hypercube-Like Interconnection Networks with Faulty Elements}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {17}, number = {3}, pages = {227--240}, year = {2006}, url = {https://doi.org/10.1109/TPDS.2006.37}, doi = {10.1109/TPDS.2006.37}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/ParkKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/KimOCK06, author = {Hyun Seok Kim and Jung{-}Hyun Oh and Jin{-}Young Choi and Jin{-}Woo Kim}, title = {The Vulnerabilities Analysis and Design of the Security Protocol for {RFID} System}, booktitle = {Sixth International Conference on Computer and Information Technology {(CIT} 2006), 20-22 September 2006, Seoul, Korea}, pages = {152}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CIT.2006.180}, doi = {10.1109/CIT.2006.180}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/KimOCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, title = {An Extended {CSCW} Architecture Using Wearable Personal Station-Based Korean Sign Language Recognizer}, booktitle = {Proceedings of the 10th International Conference on {CSCW} in Design, {CSCWD} 2006, May 3-5, 2006, Southeast University, Nanjing, China}, pages = {505--510}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CSCWD.2006.253023}, doi = {10.1109/CSCWD.2006.253023}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/KimH06a, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Weiming Shen and Junzhou Luo and Zongkai Lin and Jean{-}Paul A. Barth{\`{e}}s and Qi Hao}, title = {Approach to Extended {CSCW} Design Based on Embedded Korean Sign Language Recognizer}, booktitle = {Computer Supported Cooperative Work in Design III, 10th International Conference, {CSCWD} 2006, Nanjing, China, May 3-5, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4402}, pages = {287--296}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-72863-4\_30}, doi = {10.1007/978-3-540-72863-4\_30}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/KimH06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dmin/SungKJO06, author = {Kyung{-}Sang Sung and Jung{-}Jae Kim and Moon{-}Seog Jun and Hae{-}Seok Oh}, editor = {Sven F. Crone and Stefan Lessmann and Robert Stahlbock}, title = {Digital Video WaterMarking System Using Audio Information of Image Discrete Frequency on Improved Security Through Pre-Preocessing}, booktitle = {Proceedings of the 2006 International Conference on Data Mining, {DMIN} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {303--308}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Mon, 07 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dmin/SungKJO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {{MMSDS:} Ubiquitous Computing and WWW-Based Multi-modal Sentential Dialog System}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {539--548}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_55}, doi = {10.1007/11802167\_55}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, title = {Multi-Modal Recognition System Integrating Fuzzy Logic-based Embedded {KSSL} Recognizer and Voice-XML}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2006, Vancouver, BC, Canada, July 16-21, 2006}, pages = {956--961}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/FUZZY.2006.1681826}, doi = {10.1109/FUZZY.2006.1681826}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/KimRH06, author = {Jung{-}Hyun Kim and Yong{-}Wan Roh and Kwang{-}Seok Hong}, editor = {Vassil N. Alexandrov and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {An Implementation of Real Time-Sentential {KSSL} Recognition System Based on the Post Wearable {PC}}, booktitle = {Computational Science - {ICCS} 2006, 6th International Conference, Reading, UK, May 28-31, 2006, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3994}, pages = {886--893}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11758549\_118}, doi = {10.1007/11758549\_118}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/KimRH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChoHPKJOC06, author = {Minju Cho and Jun Hwang and Gyung{-}Leen Park and Junguk Kim and Taeuk Jang and Juhyun Oh and Young Seok Chae}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {A Study on the Transportation Period of the {EPG} Data Specification in Terrestrial {DMB}}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3981}, pages = {760--768}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751588\_79}, doi = {10.1007/11751588\_79}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChoHPKJOC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JungLHK06, author = {Kyung{-}Hoon Jung and Seok{-}Cheol Lee and Hyun{-}Suk Hwang and Chang Soo Kim}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {The Design and Implementation of Real-Time Environment Monitoring Systems Based on Wireless Sensor Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3982}, pages = {115--124}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751595\_13}, doi = {10.1007/11751595\_13}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JungLHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/LeeYLKY06, author = {Keon{-}Myung Lee and WonSeob Yang and Kyung Mi Lee and Wun{-}Jae Kim and Seok Jung Yoon}, editor = {De{-}Shuang Huang and Kang Li and George W. Irwin}, title = {A Ubiquitous Healthcare Service System for Benign Prostatic Hyperplasia Patients}, booktitle = {Computational Intelligence, International Conference on Intelligent Computing, {ICIC} 2006, Kunming, China, August 16-19, 2006. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4114}, pages = {1191--1197}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11816171\_147}, doi = {10.1007/11816171\_147}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/LeeYLKY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Emilio Corchado and Hujun Yin and Vicente J. Botti and Colin Fyfe}, title = {Speech and Gesture Recognition-Based Robust Language Processing Interface in Noise Environment}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2006, 7th International Conference, Burgos, Spain, September 20-23, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4224}, pages = {338--345}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11875581\_41}, doi = {10.1007/11875581\_41}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ideal/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {An Implementation of the Korean Sign Language Recognizer Using Neural Network Based on the Post {PC}}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3972}, pages = {222--231}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760023\_33}, doi = {10.1007/11760023\_33}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/JungKSIKH06, author = {HaRim Jung and Sang{-}Won Kang and MoonBae Song and SeokJin Im and Jongwan Kim and Chong{-}Sun Hwang}, editor = {Geyong Min and Beniamino Di Martino and Laurence Tianruo Yang and Minyi Guo and Gudula R{\"{u}}nger}, title = {Towards Real-Time Processing of Monitoring Continuous k-Nearest Neighbor Queries}, booktitle = {Frontiers of High Performance Computing and Networking - {ISPA} 2006 Workshops, {ISPA} 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4331}, pages = {11--20}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11942634\_2}, doi = {10.1007/11942634\_2}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ispa/JungKSIKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ParkLK06, author = {Jung{-}Heum Park and Hyeong{-}Seok Lim and Hee{-}Chul Kim}, editor = {Geyong Min and Beniamino Di Martino and Laurence Tianruo Yang and Minyi Guo and Gudula R{\"{u}}nger}, title = {Panconnectivity and Pancyclicity of Hypercube-Like Interconnection Networks with Faulty Elements}, booktitle = {Frontiers of High Performance Computing and Networking - {ISPA} 2006 Workshops, {ISPA} 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4331}, pages = {291--300}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11942634\_31}, doi = {10.1007/11942634\_31}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/ParkLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ParkLK06a, author = {Jung{-}Heum Park and Hyeong{-}Seok Lim and Hee{-}Chul Kim}, editor = {Geyong Min and Beniamino Di Martino and Laurence Tianruo Yang and Minyi Guo and Gudula R{\"{u}}nger}, title = {Embedding Starlike Trees into Hypercube-Like Interconnection Networks}, booktitle = {Frontiers of High Performance Computing and Networking - {ISPA} 2006 Workshops, {ISPA} 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4331}, pages = {301--310}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11942634\_32}, doi = {10.1007/11942634\_32}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/ParkLK06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangBMLYLJLHLK06, author = {Myung{-}woon Hwang and Sungho Beck and Sunki Min and Sanghoon Lee and Seungyup Yoo and Kyoohyun Lim and Hyosun Jung and Jeong{-}Cheol Lee and Seokyong Hong and ChangHee Lee and Kyunglok Kim and Hyunji Song and Gyu{-}Hyeong Cho and Sangwoo Han}, title = {A 1.8dB {NF} 112mW Single-Chip Diversity Tuner for 2.6GHz {S-DMB} Applications}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {2544--2551}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696319}, doi = {10.1109/ISSCC.2006.1696319}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HwangBMLYLJLHLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCKHKKALHIOY06, author = {Young Chan Kim and Yi Tae Kim and Sung Ho Choi and Hae Kyung Kong and Sung In Hwang and Juhyun Ko and Bumsuk Kim and Tetsuo Asaba and Su Hun Lim and June Soo Hahn and Joon Hyuk Im and Tae Seok Oh and Duk Min Yi and Jong Moon Lee and Woon Phil Yang and Jung Chak Ahn and Eun Seung Jung and Yong Hee Lee}, title = {1/2-inch 7.2MPixel {CMOS} Image Sensor with 2.25{\(\mathrm{\mu}\)}m Pixels Using 4-Shared Pixel Structure for Pixel-Level Summation}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1994--2003}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696258}, doi = {10.1109/ISSCC.2006.1696258}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCKHKKALHIOY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {An Implementation of {KSSL} Recognizer for {HCI} Based on Post Wearable {PC} and Wireless Networks}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4251}, pages = {788--797}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892960\_95}, doi = {10.1007/11892960\_95}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimH06a, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {Intelligent Multi-Modal Recognition Interface Using Voice-XML and Embedded {KSSL} Recognizer}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4251}, pages = {798--807}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892960\_96}, doi = {10.1007/11892960\_96}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimH06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/KimH06, author = {Jung{-}hyun Kim and Kwang{-}seok Hong}, title = {Improved Multi-Modal Recognition Interface for Intelligent {HCI} Based on Speech and the {KSSL} Recognition}, booktitle = {Odyssey 2006, The Speaker and Language Recognition Workshop, San Juan, Puerto Rico, 28-30 June 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ODYSSEY.2006.248108}, doi = {10.1109/ODYSSEY.2006.248108}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/KimH06, author = {Jung{-}Hyun Kim and Kwang{-}Seok Hong}, title = {An implementation of sentential {KSSL} recognition and representation system for interpersonal communication based on the post wearable {PC}}, booktitle = {The Fourth {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems and the Second International Workshop on Collaborative Computing, Integration, and Assurance, {SEUS} 2006 / {WCCIA} 2006, Gyeongju, South Korea, April 27-28, 2006}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/SEUS-WCCIA.2006.12}, doi = {10.1109/SEUS-WCCIA.2006.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seus/KimH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/winsys/BaeCSK06, author = {Jung{-}Hyun Bae and Soon{-}il Cha and Seok{-}Kyo Shin and Jae{-}Woong Kim}, editor = {Luminita Vasiu and Rafael F. S. Caldeirinha}, title = {Evaluation Issues for Ubiquitous Computing}, booktitle = {{WINSYS} 2006 - Proceedings of the International Conference on Wireless Information Networks and Systems, Set{\'{u}}bal, Portugal, August 7-10, 2006, {WINSYS} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {317--320}, publisher = {{INSTICC} Press}, year = {2006}, timestamp = {Tue, 08 Sep 2009 09:30:56 +0200}, biburl = {https://dblp.org/rec/conf/winsys/BaeCSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/LeeMKCKK06, author = {Mun{-}Kyu Lee and Jung Ki Min and Seok Hun Kang and Sang{-}Hwa Chung and Howon Kim and Dong Kyue Kim}, editor = {Jae{-}Kwang Lee and Okyeon Yi and Moti Yung}, title = {Efficient Implementation of Pseudorandom Functions for Electronic Seal Protection Protocols}, booktitle = {Information Security Applications, 7th International Workshop, {WISA} 2006, Jeju Island, Korea, August 28-30, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4298}, pages = {173--186}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-71093-6\_14}, doi = {10.1007/978-3-540-71093-6\_14}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisa/LeeMKCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/ChangBC0CCOK05, author = {Wook Chang and Won{-}Chul Bang and Eun{-}Seok Choi and Jing Yang and Sung{-}Jung Cho and Joonkee Cho and Jong Koo Oh and Dong{-}Yoon Kim}, title = {Gesture based Input Device: An All Inertial Approach}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {5}, number = {3}, pages = {230--245}, year = {2005}, url = {https://doi.org/10.5391/IJFIS.2005.5.3.230}, doi = {10.5391/IJFIS.2005.5.3.230}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/ChangBC0CCOK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/KimJH05, author = {Hyunseok Kim and Yuchul Jung and Yong K. Hwang}, title = {Taxonomy of Atomic Actions for Home-Service Robots}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {9}, number = {2}, pages = {114--120}, year = {2005}, url = {https://doi.org/10.20965/jaciii.2005.p0114}, doi = {10.20965/JACIII.2005.P0114}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaciii/KimJH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChungPHLOKKK05, author = {Hee{-}Joon Chung and Chan Hee Park and Mi Ryung Han and Seokho Lee and Jung Hun Ohn and Jihoon Kim and Jihun Kim and Ju Han Kim}, title = {ArrayXPath {II:} mapping and visualizing microarray gene-expression data with biomedical ontologies and integrated biological pathway resources using Scalable Vector Graphics}, journal = {Nucleic Acids Res.}, volume = {33}, number = {Web-Server-Issue}, pages = {621--626}, year = {2005}, url = {https://doi.org/10.1093/nar/gki450}, doi = {10.1093/NAR/GKI450}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChungPHLOKKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/KimJJLK05, author = {Yongjin Kim and Jaehoon Jung and Seokhee Jeon and Sangyoon Lee and Gerard Jounghyun Kim}, editor = {Newton Lee}, title = {Telepresence meets racing games}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2005, Valencia, Spain, June 15-15, 2005}, pages = {381--382}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1178477.1178559}, doi = {10.1145/1178477.1178559}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/KimJJLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/KimJJLK05a, author = {Yongjin Kim and Jaehoon Jung and Seokhee Jeon and Sangyoon Lee and Gerard Jounghyun Kim}, editor = {Newton Lee}, title = {Telepresence racing game}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2005, Valencia, Spain, June 15-15, 2005}, pages = {394}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1178477.1178567}, doi = {10.1145/1178477.1178567}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/KimJJLK05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/KimHL05, author = {Min{-}Seok Kim and Jin{-}Seok Heo and Jung{-}Ju Lee}, editor = {Lipo Wang and Yaochu Jin}, title = {Visual Tracking Algorithm for Laparoscopic Robot Surgery}, booktitle = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3614}, pages = {344--351}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11540007\_43}, doi = {10.1007/11540007\_43}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/fskd/KimHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/KimKSLH05, author = {Jung{-}Hyun Kim and Dong{-}Gyu Kim and Jeong{-}Hoon Shin and Sang{-}Won Lee and Kwang{-}Seok Hong}, editor = {Lipo Wang and Yaochu Jin}, title = {Hand Gesture Recognition System Using Fuzzy Algorithm and {RDBMS} for Post {PC}}, booktitle = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3614}, pages = {170--175}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11540007\_21}, doi = {10.1007/11540007\_21}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fskd/KimKSLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SungKLKK05, author = {Jung{-}Sik Sung and Seok{-}Min Kang and Youngseok Lee and Taeck{-}Geun Kwon and Bong{-}Tae Kim}, title = {A multi-gigabit rate deep packet inspection algorithm using {TCAM}}, booktitle = {Proceedings of the Global Telecommunications Conference, 2005. {GLOBECOM} '05, St. Louis, Missouri, USA, 28 November - 2 December 2005}, pages = {5}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/GLOCOM.2005.1577667}, doi = {10.1109/GLOCOM.2005.1577667}, timestamp = {Sun, 19 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/SungKLKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/human/KimCKS05, author = {Hak Soo Kim and Hyun Seok Cha and Jungsun Kim and Jin Hyun Son}, editor = {Shinji Shimojo and Shingo Ichii and Tok Wang Ling and Kwan Ho Song}, title = {Development of the Efficient {OWL} Document Management System for the Embedded Applications}, booktitle = {Web and Communication Technologies and Internet-Related Social Issues - {HSI} 2005, 3rd International Conference on Human.Society@Internet, Tokyo, Japan, July 27-29, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3597}, pages = {75--84}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11527725\_9}, doi = {10.1007/11527725\_9}, timestamp = {Sat, 19 Oct 2019 20:10:03 +0200}, biburl = {https://dblp.org/rec/conf/human/KimCKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icai/KimPC05, author = {Ho Joon Kim and Hyun{-}Jung Park and Yun{-}Seok Cho}, editor = {Hamid R. Arabnia and Rose Joshua}, title = {A Feature Saliency Measure in {WFMM} Neural Network-Based Pattern Classification}, booktitle = {Proceedings of the 2005 International Conference on Artificial Intelligence, {ICAI} 2005, Las Vegas, Nevada, USA, June 27-30, 2005, Volume 1}, pages = {202--207}, publisher = {{CSREA} Press}, year = {2005}, timestamp = {Tue, 24 Oct 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icai/KimPC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChoNKW05, author = {Seokhyang Cho and Junghyun Nam and Seungjoo Kim and Dongho Won}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {An Efficient Dynamic Group Key Agreement for Low-Power Mobile Devices}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3480}, pages = {498--507}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424758\_52}, doi = {10.1007/11424758\_52}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChoNKW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/YangHHK05, author = {Hae Sool Yang and Jung{-}Hun Hong and Seok{-}Hyung Hwang and Haeng{-}Kon Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Implementation of Short Message Service System to Be Based Mobile Wireless Internet}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3481}, pages = {1--9}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424826\_1}, doi = {10.1007/11424826\_1}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/YangHHK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/YooJKYKK05, author = {Sun Kook Yoo and Seok Myung Jung and B. S. Kim and H. Y. Yun and Sung Rim Kim and Dong Keun Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Prototype Design of Mobile Emergency Telemedicine System}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3481}, pages = {1028--1034}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424826\_110}, doi = {10.1007/11424826\_110}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/YooJKYKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciar/KangMJK05, author = {Kyung{-}Won Kang and Kwang{-}Seok Moon and Gwang{-}Seok Jung and Jong{-}Nam Kim}, editor = {Mohamed S. Kamel and Aur{\'{e}}lio C. Campilho}, title = {An Efficient Video Watermarking Scheme Using Adaptive Threshold and Minimum Modification on Motion Vectors}, booktitle = {Image Analysis and Recognition, Second International Conference, {ICIAR} 2005, Toronto, Canada, September 28-30, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3656}, pages = {294--301}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11559573\_37}, doi = {10.1007/11559573\_37}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/iciar/KangMJK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkKL05, author = {Jung{-}Heum Park and Hee{-}Chul Kim and Hyeong{-}Seok Lim}, title = {Fault-Hamiltonicity of Hypercube-Like Interconnection Networks}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.223}, doi = {10.1109/IPDPS.2005.223}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ParkKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/KimKK05, author = {Jung{-}Bae Kim and Seok{-}Cheol Kee and Ji{-}Yeon Kim}, title = {Fast Detection of Multi-View Face and Eye Based on Cascaded Classifier}, booktitle = {Proceedings of the {IAPR} Conference on Machine Vision Applications {(IAPR} {MVA} 2005), May 16-18, 2005, Tsukuba Science City, Japan}, pages = {116--119}, year = {2005}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/2005/papers/2005116.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/KimKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wilf/KimRSH05, author = {Jung{-}Hyun Kim and Yong{-}Wan Roh and Jeong{-}Hoon Shin and Kwang{-}Seok Hong}, editor = {Isabelle Bloch and Alfredo Petrosino and Andrea Tettamanzi}, title = {Performance Evaluation of a Hand Gesture Recognition System Using Fuzzy Algorithm and Neural Network for Post {PC} Platform}, booktitle = {Fuzzy Logic and Applications, 6th International Workshop, {WILF} 2005, Crema, Italy, September 15-17, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3849}, pages = {129--138}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11676935\_16}, doi = {10.1007/11676935\_16}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wilf/KimRSH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wilf/RohKKH05, author = {Yong{-}Wan Roh and Jung{-}Hyun Kim and Dong{-}Joo Kim and Kwang{-}Seok Hong}, editor = {Isabelle Bloch and Alfredo Petrosino and Andrea Tettamanzi}, title = {A Hybrid Warping Method Approach to Speaker Warping Adaptation}, booktitle = {Fuzzy Logic and Applications, 6th International Workshop, {WILF} 2005, Crema, Italy, September 15-17, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3849}, pages = {146--155}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11676935\_18}, doi = {10.1007/11676935\_18}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wilf/RohKKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wilf/ShinKH05, author = {Jeong{-}Hoon Shin and Jung{-}Hyun Kim and Kwang{-}Seok Hong}, editor = {Isabelle Bloch and Alfredo Petrosino and Andrea Tettamanzi}, title = {Implementation and Performance Evaluation of Glove-Based {HCI} Methods: Gesture Recognition Systems Using Fuzzy Algorithm and Neural Network for the Wearable {PC}}, booktitle = {Fuzzy Logic and Applications, 6th International Workshop, {WILF} 2005, Crema, Italy, September 15-17, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3849}, pages = {139--145}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11676935\_17}, doi = {10.1007/11676935\_17}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wilf/ShinKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LeeHK04, author = {Sung Geun Lee and Junguk Hur and Yang Seok Kim}, title = {A graph-theoretic modeling on {GO} space for biological interpretation of gene clusters}, journal = {Bioinform.}, volume = {20}, number = {3}, pages = {381--388}, year = {2004}, url = {https://doi.org/10.1093/bioinformatics/btg420}, doi = {10.1093/BIOINFORMATICS/BTG420}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LeeHK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimYLS04, author = {Moon Gyung Kim and Su Jung Yu and Yong Surk Lee and Joo{-}Seok Song}, title = {A Fast Hybrid Arithmetic Unit for Elliptic Curve Cryptosystem in Galois Fields with Prime and Composite Exponents}, journal = {{IEICE} Electron. Express}, volume = {1}, number = {1}, pages = {13--18}, year = {2004}, url = {https://doi.org/10.1587/elex.1.13}, doi = {10.1587/ELEX.1.13}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimYLS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/JangKJHW04, author = {Euee S. Jang and James D. K. Kim and Seok Yoon Jung and Mahnjin Han and Sang Oak Woo}, title = {Interpolator data compression for {MPEG-4} animation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {14}, number = {7}, pages = {989--1008}, year = {2004}, url = {https://doi.org/10.1109/TCSVT.2004.830670}, doi = {10.1109/TCSVT.2004.830670}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/JangKJHW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/KimOLC04, author = {Yuseop Kim and Jung{-}Seok Oh and Jae Young Lee and Jeong Ho Chang}, editor = {Geoffrey I. Webb and Xinghuo Yu}, title = {An Intelligent Grading System for Descriptive Examination Papers Based on Probabilistic Latent Semantic Analysis}, booktitle = {{AI} 2004: Advances in Artificial Intelligence, 17th Australian Joint Conference on Artificial Intelligence, Cairns, Australia, December 4-6, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3339}, pages = {1141--1146}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30549-1\_114}, doi = {10.1007/978-3-540-30549-1\_114}, timestamp = {Wed, 20 Nov 2019 16:52:44 +0100}, biburl = {https://dblp.org/rec/conf/ausai/KimOLC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/KimYHKL04, author = {Youn{-}Seok Kim and Jung{-}Lok Yu and Jae{-}Gyoon Hahm and Jinsoo Kim and Joonwon Lee}, title = {Design and implementation of an OGSI-compliant Grid broker service}, booktitle = {4th {IEEE/ACM} International Symposium on Cluster Computing and the Grid (CCGrid 2004), April 19-22, 2004, Chicago, Illinois, {USA}}, pages = {754--761}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/CCGrid.2004.1336709}, doi = {10.1109/CCGRID.2004.1336709}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/KimYHKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoon/ParkLPK04, author = {Kyoung{-}Wook Park and Hyeong{-}Seok Lim and Jung{-}Heum Park and Hee{-}Chul Kim}, editor = {Kyung{-}Yong Chwa and J. Ian Munro}, title = {Fault Hamiltonicity of Meshes with Two Wraparound Edges}, booktitle = {Computing and Combinatorics, 10th Annual International Conference, {COCOON} 2004, Jeju Island, Korea, August 17-20, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3106}, pages = {412--421}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27798-9\_44}, doi = {10.1007/978-3-540-27798-9\_44}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cocoon/ParkLPK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgr/KimSK04, author = {Jung{-}Bae Kim and Young Hoon Sung and Seok{-}Cheol Kee}, title = {A Fast and Robust Face Detection based on Module Switching Network}, booktitle = {Sixth {IEEE} International Conference on Automatic Face and Gesture Recognition {(FGR} 2004), May 17-19, 2004, Seoul, Korea}, pages = {409--414}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/AFGR.2004.1301567}, doi = {10.1109/AFGR.2004.1301567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgr/KimSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeKYPAA04, author = {Bong{-}Soo Lee and Hvo{-}Won Kim and Tae{-}Uk Yang and Yong{-}Seok Park and Byeong{-}Ho Ahn and Jung{-}Chul Ahn}, title = {A new efficient scheduling algorithm in Bluetooth piconet}, booktitle = {Proceedings of the Global Telecommunications Conference, 2004. {GLOBECOM} '04, Dallas, Texas, USA, 29 November - 3 December 2004}, pages = {3609--3613}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/GLOCOM.2004.1379039}, doi = {10.1109/GLOCOM.2004.1379039}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LeeKYPAA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/KimOH04, author = {Seok{-}Hoon Kim and Sang{-}Hoon Oh and Jung{-}Hoon Hahm}, editor = {Pedro T. Isa{\'{\i}}as and Nitya Karmakar and Lu{\'{\i}}s E. T. Rodrigues and Patr{\'{\i}}cia Barbosa}, title = {A design of digital rights management system utilizing multimedia content identifiers}, booktitle = {Proceedings of the {IADIS} International Conference WWW/Internet 2004, Madrid, Spain, 2 Volumes}, pages = {1057--1060}, publisher = {{IADIS}}, year = {2004}, timestamp = {Wed, 01 Apr 2015 20:06:19 +0200}, biburl = {https://dblp.org/rec/conf/iadis/KimOH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/NamCKW04, author = {Junghyun Nam and Seokhyang Cho and Seungjoo Kim and Dongho Won}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Simple and Efficient Group Key Agreement Based on Factoring}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {645--654}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_76}, doi = {10.1007/978-3-540-24707-4\_76}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/NamCKW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcit/LeeKPJKKK04, author = {Jeong{-}Oog Lee and Myeong{-}Cheol Ko and Woojin Paik and Heung Seok Jeon and Junghwan Kim and Hyun{-}Kyu Kang and Jinsoo Kim}, editor = {R. K. Ghosh and Hrushikesha Mohanty}, title = {The Roles of Ontology and Metadata Registry for Interoperable Databases}, booktitle = {Distributed Computing and Internet Technology, First International Conference, {ICDCIT} 2004, Bhubaneswar, India, December 22-24, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3347}, pages = {217--226}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30555-2\_25}, doi = {10.1007/978-3-540-30555-2\_25}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/icdcit/LeeKPJKKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfhr/ChoOBCCYCK04, author = {Sung{-}Jung Cho and Jong Koo Oh and Won{-}Chul Bang and Wook Chang and Eun{-}Seok Choi and Jing Yang and Joonkee Cho and Dong{-}Yoon Kim}, title = {Magic wand: a hand-drawn gesture input device in 3-D space with inertial sensors}, booktitle = {Ninth International Workshop on Frontiers in Handwriting Recognition, {IWFHR-9} 2004, Kokubunji, Tokyo, Japan, October 26-29, 2004}, pages = {106--111}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/IWFHR.2004.66}, doi = {10.1109/IWFHR.2004.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfhr/ChoOBCCYCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfhr/OhCBCCYCK04, author = {Jong Koo Oh and Sung{-}Jung Cho and Won{-}Chul Bang and Wook Chang and Eun{-}Seok Choi and Jing Yang and Joonkee Cho and Dong{-}Yoon Kim}, title = {Inertial sensor based recognition of 3-D character gestures with an ensemble classifiers}, booktitle = {Ninth International Workshop on Frontiers in Handwriting Recognition, {IWFHR-9} 2004, Kokubunji, Tokyo, Japan, October 26-29, 2004}, pages = {112--117}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/IWFHR.2004.58}, doi = {10.1109/IWFHR.2004.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfhr/OhCBCCYCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/KimMPJP04, author = {Byung{-}Gyu Kim and Pyeong{-}Soo Mah and Dong{-}Jo Park and Jik{-}Han Jung and Ju{-}Seok Park}, title = {Non-contrast Based Edge Descriptor for Image Segmentation}, booktitle = {17th International Conference on Pattern Recognition, {ICPR} 2004, Cambridge, UK, August 23-26, 2004}, pages = {572--575}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPR.2004.1334205}, doi = {10.1109/ICPR.2004.1334205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/KimMPJP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/RyuPYKP04, author = {Jaewook Ryu and Jungyul Park and Seok Yun and Byungkyu Kim and Jong{-}Oh Park}, title = {Design and fabrication of a large-deformed smart sensorized polymer actuator}, booktitle = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, pages = {908--912}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IROS.2004.1389468}, doi = {10.1109/IROS.2004.1389468}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/RyuPYKP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/ParkKL04, author = {Jung{-}Heum Park and Hee{-}Chul Kim and Hyeong{-}Seok Lim}, editor = {Rudolf Fleischer and Gerhard Trippen}, title = {Many-to-many Disjoint Path Covers in a Graph with Faulty Elements}, booktitle = {Algorithms and Computation, 15th International Symposium, {ISAAC} 2004, Hong Kong, China, December 20-22, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3341}, pages = {742--753}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30551-4\_64}, doi = {10.1007/978-3-540-30551-4\_64}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isaac/ParkKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcc/YangCBCKCK04, author = {Jing Yang and Wook Chang and Won{-}Chul Bang and Eun{-}Seok Choi and Kyoung{-}Ho Kang and Sung{-}Jung Cho and Dong{-}Yoon Kim}, title = {Analysis and Compensation of Errors in the Input Device Based on Inertial Sensors}, booktitle = {International Conference on Information Technology: Coding and Computing (ITCC'04), Volume 2, April 5-7, 2004, Las Vegas, Nevada, {USA}}, pages = {790--796}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ITCC.2004.1286755}, doi = {10.1109/ITCC.2004.1286755}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcc/YangCBCKCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/JungKYSH03, author = {Byung{-}Kwon Jung and Dong{-}Soo Kim and Seok{-}Min Yoon and Gyu{-}Sang Shin and Chong{-}Sun Hwang}, title = {Development and application of a model for analysis and design phases of Web-based system development}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {46}, number = {4}, pages = {241--249}, year = {2003}, url = {https://doi.org/10.1360/02yf0058}, doi = {10.1360/02YF0058}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/JungKYSH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShinJSKK03, author = {Soon{-}Kyun Shin and Seok{-}Min Jung and Jin{-}Ho Seo and Myeong{-}Lyong Ko and Jae{-}Whui Kim}, title = {A slew-rate controlled output driver using {PLL} as compensation circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {7}, pages = {1227--1233}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.813253}, doi = {10.1109/JSSC.2003.813253}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShinJSKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/LeeKLJS03, author = {Jae{-}dong Lee and Sung{-}Un Kim and Sun{-}Seok Lee and Jae{-}Il Jung and David H. Su}, title = {Differentiated Wavelength Assignment with QoS Recovery for {DWDM} Next Generation Internet Backbone Networks}, journal = {Photonic Netw. Commun.}, volume = {5}, number = {2}, pages = {163--175}, year = {2003}, url = {https://doi.org/10.1023/A\%3A1022168427379}, doi = {10.1023/A\%3A1022168427379}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/LeeKLJS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/HanCJPKR03, author = {Dong{-}Guk Han and Nam Su Chang and Seok Won Jung and Young{-}Ho Park and Chang Han Kim and Heuisu Ryu}, editor = {Reihaneh Safavi{-}Naini and Jennifer Seberry}, title = {Cryptanalysis of the Full Version Randomized Addition-Subtraction Chains}, booktitle = {Information Security and Privacy, 8th Australasian Conference, {ACISP} 2003, Wollongong, Australia, July 9-11, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2727}, pages = {67--78}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-45067-X\_7}, doi = {10.1007/3-540-45067-X\_7}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/acisp/HanCJPKR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/LeeJKKM03, author = {Jun{-}seob Lee and Heeyoung Jung and Sung{-}han Kim and Seok Joo Koh and Jae Hong Min}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Return Routability Procedure between {MAP} and {MN} in HMIPv6}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} '03, Las Vegas, Nevada, USA, June 23-26, 2003, Volume 1}, pages = {407--410}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Tue, 20 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic/LeeJKKM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/KimHLSY03, author = {Jongsung Kim and Seokhie Hong and Sangjin Lee and Jung Hwan Song and Hyungjin Yang}, editor = {Jong In Lim and Dong Hoon Lee}, title = {Truncated Differential Attacks on 8-Round {CRYPTON}}, booktitle = {Information Security and Cryptology - {ICISC} 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2971}, pages = {446--456}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24691-6\_33}, doi = {10.1007/978-3-540-24691-6\_33}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/KimHLSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/LeeJKYKK03, author = {Seon Ok Lee and Seok Won Jung and Chang Han Kim and Janghong Yoon and Jae{-}Young Koh and Daeho Kim}, editor = {Jong In Lim and Dong Hoon Lee}, title = {Design of Bit Parallel Multiplier with Lower Time Complexity}, booktitle = {Information Security and Cryptology - {ICISC} 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2971}, pages = {127--139}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24691-6\_11}, doi = {10.1007/978-3-540-24691-6\_11}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/LeeJKYKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/ShinLKKL03, author = {Wook Shin and Dong{-}Ik Lee and Hyoung{-}Chun Kim and Jung{-}Min Kang and Jin{-}Seok Lee}, editor = {Jong In Lim and Dong Hoon Lee}, title = {Extended Role Based Access Control and Procedural Restrictions}, booktitle = {Information Security and Cryptology - {ICISC} 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2971}, pages = {184--196}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24691-6\_15}, doi = {10.1007/978-3-540-24691-6\_15}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/ShinLKKL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ms/KoLK03, author = {Min Seok Ko and Woon{-}Sung Lee and Jung Ha Kim}, editor = {M. H. Hamza}, title = {Friction Modeling and Analysis of the Sorting Mechanism}, booktitle = {Proceedings of the {IASTED} International Conference on Modelling and Simulation {(MS} 2003), February 24-26, 2003, Palm Springs, California, {USA}}, pages = {110--113}, publisher = {{IASTED/ACTA} Press}, year = {2003}, timestamp = {Wed, 16 Jul 2003 12:42:44 +0200}, biburl = {https://dblp.org/rec/conf/ms/KoLK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiacrypt/KimMLHLJ02, author = {Jongsung Kim and Dukjae Moon and Wonil Lee and Seokhie Hong and Sangjin Lee and Seok Won Jung}, editor = {Yuliang Zheng}, title = {Amplified Boomerang Attack against Reduced-Round {SHACAL}}, booktitle = {Advances in Cryptology - {ASIACRYPT} 2002, 8th International Conference on the Theory and Application of Cryptology and Information Security, Queenstown, New Zealand, December 1-5, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2501}, pages = {243--253}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36178-2\_15}, doi = {10.1007/3-540-36178-2\_15}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asiacrypt/KimMLHLJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YiKCHL02, author = {Juneho Yi and Jongsun Kim and Jongmoo Choi and JungHyun Han and Eunseok Lee}, editor = {Massimo Tistarelli and Josef Big{\"{u}}n and Anil K. Jain}, title = {Face Recognition Based on {ICA} Combined with {FLD}}, booktitle = {Biometric Authentication, International {ECCV} 2002 Workshop Copenhagen, Denmark, June 1, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2359}, pages = {10--18}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47917-1\_2}, doi = {10.1007/3-540-47917-1\_2}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/YiKCHL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurasiaict/KimCL02, author = {Cheol Kim and Jung Choi and Hyeong{-}Seok Lim}, editor = {Hassan Shafazand and A Min Tjoa}, title = {Embedding Full Ternary Trees into Recursive Circulants}, booktitle = {EurAsia-ICT 2002: Information and Communication Technology, First EurAsian Conference, Shiraz, Iran, October 29-31, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2510}, pages = {874--882}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36087-5\_101}, doi = {10.1007/3-540-36087-5\_101}, timestamp = {Tue, 29 Dec 2020 18:31:42 +0100}, biburl = {https://dblp.org/rec/conf/eurasiaict/KimCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimJHJWLJ02, author = {James D. K. Kim and Seok Yoon Jung and Mahnjin Han and Euee S. Jang and Sang Oak Woo and Shin Jun Lee and Gyeong Ja Jang}, title = {Animation data compression in {MPEG-4:} interpolators}, booktitle = {Proceedings of the 2002 International Conference on Image Processing, {ICIP} 2002, Rochester, New York, USA, September 22-25, 2002}, pages = {33--36}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICIP.2002.1038896}, doi = {10.1109/ICIP.2002.1038896}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/KimJHJWLJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interworking/KimSKK02, author = {Chul{-}Woo Kim and Seung{-}Joon Seok and Jung{-}Dae Kim and Chul{-}Hee Kang}, editor = {Chris McDonald}, title = {A Simplified QoS Scheme Using Virtual Link in {MPLS} Based Backbone Network}, booktitle = {Converged Networking: Data and Real-time Communications over IP, {IFIP} {TC6} / {WG6.2} Sixth International Symposium on Communications Interworking (Interworking 2002), October 13-16, 2002, Perth, Western Australia}, series = {{IFIP} Conference Proceedings}, volume = {247}, pages = {173--184}, publisher = {Kluwer}, year = {2002}, timestamp = {Wed, 19 Mar 2003 08:14:56 +0100}, biburl = {https://dblp.org/rec/conf/interworking/KimSKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/KimCKL02, author = {Jung S. Kim and Nam Ik Cho and Seok{-}Cheol Kee and Sang Uk Lee}, editor = {C.{-}C. Jay Kuo}, title = {Facial feature tracking by robust face segmentation and scalable rotational {BMA}}, booktitle = {Visual Communications and Image Processing 2002, San Jose, CA, USA, January 19, 2002}, series = {Proceedings of {SPIE}}, volume = {4671}, pages = {1015--1021}, publisher = {{SPIE}}, year = {2002}, timestamp = {Tue, 28 Jun 2016 13:29:23 +0200}, biburl = {https://dblp.org/rec/conf/vcip/KimCKL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visual/KimCOS02, author = {Jung{-}Rim Kim and Seong Soo Chun and Seok{-}jin Oh and Sanghoon Sull}, editor = {Shi{-}Kuo Chang and Zhe Chen and Suh{-}Yin Lee}, title = {Scalable Hierarchical Summarization of News Using Fidelity in {MPEG-7} Description Scheme}, booktitle = {Recent Advances in Visual Information Systems, 5th International Conference, {VISUAL} 2002 Hsin Chu, Taiwan, March 11-13, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2314}, pages = {239--246}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45925-1\_22}, doi = {10.1007/3-540-45925-1\_22}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/visual/KimCOS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KoKCLL01, author = {Seok Jun Ko and Pan Soo Kim and Hyung{-}Jin Choi and Jae{-}Wook Lee and Junghyun Lee}, title = {A robust digital timing recovery with asymmetry compensator for high speed optical drive systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {47}, number = {4}, pages = {821--830}, year = {2001}, url = {https://doi.org/10.1109/30.982795}, doi = {10.1109/30.982795}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KoKCLL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JungBK01, author = {Seung{-}Ho Jung and Jong{-}Humn Baek and Seok{-}Yoon Kim}, editor = {Satoshi Goto}, title = {Short circuit power estimation of static {CMOS} circuits}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {545--550}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370528}, doi = {10.1145/370155.370528}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/JungBK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/SeoKPJCHL01, author = {Yong{-}Seok Seo and Min{-}Su Kim and Ha{-}Joong Park and Ho{-}Youl Jung and Hyun{-}Yeol Chung and Young Huh and Jae{-}Duck Lee}, title = {A secure watermarking for {JPEG-2000}}, booktitle = {Proceedings of the 2001 International Conference on Image Processing, {ICIP} 2001, Thessaloniki, Greece, October 7-10, 2001}, pages = {530--533}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICIP.2001.958545}, doi = {10.1109/ICIP.2001.958545}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/SeoKPJCHL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/KimHLKKLSL01, author = {Chang{-}Wook Kim and Jung{-}Ahn Han and Hwan{-}Gyu Lee and Woo{-}Zoo Kim and Byunggi Kim and Moon{-}Ho Lee and Joo{-}Myoung Seok and Jong{-}Hyub Lee}, title = {An Efficient Buffer Control and Packet Scheduling for the Acces Point of {IP} Networks and {ATM} Network}, booktitle = {Eigth International Conference on Parallel and Distributed Systems, {ICPADS} 2001, KyongJu City, Korea, June 26-29, 2001}, pages = {675--680}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPADS.2001.934883}, doi = {10.1109/ICPADS.2001.934883}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/KimHLKKLSL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShimKPK01, author = {Jae{-}Heung Shim and Min{-}Seok Kim and Young{-}Hoon Park and Jung Ha Kim}, title = {The System Development of Unmanned Vehicle for the Tele-operated System Interfaced with Driving Simulator}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Robotics and Automation, {ICRA} 2001, May 21-26, 2001, Seoul, Korea}, pages = {686--691}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ROBOT.2001.932630}, doi = {10.1109/ROBOT.2001.932630}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShimKPK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlprs/SeonKKS01, author = {Choong{-}Nyoung Seon and Youngjoong Ko and Jeong{-}Seok Kim and Jungyun Seo}, title = {Named Entity Recognition using Machine Learning Methods and Pattern-Selection Rules}, booktitle = {Proceedings of the Sixth Natural Language Processing Pacific Rim Symposium, November 27-30, 2001, Hitotsubashi Memorial Hall, National Center of Sciences, Tokyo, Japan}, pages = {229--236}, year = {2001}, url = {http://www.afnlp.org/nlprs2001/pdf/0143-01.pdf}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nlprs/SeonKKS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/JungKHK00, author = {Yun Ho Jung and Jae Seok Kim and Bong Soo Hur and Moon Gi Kang}, title = {Design of real-time image enhancement preprocessor for {CMOS} image sensor}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {46}, number = {1}, pages = {68--75}, year = {2000}, url = {https://doi.org/10.1109/30.826383}, doi = {10.1109/30.826383}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/JungKHK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeKLKKKKPKJC00, author = {Ilwan Lee and Dongkyu Kim and Seokjun Lee and Kipaek Kwon and Jongdae Kim and Incheol Kim and Yongho Kim and Sungjun Park and Cheongon Kim and Haemook Jung and Gyuhwan Chang}, title = {A 300 K-gate 0.5 {\(\mu\)}m {CMOS} implementation of an 8-VSB receiver {IC} [for {HDTV]}}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {235--238}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852656}, doi = {10.1109/CICC.2000.852656}, timestamp = {Mon, 10 Oct 2022 09:13:21 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeKLKKKKPKJC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsctc/JangKC00, author = {Seok{-}Woo Jang and Kyu{-}Jung Kim and Hyung{-}Il Choi}, editor = {Wojciech Ziarko and Y. Y. Yao}, title = {Accurate Estimation of Motion Vectors Using Active Block Matching}, booktitle = {Rough Sets and Current Trends in Computing, Second International Conference, {RSCTC} 2000 Banff, Canada, October 16-19, 2000, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2005}, pages = {527--531}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45554-X\_65}, doi = {10.1007/3-540-45554-X\_65}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rsctc/JangKC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wise/JungKPJKLK00, author = {Min{-}Soo Jung and Do{-}Woo Kim and Kyoo{-}Seok Park and Min Jin and Wu Woan Kim and Sang{-}Chun Lee and Jong{-}Bong Kang}, editor = {Qing Li and Z. Meral {\"{O}}zsoyoglu and Roland R. Wagner and Yahiko Kambayashi and Yanchun Zhang}, title = {A Static Java Classifier Analyzer}, booktitle = {{WISE} 2000, Proceedings of the First International Conference on Web Information Systems Engineering, Volume {I} (Main Program), Hong Kong, China, June 19-21, 2000}, pages = {468--472}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/WISE.2000.882428}, doi = {10.1109/WISE.2000.882428}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wise/JungKPJKLK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/KimYJ99, author = {Pyeong Jung Kim and Seok Hwan Yoon and Seong{-}il Jin}, editor = {Hamid R. Arabnia}, title = {System Architecture for Supporting Mobile Applications by Using Proxy and Host Mobility}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1999, June 28 - Junlly 1, 1999, Las Vegas, Nevada, {USA}}, pages = {168--174}, publisher = {{CSREA} Press}, year = {1999}, timestamp = {Thu, 07 Jul 2005 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/KimYJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimYPJKLY98, author = {Joon{-}Seok Kim and Sun Kook Yoo and Sung{-}Wook Park and Nam Hoon Jung and Woo{-}Suk Ko and Keun{-}Sup Lee and Dae Hee Youn}, title = {4-way superscalar {DSP} processor for audio codec applications}, booktitle = {Proceedings of the 1998 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} '98, Seattle, Washington, USA, May 12-15, 1998}, pages = {3117--3120}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICASSP.1998.678186}, doi = {10.1109/ICASSP.1998.678186}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KimYPJKLY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKLOAH97, author = {Ho{-}Jun Song and Jung{-}Pill Kim and Jae{-}Jin Lee and Jong{-}Hoon Oh and Seung{-}Han Ahn and Inseok Hwang}, title = {A 200 MHz register-based wave-pipelined 64 {M} synchronous {DRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {1}, pages = {92--99}, year = {1997}, url = {https://doi.org/10.1109/4.553186}, doi = {10.1109/4.553186}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongKLOAH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asian/LeePYKS96, author = {Tonghyun Lee and Jieun Park and Seok Hwan Yoon and Pyeong Jung Kim and Bum Ju Shin}, editor = {Wolfram Conen and Gustaf Neumann}, title = {Supporting Both Client-Server and Peer-to-Peer Models in a Framework of a Distributed Object Management System}, booktitle = {Coordination Technology for Collaborative Applications - Organizations, Processes, and Agents {[ASIAN} 1996 Workshop]}, series = {Lecture Notes in Computer Science}, volume = {1364}, pages = {263--270}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0027111}, doi = {10.1007/BFB0027111}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/asian/LeePYKS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.