default search action
Search dblp for Publications
export results for "Seon Jong Kang"
@article{DBLP:journals/access/ParkKHYK24, author = {Seonghwan Park and Hayoung Kang and Sanghun Han and Jonghee M. Youn and Donghyun Kwon}, title = {{MECAT:} Memory-Safe Smart Contracts in {ARM} TrustZone}, journal = {{IEEE} Access}, volume = {12}, pages = {56110--56119}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3387300}, doi = {10.1109/ACCESS.2024.3387300}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKHYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsis/KimK24, author = {Jongsik Kim and Dae{-}Seong Kang}, title = {A study on fire data augmentation from video/image using the similar-label and F-guessed method}, journal = {Comput. Sci. Inf. Syst.}, volume = {21}, number = {2}, pages = {645--661}, year = {2024}, url = {https://doi.org/10.2298/csis230820011k}, doi = {10.2298/CSIS230820011K}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsis/KimK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/RyuKJJP24, author = {Kyung Bong Ryu and Seon Jong Kang and Seong In Jeong and Min Su Jeong and Kang Ryoung Park}, title = {{CN4SRSS:} Combined network for super-resolution reconstruction and semantic segmentation in frontal-viewing camera images of vehicle}, journal = {Eng. Appl. Artif. Intell.}, volume = {130}, pages = {107673}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107673}, doi = {10.1016/J.ENGAPPAI.2023.107673}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/RyuKJJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24, author = {Byeongho Kim and Sanghoon Cha and Sangsoo Park and Jieun Lee and Sukhan Lee and Shinhaeng Kang and Jinin So and Kyungsoo Kim and Jin Jung and Jong{-}Geon Lee and Sunjung Lee and Yoonah Paik and Hyeonsu Kim and Jin{-}Seong Kim and Won{-}Jo Lee and Yuhwan Ro and Yeongon Cho and Jin Hyun Kim and Joon{-}Ho Song and Jaehoon Yu and Seungwon Lee and Jeonghyeon Cho and Kyomin Sohn}, title = {The Breakthrough Memory Solutions for Improved Performance on {LLM} Inference}, journal = {{IEEE} Micro}, volume = {44}, number = {3}, pages = {40--48}, year = {2024}, url = {https://doi.org/10.1109/MM.2024.3375352}, doi = {10.1109/MM.2024.3375352}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimLKGKLPAS24, author = {Seonghoon Kim and Hyunjong Lee and Seongwoong Kang and Michelle Goh and Wonjung Kim and Seungchul Lee and Souneil Park and So{-}Yeon Ahn and Junehwa Song}, title = {{CRAYON:} Exploration on Community-based Relayed Online Education Approach for Rural Children in South Korean {EFL} Context}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--37}, year = {2024}, url = {https://doi.org/10.1145/3653684}, doi = {10.1145/3653684}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/KimLKGKLPAS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KangCSCKPKSK24, author = {Hyoshin Kang and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Changmin Sim and Chulwoo Kim}, title = {A 13-Gb/s Single-Ended {NRZ} Receiver With 1-Sample Per 2-UI Using Data Edge Sampling for Memory Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {7}, pages = {3328--3332}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3362995}, doi = {10.1109/TCSII.2024.3362995}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KangCSCKPKSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/NguyenCKKOKL24, author = {Anh{-}Duc Nguyen and Seonghwa Choi and Woojae Kim and Jongyoo Kim and Heeseok Oh and Jiwoo Kang and Sanghoon Lee}, title = {Single-Image 3-D Reconstruction: Rethinking Point Cloud Deformation}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {35}, number = {5}, pages = {6613--6627}, year = {2024}, url = {https://doi.org/10.1109/TNNLS.2022.3211929}, doi = {10.1109/TNNLS.2022.3211929}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/NguyenCKKOKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/ChaeKKLLHMKRL24, author = {Jinyeong Chae and Donghwa Kim and Kwanseok Kim and Doyeon Lee and Sangho Lee and Seongsu Ha and Jonghwan Mun and Wooyoung Kang and Byungseok Roh and Joonseok Lee}, editor = {Sanjoy Dasgupta and Stephan Mandt and Yingzhen Li}, title = {Towards a Complete Benchmark on Video Moment Localization}, booktitle = {International Conference on Artificial Intelligence and Statistics, 2-4 May 2024, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {238}, pages = {4168--4176}, publisher = {{PMLR}}, year = {2024}, url = {https://proceedings.mlr.press/v238/chae24a.html}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/ChaeKKLLHMKRL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blockchain2/KimLLKCP24, author = {Hyoung Joong Kim and Gyu M. Lee and Jongwon Lee and Sora Kang and Seong Wook Chae and Jun{-}Seok Park}, title = {A Comparison of Impermant Loss for Various CFMMs}, booktitle = {{IEEE} International Conference on Blockchain, Blockchain 2024, Copenhagen, Denmark, August 19-22, 2024}, pages = {542--548}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/Blockchain62396.2024.00080}, doi = {10.1109/BLOCKCHAIN62396.2024.00080}, timestamp = {Tue, 15 Oct 2024 13:23:17 +0200}, biburl = {https://dblp.org/rec/conf/blockchain2/KimLLKCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KangKKLBHC24, author = {Honggu Kang and Seonghyeon Ko and Ju{-}Chan Kim and Duc Tai Le and Junghyun Bum and Jong Chul Han and Hyunseung Choo}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Visual Field Prediction for Fundus Image with Generative {AI}}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418344}, doi = {10.1109/IMCOM60618.2024.10418344}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/KangKKLBHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24, author = {Jeongyeol Bae and Sangsung Lee and Joonggeun Lee and Ikkyun Jo and Heesoo Kim and Kyunghyun Yoon and Taejong Kim and Jiyoung Lee and Myunghun Lee and Jaeseung Lee and Jongmin Jeong and Sungjun Lee and Taewan Kim and Sungjoo Kim and Gwangsik Cho and Duksoo Kim and Sangyun Lee and Pilsung Jang and Euibong Yang and Jeongmin Song and Gwangchun Park and Se{-}Eun Choi and Juhee Son and Won Ko and Jonghyun Kim and Seong Ho Park and Sangho Lee and Yoonki Lee and Euiyoung Park and Pillseong Kang and Taeyeon Kim and Hyojin Lee and Byungki Han and Joonhee Lee and Jongsoo Lee and Sangmin Yoo}, title = {4.3 {A} 43mm\({}^{\mbox{2}}\) Fully Integrated Legacy Cellular and 5G {FR1} {RF} Transceiver with 24RX/3TX Supporting Inter-Band 7CA/5CA 4{\texttimes}4 {MIMO} with 1K-QAM}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {80--82}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454281}, doi = {10.1109/ISSCC49657.2024.10454281}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongOLBSLKKKMLKLPYRS24, author = {Kihwan Seong and Wooseuk Oh and Hyunwoo Lee and Gyeom{-}Je Bae and Youngseob Suh and Hyemun Lee and Juyoung Kim and Eunsu Kim and Yeongeon Kang and Gunhu Mo and Youjin Lee and Mingyeong Kim and Seongno Lee and Donguk Park and Byoung{-}Joo Yoo and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {13.10 {A} 4nm 48Gb/s/wire Single-Ended {NRZ} Parallel Transceiver with Offset-Calibration and Equalization Schemes for Next-Generation Memory Interfaces and Chiplets}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {250--252}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454481}, doi = {10.1109/ISSCC49657.2024.10454481}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeongOLBSLKKKMLKLPYRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeKLLSCARCNPKK24, author = {Minjong Lee and Jin{-}Hyun Kim and Dan N. Le and Seojun Lee and Si{-}Un Song and Rino Choi and Youngbae Ahn and Seung Wook Ryu and Pil{-}Ryung Cha and Chang{-}Yong Nam and Seongbin Park and Jongmug Kang and Si Joon Kim and Jiyoung Kim}, title = {{BEOL} Compatible Ultra-Low Operating Voltage {(0.5} {V)} and Preconfigured Switching Polarization States in Effective 3 nm Ferroelectric {HZO} Capacitors}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits 2024, Honolulu, HI, USA, June 16-20, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631444}, doi = {10.1109/VLSITECHNOLOGYANDCIR46783.2024.10631444}, timestamp = {Thu, 17 Oct 2024 14:04:05 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeeKLLSCARCNPKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-00137, author = {Sangwon Yu and Jongyoon Song and Bongkyu Hwang and Hoyoung Kang and Sooah Cho and Junhwa Choi and Seongho Joe and Taehee Lee and Youngjune L. Gwon and Sungroh Yoon}, title = {Correcting Negative Bias in Large Language Models through Negative Attention Score Alignment}, journal = {CoRR}, volume = {abs/2408.00137}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.00137}, doi = {10.48550/ARXIV.2408.00137}, eprinttype = {arXiv}, eprint = {2408.00137}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-00137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangGJPKNJLKPN23, author = {Sanghoon Kang and Yunfei Gao and Jaeho Jeong and Seong{-}Joon Park and Jae{-}Won Kim and Jong{-}Seon No and Ha Hyeon Jeon and Jeong Wook Lee and Sunghwan Kim and Hosung Park and Albert No}, title = {Generative Adversarial Networks for {DNA} Storage Channel Simulator}, journal = {{IEEE} Access}, volume = {11}, pages = {3781--3793}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3235201}, doi = {10.1109/ACCESS.2023.3235201}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KangGJPKNJLKPN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/HongKKB23, author = {Seonri Hong and Moses Kang and Jonghoon Kim and Jong{-}Bok Baek}, title = {Sequential application of denoising autoencoder and long-short recurrent convolutional network for noise-robust remaining-useful-life prediction framework of lithium-ion batteries}, journal = {Comput. Ind. Eng.}, volume = {179}, pages = {109231}, year = {2023}, url = {https://doi.org/10.1016/j.cie.2023.109231}, doi = {10.1016/J.CIE.2023.109231}, timestamp = {Fri, 19 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/HongKKB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/MinLBKK23, author = {Seongi Min and Yunwoo Lee and Yong{-}Hoon Byun and Young{-}Jong Kang and Seungjun Kim}, title = {Merged LSTM-based pattern recognition of structural behavior of cable-supported bridges}, journal = {Eng. Appl. Artif. Intell.}, volume = {125}, pages = {106774}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106774}, doi = {10.1016/J.ENGAPPAI.2023.106774}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/MinLBKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/BaekAJJSKSH23, author = {Jaeseung Baek and Taha J. Alhindi and Young{-}Seon Jeong and Myong K. Jeong and Seongho Seo and Jongseok Kang and We Shim and Yoseob Heo}, title = {A wavelet-based real-time fire detection algorithm with multi-modeling framework}, journal = {Expert Syst. Appl.}, volume = {233}, pages = {120940}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120940}, doi = {10.1016/J.ESWA.2023.120940}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/BaekAJJSKSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/YoonKORLKLH23, author = {Myeong Seong Yoon and Gitaek Kwon and Jaehoon Oh and Jongbin Ryu and Jongwoo Lim and Bokyeong Kang and Juncheol Lee and Dongkyoon Han}, title = {Effect of Contrast Level and Image Format on a Deep Learning Algorithm for the Detection of Pneumothorax with Chest Radiography}, journal = {J. Digit. Imaging}, volume = {36}, number = {3}, pages = {1237--1247}, year = {2023}, url = {https://doi.org/10.1007/s10278-022-00772-y}, doi = {10.1007/S10278-022-00772-Y}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/YoonKORLKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/JeongJKRP23, author = {Seong In Jeong and Min Su Jeong and Seon Jong Kang and Kyung Bong Ryu and Kang Ryoung Park}, title = {{SDAN-MD:} Supervised dual attention network for multi-stage motion deblurring in frontal-viewing vehicle-camera images}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {35}, number = {5}, pages = {101556}, year = {2023}, url = {https://doi.org/10.1016/j.jksuci.2023.101556}, doi = {10.1016/J.JKSUCI.2023.101556}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/JeongJKRP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyuokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Suhyeon Lee and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28-nm Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {12}, pages = {3408--3420}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3317433}, doi = {10.1109/JSSC.2023.3317433}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JunHKLSLSJ23, author = {Ah Hyun Jun and Young Hyun Hwang and Byeongwoo Kang and Seungwon Lee and Jiwon Seok and Jong Seong Lee and Seo Hyun Song and Byeong{-}Kwon Ju}, title = {Magnetic Properties of Amorphous Ta/CoFeB/MgO/Ta Thin Films on Deformable Substrates with Magnetic Field Angle and Tensile Strain}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7479}, year = {2023}, url = {https://doi.org/10.3390/s23177479}, doi = {10.3390/S23177479}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JunHKLSLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLLHKY23, author = {Moon{-}Jeong Lee and Ha{-}Neul Lee and Ga{-}Eun Lee and Seong{-}Tae Han and Dong{-}Woo Kang and Jong{-}Ryul Yang}, title = {{CMOS} Detector Staggered Array Module for Sub-Terahertz Imaging on Conveyor Belt System}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1232}, year = {2023}, url = {https://doi.org/10.3390/s23031232}, doi = {10.3390/S23031232}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLLHKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23, author = {Jin Hyun Kim and Yuhwan Ro and Jinin So and Sukhan Lee and Shinhaeng Kang and YeonGon Cho and Hyeonsu Kim and Byeongho Kim and Kyungsoo Kim and Sangsoo Park and Jin{-}Seong Kim and Sanghoon Cha and Won{-}Jo Lee and Jin Jung and Jonggeon Lee and Jieun Lee and Joon{-}Ho Song and Seungwon Lee and Jeonghyeon Cho and Jaehoon Yu and Kyomin Sohn}, title = {Samsung {PIM/PNM} for Transfmer Based {AI} : Energy Efficiency on {PIM/PNM} Cluster}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254711}, doi = {10.1109/HCS59251.2023.10254711}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RheJLJK23, author = {Johnny Rhe and Kang Eun Jeon and Joo Chan Lee and Seongmoon Jeong and Jong Hwan Ko}, title = {Kernel Shape Control for Row-Efficient Convolution on Processing-In-Memory Arrays}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323749}, doi = {10.1109/ICCAD57390.2023.10323749}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RheJLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JeongJK23, author = {Seongmoon Jeong and Kang Eun Jeon and Jong Hwan Ko}, title = {Rate-Controllable and Target-Dependent JPEG-Based Image Compression Using Feature Modulation}, booktitle = {{IEEE} International Conference on Multimedia and Expo Workshops, {ICMEW} Workshops 2023, Brisbane, Australia, July 10-14, 2023}, pages = {164--169}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMEW59549.2023.00035}, doi = {10.1109/ICMEW59549.2023.00035}, timestamp = {Mon, 05 Feb 2024 17:32:10 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JeongJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/KangKKMPK23, author = {Seonghyun Kang and Jaewook Kim and Yekwang Kim and Juhui Moon and Seonghan Park and Seung{-}Jong Kim}, title = {A Novel Tilted-Plane Ergometer System for Subject-Specific Rehabilitation}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2023, Singapore, September 24-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICORR58425.2023.10304744}, doi = {10.1109/ICORR58425.2023.10304744}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icorr/KangKKMPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SeongPK23, author = {Gyubin Seong and Jong Kang Park and Jong Tae Kim}, title = {{FPGA} Implementation of Cycle-Reduced Diagonal Data Flow Systolic Array for Edge Device {AI}}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {99--100}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396567}, doi = {10.1109/ISOCC59558.2023.10396567}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/SeongPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyeokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Chiyoung Ahn and Hoon Kang and Sukjin Jung and Hyukjun Sung and Yeongdae Kim and Donghan Kim and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant 6.5-to-8GHz {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28nm Process}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {462--463}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067364}, doi = {10.1109/ISSCC42615.2023.10067364}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ParkLKlKS23, author = {Jong{-}Yeon Park and Dongsoo Lee and Seonggyeom Kim and Wonil Lee and Bo{-}Gyeong Kang and Kouichi Sakurai}, title = {Fully Parallel, One-Cycle Random Shuffling for Efficient Countermeasure in Post-Quantum Cryptography}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1889}, year = {2023}, url = {https://eprint.iacr.org/2023/1889}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ParkLKlKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AinKBOSJSJPHYL22, author = {Qurat Ul Ain and Danial Khan and Muhammad Basim and SeongJin Oh and Khuram Shehzad and Byeong Gi Jang and Syed Adil Ali Shah and JongWan Jo and YoungGun Pu and Keum{-}Cheol Hwang and Youngoo Yang and Kang{-}Yoon Lee}, title = {A High-Efficiency Triple-Mode Active Rectifier With Gate Charge Recycling Technique for Wireless Power Transfer System}, journal = {{IEEE} Access}, volume = {10}, pages = {45943--45953}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3170064}, doi = {10.1109/ACCESS.2022.3170064}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AinKBOSJSJPHYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLCEDLLYKN22, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning With Fully Homomorphic Encryption for Deep Neural Network}, journal = {{IEEE} Access}, volume = {10}, pages = {30039--30054}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3159694}, doi = {10.1109/ACCESS.2022.3159694}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKLCEDLLYKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhKYKLWKL22, author = {Seongbin Oh and Dongseok Kwon and Gyuho Yeom and Won{-}Mook Kang and Soochang Lee and Sung Yun Woo and Jaehyeon Kim and Jong{-}Ho Lee}, title = {Neuron Circuits for Low-Power Spiking Neural Networks Using Time-To-First-Spike Encoding}, journal = {{IEEE} Access}, volume = {10}, pages = {24444--24455}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3149577}, doi = {10.1109/ACCESS.2022.3149577}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/OhKYKLWKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/KimJKLCLCPPPK22, author = {Jinuk Kim and Wonjin Jang and Jin Hwi Kim and Jiwan Lee and Kyung Hwa Cho and Yong{-}Gu Lee and Kangmin Chon and Sanghyun Park and Jong Cheol Pyo and Yongeun Park and Seongjoon Kim}, title = {Application of airborne hyperspectral imagery to retrieve spatiotemporal {CDOM} distribution using machine learning in a reservoir}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {114}, pages = {103053}, year = {2022}, url = {https://doi.org/10.1016/j.jag.2022.103053}, doi = {10.1016/J.JAG.2022.103053}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/KimJKLCLCPPPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKKK22, author = {Jaewook Kim and Yekwang Kim and Seonghyun Kang and Seung{-}Jong Kim}, title = {Biomechanical Analysis Suggests Myosuit Reduces Knee Extensor Demand during Level and Incline Gait}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6127}, year = {2022}, url = {https://doi.org/10.3390/s22166127}, doi = {10.3390/S22166127}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLHJKLKLSBCPK22, author = {Jayoung Kim and Han{-}Sol Lee and Manh Cuong Hoang and Seonghwan Jeong and Jae{-}Seung Kim and Cheong Lee and Byungjeon Kang and Jonghee Lee and Young{-}Don Son and Seungmin Bang and Eunpyo Choi and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Redundant Electromagnetic Control of an Endoscopic Magnetic Capsule Driven by Multiple Electromagnets Configuration}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {11}, pages = {11370--11382}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3120443}, doi = {10.1109/TIE.2021.3120443}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLHJKLKLSBCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ParkLJKKN22, author = {Eunhwan Park and Jong{-}Hyeon Lee and Dong Hyeon Jeon and Seonhoon Kim and Inho Kang and Seung{-}Hoon Na}, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {{SISER:} Semantic-Infused Selective Graph Reasoning for Fact Verification}, booktitle = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, pages = {1367--1378}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/2022.coling-1.117}, timestamp = {Thu, 13 Oct 2022 17:29:38 +0200}, biburl = {https://dblp.org/rec/conf/coling/ParkLJKKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimKKLKKBC22, author = {Geonung Kim and Kyoungkook Kang and Seongtae Kim and Hwayoon Lee and Sehoon Kim and Jonghyun Kim and Seung{-}Hwan Baek and Sunghyun Cho}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {BigColor: Colorization Using a Generative Color Prior for Natural Images}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {13667}, pages = {350--366}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20071-7\_21}, doi = {10.1007/978-3-031-20071-7\_21}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KimKKLKKBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocrypt/LeeLKKNK22, author = {Yongwoo Lee and Joon{-}Woo Lee and Young{-}Sik Kim and Yongjune Kim and Jong{-}Seon No and HyungChul Kang}, editor = {Orr Dunkelman and Stefan Dziembowski}, title = {High-Precision Bootstrapping for Approximate Homomorphic Encryption by Error Variance Minimization}, booktitle = {Advances in Cryptology - {EUROCRYPT} 2022 - 41st Annual International Conference on the Theory and Applications of Cryptographic Techniques, Trondheim, Norway, May 30 - June 3, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13275}, pages = {551--580}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06944-4\_19}, doi = {10.1007/978-3-031-06944-4\_19}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurocrypt/LeeLKKNK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KangP22, author = {Seongyoon Kang and Jongsun Park}, title = {Data Bus Inversion Encoding for Improving the Power Efficiency of SERDES-Containing Data Bus}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {103--104}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031583}, doi = {10.1109/ISOCC56007.2022.10031583}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KangP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isoen/ChoiJHJSPLKL22, author = {Kangwook Choi and Gyuweon Jung and Seongbin Hong and Yujeong Jeong and Wonjun Shin and Jinwoo Park and Chang{-}Yong Lee and Donghee Kim and Jong{-}Ho Lee}, title = {Response Analysis of Resistor-type Gas Sensor with Bias Voltage Condition}, booktitle = {{IEEE} International Symposium on Olfaction and Electronic Nose, {ISOEN} 2022, Aveiro, Portugal, May 29 - June 1, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOEN54820.2022.9789620}, doi = {10.1109/ISOEN54820.2022.9789620}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isoen/ChoiJHJSPLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isoen/JungKSHJPKCL22, author = {Gyuweon Jung and Jaehyeon Kim and Wonjun Shin and Seongbin Hong and Yujeong Jeong and Jinwoo Park and Donghee Kim and Kangwook Choi and Jong{-}Ho Lee}, title = {Optimal Bias Conditions for FET-type Gas Sensors to Minimize Current Fluctuations}, booktitle = {{IEEE} International Symposium on Olfaction and Electronic Nose, {ISOEN} 2022, Aveiro, Portugal, May 29 - June 1, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOEN54820.2022.9789643}, doi = {10.1109/ISOEN54820.2022.9789643}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isoen/JungKSHJPKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimLLLLJKNKKNPL22, author = {Seki Kim and Hyongmin Lee and Yongjin Lee and Dongha Lee and Byeongbae Lee and Jahoon Jin and Susie Kim and Miri Noh and Kwonwoo Kang and Sangho Kim and Takahiro Nomiyama and Ji{-}Seon Paek and Jongwoo Lee}, title = {A 3nm {GAAFET} Analog Assisted Digital {LDO} with High Current Density for Dynamic Voltage Scaling Mobile Applications}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {190--191}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830252}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830252}, timestamp = {Tue, 08 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimLLLLJKNKKNPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09685, author = {Geonung Kim and Kyoungkook Kang and Seongtae Kim and Hwayoon Lee and Sehoon Kim and Jonghyun Kim and Seung{-}Hwan Baek and Sunghyun Cho}, title = {BigColor: Colorization using a Generative Color Prior for Natural Images}, journal = {CoRR}, volume = {abs/2207.09685}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09685}, doi = {10.48550/ARXIV.2207.09685}, eprinttype = {arXiv}, eprint = {2207.09685}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangJPABCKKCK21, author = {Dongyeon Kang and Jun Tae Jang and Shinyoung Park and Md. Hasan Raza Ansari and Jong{-}Ho Bae and Sung{-}Jin Choi and Dong Myong Kim and Changwook Kim and Seongjae Cho and Dae Hwan Kim}, title = {Threshold-Variation-Tolerant Coupling-Gate {\(\alpha\)}-IGZO Synaptic Transistor for More Reliably Controllable Hardware Neuromorphic System}, journal = {{IEEE} Access}, volume = {9}, pages = {59345--59352}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3072688}, doi = {10.1109/ACCESS.2021.3072688}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangJPABCKKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimKWKLOKBPL21, author = {Jangsaeng Kim and Dongseok Kwon and Sung Yun Woo and Won{-}Mook Kang and Soochang Lee and Seongbin Oh and Chul{-}Heung Kim and Jong{-}Ho Bae and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Hardware-based spiking neural network architecture using simplified backpropagation algorithm and homeostasis functionality}, journal = {Neurocomputing}, volume = {428}, pages = {153--165}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.11.016}, doi = {10.1016/J.NEUCOM.2020.11.016}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/KimKWKLOKBPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KimKWKLOKBPL21, author = {Jangsaeng Kim and Dongseok Kwon and Sung Yun Woo and Won{-}Mook Kang and Soochang Lee and Seongbin Oh and Chul{-}Heung Kim and Jong{-}Ho Bae and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {On-chip trainable hardware-based deep Q-networks approximating a backpropagation algorithm}, journal = {Neural Comput. Appl.}, volume = {33}, number = {15}, pages = {9391--9402}, year = {2021}, url = {https://doi.org/10.1007/s00521-021-05699-z}, doi = {10.1007/S00521-021-05699-Z}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/KimKWKLOKBPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangYHL21, author = {Seonghun Kang and Jung{-}Doung Yu and Won{-}Taek Hong and Jong{-}Sub Lee}, title = {Estimation of Cavities beneath Plate Structures Using a Microphone: Laboratory Model Tests}, journal = {Sensors}, volume = {21}, number = {9}, pages = {2941}, year = {2021}, url = {https://doi.org/10.3390/s21092941}, doi = {10.3390/S21092941}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangYHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmbmc/KimKKLKLJORHPC21, author = {Samhwan Kim and Seongtak Kang and Jinmo Kim and Doyoung Lee and Sanghee Kim and Junghyup Lee and Kyung{-}In Jang and Yongseok Oh and Jong{-}Cheol Rah and Man Seung Huh and Sun Ha Paek and Ji{-}Woong Choi}, title = {Closed-Loop Neuromodulation for Parkinson's Disease: Current State and Future Directions}, journal = {{IEEE} Trans. Mol. Biol. Multi Scale Commun.}, volume = {7}, number = {4}, pages = {209--223}, year = {2021}, url = {https://doi.org/10.1109/TMBMC.2020.3036756}, doi = {10.1109/TMBMC.2020.3036756}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmbmc/KimKKLKLJORHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YoonKJLHPK21, author = {Sangwoong Yoon and Woo{-}Young Kang and Sungwook Jeon and SeongEun Lee and Changjin Han and Jonghun Park and Eun{-}Sol Kim}, title = {Image-to-Image Retrieval by Learning Similarity between Scene Graphs}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {10718--10726}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i12.17281}, doi = {10.1609/AAAI.V35I12.17281}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YoonKJLHPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimHK21, author = {Nayoung Kim and Seong Jong Ha and Je{-}Won Kang}, title = {Video Question Answering Using Language-Guided Deep Compressed-Domain Video Feature}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {1688--1697}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00173}, doi = {10.1109/ICCV48922.2021.00173}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/KimHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/NamAKHC21, author = {Jinwoo Nam and Daechul Ahn and Dongyeop Kang and Seong Jong Ha and Jonghyun Choi}, title = {Zero-shot Natural Language Video Localization}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {1450--1459}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00150}, doi = {10.1109/ICCV48922.2021.00150}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/NamAKHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongPHKK21, author = {Jou Won Song and Ye In Park and Jong{-}Ju Hong and Seonggyun Kim and Suk{-}Ju Kang}, title = {Attention-Based Bidirectional {LSTM-CNN} Model for Remaining Useful Life Estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401572}, doi = {10.1109/ISCAS51556.2021.9401572}, timestamp = {Fri, 02 Jul 2021 12:26:54 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongPHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {350--352}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365862}, doi = {10.1109/ISSCC42613.2021.9365862}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonLLKRSOYLKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKJLLKJALBKJL21, author = {Jongsoo Lee and Byoungjoong Kang and Seongwon Joo and Seokwon Lee and Joongho Lee and Seunghoon Kang and Ikkyun Jo and Suseop Ahn and Jaeseung Lee and Jeongyeol Bae and Won Ko and Woniun Jung and Sangho Lee and Sangsung Lee and Euiyoung Park and Sungiun Lee and Jeongkyun Woo and Jaehoon Lee and Yanghoon Lee and Kyungmin Lee and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {6.1 {A} Low-Power and Low-Cost 14nm FinFET {RFIC} Supporting Legacy Cellular and 5G {FR1}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {90--92}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365736}, doi = {10.1109/ISSCC42613.2021.9365736}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKJLLKJALBKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/PaekKKLPCJH21, author = {Ji{-}Seon Paek and Wan Kim and Seunghoon Kang and Jongwoo Lee and Seungchul Pyo and Yongki Cho and Daeseok Jang and Youngsik Hur}, title = {A 5G New Radio SAW-less {RF} Transmitter with a 100MHz Envelope Tracking {HPUE} n77 Power Amplifier Module}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492369}, doi = {10.23919/VLSICIRCUITS52068.2021.9492369}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/PaekKKLPCJH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07229, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning with Fully Homomorphic Encryption for Deep Neural Network}, journal = {CoRR}, volume = {abs/2106.07229}, year = {2021}, url = {https://arxiv.org/abs/2106.07229}, eprinttype = {arXiv}, eprint = {2106.07229}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07229.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-00428, author = {Jinwoo Nam and Daechul Ahn and Dongyeop Kang and Seong Jong Ha and Jonghyun Choi}, title = {Zero-shot Natural Language Video Localization}, journal = {CoRR}, volume = {abs/2110.00428}, year = {2021}, url = {https://arxiv.org/abs/2110.00428}, eprinttype = {arXiv}, eprint = {2110.00428}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-00428.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-08955, author = {Jong{-}Chan Park and Hye{-}Youn Lim and Dae{-}Seong Kang}, title = {Predicting Rebar Endpoints using Sin Exponential Regression Model}, journal = {CoRR}, volume = {abs/2110.08955}, year = {2021}, url = {https://arxiv.org/abs/2110.08955}, eprinttype = {arXiv}, eprint = {2110.08955}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-08955.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LeeKLCEDLLYKN21, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning with Fully Homomorphic Encryption for Deep Neural Network}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {783}, year = {2021}, url = {https://eprint.iacr.org/2021/783}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/LeeKLCEDLLYKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLLCK20, author = {Myounghoe Kim and Seongwon Lee and Jaehyun Lim and Jongeun Choi and Seong Gu Kang}, title = {Unexpected Collision Avoidance Driving Strategy Using Deep Reinforcement Learning}, journal = {{IEEE} Access}, volume = {8}, pages = {17243--17252}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2967509}, doi = {10.1109/ACCESS.2020.2967509}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HaselimashhadiM20, author = {Hamed Haselimashhadi and Jeremy C. Mason and Violeta Mu{\~{n}}oz{-}Fuentes and Federico L{\'{o}}pez{-}G{\'{o}}mez and Kolawole O. Babalola and Elif F. Acar and Vivek Kumar and Jacqui White and Ann M. Flenniken and Ruairidh King and Ewan Straiton and John Richard Seavitt and Angelina Gaspero and Arturo Garza and Audrey E. Christianson and Chih{-}Wei Hsu and Corey L. Reynolds and Denise G. Lanza and Isabel Lorenzo and Jennie R. Green and Juan J. Gallegos and Ritu Bohat and Rodney C. Samaco and Surabi Veeraragavan and Jong Kyoung Kim and Gregor Miller and Helmut Fuchs and Lillian Garrett and Lore Becker and Yeon Kyung Kang and David Clary and Soo Young Cho and Masaru Tamura and Nobuhiko Tanaka and Kyung Dong Soo and Alexandr Bezginov and Ghina Bou About and Marie{-}France Champy and Laurent Vasseur and Sophie Leblanc and Hamid Meziane and Mohammed Selloum and Patrick T. Reilly and Nadine Spielmann and Holger Maier and Val{\'{e}}rie Gailus{-}Durner and Tania Sorg and Hiroshi Masuya and Yuichi Obata and Jason D. Heaney and Mary E. Dickinson and Wolfgang Wurst and Glauco P. Tocchini{-}Valentini and Kevin C. Kent Lloyd and Colin McKerlie and Je Kyung Seong and Yann Herault and Martin Hrab{\'{e}} de Angelis and Steve D. M. Brown and Damian Smedley and Paul Flicek and Ann{-}Marie Mallon and Helen E. Parkinson and Terrence F. Meehan}, title = {Soft windowing application to improve analysis of high-throughput phenotyping data}, journal = {Bioinform.}, volume = {36}, number = {5}, pages = {1492--1500}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btz744}, doi = {10.1093/BIOINFORMATICS/BTZ744}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HaselimashhadiM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeCLSCSJKYRJSH20, author = {Kyeong{-}Sang Lee and Sung{-}Rae Chung and Chang{-}Suk Lee and Minji Seo and Sungwon Choi and Noh{-}Hun Seong and Donghyun Jin and Minseok Kang and Jong{-}Min Yeom and Jean{-}Louis Roujean and Daeseong Jung and Suyoung Sim and Kyung{-}Soo Han}, title = {Development of Land Surface Albedo Algorithm for the {GK-2A/AMI} Instrument}, journal = {Remote. Sens.}, volume = {12}, number = {15}, pages = {2500}, year = {2020}, url = {https://doi.org/10.3390/rs12152500}, doi = {10.3390/RS12152500}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeCLSCSJKYRJSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/GoJYHKKNJKSKNSJ20, author = {Gwangjun Go and Sin{-}Gu Jeong and Ami Yoo and Jiwon Han and Byungjeon Kang and Seokjae Kim and Kim Tien Nguyen and Zhen Jin and Chang{-}Sei Kim and Yu Ri Seo and Ju Yeon Kang and Ju Yong Na and Eun Kyoo Song and Yongyeon Jeong and Jong Keun Seon and Jong{-}Oh Park and Eunpyo Choi}, title = {Human adipose-derived mesenchymal stem cell-based medical microrobot system for knee cartilage regeneration in vivo}, journal = {Sci. Robotics}, volume = {5}, number = {38}, year = {2020}, url = {https://doi.org/10.1126/scirobotics.aay6626}, doi = {10.1126/SCIROBOTICS.AAY6626}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/GoJYHKKNJKSKNSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimLKSKKK20, author = {Jongguk Lim and Ahyeong Lee and Jungsook Kang and Youngwook Seo and Balgeum Kim and Giyoung Kim and Seongmin Kim}, title = {Non-Destructive Detection of Bone Fragments Embedded in Meat Using Hyperspectral Reflectance Imaging Technique}, journal = {Sensors}, volume = {20}, number = {14}, pages = {4038}, year = {2020}, url = {https://doi.org/10.3390/s20144038}, doi = {10.3390/S20144038}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LimLKSKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KangKLS20, author = {Jaewoong Kang and Jongmo Kim and Seongil Lee and Mye M. Sohn}, title = {Transition activity recognition using fuzzy logic and overlapped sliding window-based convolutional neural networks}, journal = {J. Supercomput.}, volume = {76}, number = {10}, pages = {8003--8020}, year = {2020}, url = {https://doi.org/10.1007/s11227-018-2470-y}, doi = {10.1007/S11227-018-2470-Y}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KangKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KimHK20, author = {Na{-}Young Kim and Seong Jong Ha and Je{-}Won Kang}, title = {Temporal Attention Feature Encoding for Video Captioning}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2020, Auckland, New Zealand, December 7-10, 2020}, pages = {1279--1282}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9306402}, timestamp = {Thu, 11 Feb 2021 11:44:30 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/KimHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangGTSDZYGJYK20, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2045--2057}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Zhang\_NTIRE\_2020\_Challenge\_on\_Perceptual\_Extreme\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00254}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangGTSDZYGJYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LeeSKYKKSPLCKGG20, author = {Changmin Lee and Wonjae Shin and Dae Jeong Kim and Yongjun Yu and Sung{-}Joon Kim and Taekyeong Ko and Deokho Seo and Jongmin Park and Kwanghee Lee and Seongho Choi and Namhyung Kim and Vishak G and Arun George and Vishwas V and Donghun Lee and Kang{-}Woo Choi and Changbin Song and Dohan Kim and Insu Choi and Ilgyu Jung and Yong Ho Song and Jinman Han}, title = {{NVDIMM-C:} {A} Byte-Addressable Non-Volatile Memory Module for Compatibility with Standard {DDR} Memory Interfaces}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {502--514}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00048}, doi = {10.1109/HPCA47549.2020.00048}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LeeSKYKKSPLCKGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/HongKJB20, author = {Seonri Hong and Moses Kang and Hakgeun Jeong and Jong{-}Bok Baek}, title = {State of Health Estimation for Lithium-Ion Batteries Using Long-Term Recurrent Convolutional Network}, booktitle = {The 46th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2020, Singapore, October 18-21, 2020}, pages = {1929--1934}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IECON43393.2020.9254275}, doi = {10.1109/IECON43393.2020.9254275}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/HongKJB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01056, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01056}, year = {2020}, url = {https://arxiv.org/abs/2005.01056}, eprinttype = {arXiv}, eprint = {2005.01056}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-02138, author = {Soyoung Yoo and Sunghee Lee and Seongsin Kim and Kwang Hyeon Hwang and Jong Ho Park and Namwoo Kang}, title = {Integrating Deep Learning into {CAD/CAE} System: Case Study on Road Wheel Design Automation}, journal = {CoRR}, volume = {abs/2006.02138}, year = {2020}, url = {https://arxiv.org/abs/2006.02138}, eprinttype = {arXiv}, eprint = {2006.02138}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-02138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05033, author = {Seongbin Oh and Dongseok Kwon and Gyuho Yeom and Won{-}Mook Kang and Soochang Lee and Sung Yun Woo and Jangsaeng Kim and Min Kyu Park and Jong{-}Ho Lee}, title = {Hardware Implementation of Spiking Neural Networks Using Time-To-First-Spike Encoding}, journal = {CoRR}, volume = {abs/2006.05033}, year = {2020}, url = {https://arxiv.org/abs/2006.05033}, eprinttype = {arXiv}, eprint = {2006.05033}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-14700, author = {Sangwoong Yoon and Woo{-}Young Kang and Sungwook Jeon and SeongEun Lee and Changjin Han and Jonghun Park and Eun{-}Sol Kim}, title = {Image-to-Image Retrieval by Learning Similarity between Scene Graphs}, journal = {CoRR}, volume = {abs/2012.14700}, year = {2020}, url = {https://arxiv.org/abs/2012.14700}, eprinttype = {arXiv}, eprint = {2012.14700}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-14700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KangLLKN20, author = {HyungChul Kang and Joon{-}Woo Lee and Yongwoo Lee and Young{-}Sik Kim and Jong{-}Seon No}, title = {Bootstrapping on {SEAL}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1594}, year = {2020}, url = {https://eprint.iacr.org/2020/1594}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KangLLKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LeeLKKN20, author = {Yongwoo Lee and Joon{-}Woo Lee and Young{-}Sik Kim and HyungChul Kang and Jong{-}Seon No}, title = {High-Precision Approximate Homomorphic Encryption by Error Variance Minimization}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1549}, year = {2020}, url = {https://eprint.iacr.org/2020/1549}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/LeeLKKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/DiCristinaKSCDI19, author = {Giovanni DiCristina and Kyungrae Kang and Seung Jin Song and Jong Ho Choi and Hyungrok Do and Seong{-}Kyun Im}, title = {Visualization of streamline tracing inlet-isolator flows using a planar laser Rayleigh scattering imaging technique}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {359--369}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-00541-6}, doi = {10.1007/S12650-018-00541-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/DiCristinaKSCDI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkKKLS19, author = {Seyoung Park and Jaewoong Kang and Jongmo Kim and Seongil Lee and Mye M. Sohn}, title = {Unsupervised and non-parametric learning-based anomaly detection system using vibration sensor data}, journal = {Multim. Tools Appl.}, volume = {78}, number = {4}, pages = {4417--4435}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-5845-4}, doi = {10.1007/S11042-018-5845-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkKKLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KimKLKSBLKJYHLL19, author = {Sang Joon Kim and Joonseong Kang and Wonseok Lee and Jonghan Kim and Junyeub Suh and Chisung Bae and Hyungwoo Lee and Jongpal Kim and Seungchul Jung and Seok{-}Ju Yun and Young{-}Jun Hong and Hankyu Lee and Jaechun Lee and Seong Joong Kim}, title = {Micro Bio Processor: a 0.144cc 70uW closed loop platform for body implant electroceutical systems}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918718}, doi = {10.1109/BIOCAS.2019.8918718}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/KimKLKSBLKJYHLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/LeeNKKBWLLKSLKO19, author = {Jong{-}Ho Lee and Yoohyun Noh and Hyeongsu Kim and Jangsaeng Kim and Jong{-}Ho Bae and Sung Yun Woo and Sungtae Lee and Suhwan Lim and Won{-}Mook Kang and Young{-}Tak Seo and Soochang Lee and Dongseok Kwon and Seongbin Oh}, title = {Review of candidate devices for neuromorphic applications}, booktitle = {49th European Solid-State Device Research Conference, {ESSDERC} 2019, Cracow, Poland, September 23-26, 2019}, pages = {22--27}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ESSDERC.2019.8901694}, doi = {10.1109/ESSDERC.2019.8901694}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/essderc/LeeNKKBWLLKSLKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekKBBCNHCYPLK19, author = {Ji{-}Seon Paek and Dong{-}Su Kim and Jun{-}Suk Bang and Jongbeom Baek and Jeong{-}Hyun Choi and Takahiro Nomiyama and Jae{-}Yeol Han and Young{-}Hwan Choo and Yong{-}Sik Youn and Euiyoung Park and Sung{-}Jun Lee and Ik{-}Hwan Kim and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {An 88{\%}-Efficiency Supply Modulator Achieving 1.08{\(\mu\)}s/V Fast Transition and 100MHz Envelope-Tracking Bandwidth for 5G New Radio {RF} Power Amplifier}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {238--240}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662460}, doi = {10.1109/ISSCC.2019.8662460}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaekKBBCNHCYPLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekNHKLKPLLCK19, author = {Ji{-}Seon Paek and Takahiro Nomiyama and Jae{-}Yeol Han and Ik{-}Hwan Kim and Yumi Lee and Dongsu Kim and Euiyoung Park and Sung{-}Jun Lee and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A 90ns/V Fast-Transition Symbol-Power-Tracking Buck Converter for 5G mm-Wave Phased-Array Transceiver}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {240--242}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662420}, doi = {10.1109/ISSCC.2019.8662420}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaekNHKLKPLLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiNCH18, author = {Kang{-}Un Choi and Thanh Dat Nguyen and Seong{-}Gon Choi and Jong{-}Phil Hong}, title = {High Frequency Buffer-Feedback Oscillator With an {RF} Negative-Resistance Circuit}, journal = {{IEEE} Access}, volume = {6}, pages = {20964--20970}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2818323}, doi = {10.1109/ACCESS.2018.2818323}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiNCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/NguyenKBCPKPK18, author = {Phu Bao Nguyen and Byungjeon Kang and D. M. Bappy and Eunpyo Choi and Sukho Park and Seong Young Ko and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Real-time microrobot posture recognition via biplane X-ray imaging system for external electromagnetic actuation}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {13}, number = {11}, pages = {1843--1852}, year = {2018}, url = {https://doi.org/10.1007/s11548-018-1846-z}, doi = {10.1007/S11548-018-1846-Z}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/NguyenKBCPKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LeePN18, author = {Kangseok Lee and Hosung Park and Jong{-}Seon No}, title = {New Binary Locally Repairable Codes with Locality 2 and Uneven Availabilities for Hot Data}, journal = {Entropy}, volume = {20}, number = {9}, pages = {636}, year = {2018}, url = {https://doi.org/10.3390/e20090636}, doi = {10.3390/E20090636}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/LeePN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/KangN18, author = {Hoon Kang and Jong{-}Seon No}, title = {New {SRRC} receiver filter design with reduced number of filter taps for wireless communication systems}, journal = {{IET} Commun.}, volume = {12}, number = {9}, pages = {1128--1133}, year = {2018}, url = {https://doi.org/10.1049/iet-com.2017.0858}, doi = {10.1049/IET-COM.2017.0858}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/KangN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/KimKAL18, author = {Jungho Kim and Sungwon Kang and Jongsun Ahn and Seonah Lee}, title = {{EMSA:} Extensibility Metric for Software Architecture}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {28}, number = {3}, pages = {371--406}, year = {2018}, url = {https://doi.org/10.1142/S0218194018500134}, doi = {10.1142/S0218194018500134}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijseke/KimKAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PyoLKAKLKCPC18, author = {Jong Cheol Pyo and Mayzonee Ligaray and Yong Sung Kwon and Myoung Hwan Ahn and Kyunghyun Kim and Hyuk Lee and Taegu Kang and Seong Been Cho and Yongeun Park and Kyung Hwa Cho}, title = {High-Spatial Resolution Monitoring of \emph{Phycocyanin} and \emph{Chlorophyll}-\emph{a} Using Airborne Hyperspectral Imagery}, journal = {Remote. Sens.}, volume = {10}, number = {8}, pages = {1180}, year = {2018}, url = {https://doi.org/10.3390/rs10081180}, doi = {10.3390/RS10081180}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/PyoLKAKLKCPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaeYJPKKK18, author = {Mungyu Bae and Seungho Yoo and Jongtack Jung and Seong Joon Park and Kangho Kim and Joon Yeop Lee and Hwangnam Kim}, title = {Devising Mobile Sensing and Actuation Infrastructure with Drones}, journal = {Sensors}, volume = {18}, number = {2}, pages = {624}, year = {2018}, url = {https://doi.org/10.3390/s18020624}, doi = {10.3390/S18020624}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BaeYJPKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoPCKAHJKL18, author = {Wonkuk Seo and JaeEun Pi and Sung Haeung Cho and Seung{-}Youl Kang and Seong{-}Deok Ahn and Chi{-}Sun Hwang and Ho{-}Sik Jeon and Jong{-}Uk Kim and Myunghee Lee}, title = {Transparent Fingerprint Sensor System for Large Flat Panel Display}, journal = {Sensors}, volume = {18}, number = {1}, pages = {293}, year = {2018}, url = {https://doi.org/10.3390/s18010293}, doi = {10.3390/S18010293}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SeoPCKAHJKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeeKN18, author = {Kangseok Lee and Hoon Kang and Jong{-}Seon No}, title = {New {PTS} Schemes With Adaptive Selection Methods of Dominant Time-Domain Samples in {OFDM} Systems}, journal = {{IEEE} Trans. Broadcast.}, volume = {64}, number = {3}, pages = {747--761}, year = {2018}, url = {https://doi.org/10.1109/TBC.2018.2811624}, doi = {10.1109/TBC.2018.2811624}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeeKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiJKSBKPKJSSS18, author = {Gwang Jin Choi and Jungwoo Jang and Seonmi Kang and Shinyong Shim and Changhoon Baek and Boyoon Kim and Yoonji Park and Sunhyo Kim and Younginha Jung and Kangmoon Seo and Jong{-}Mo Seo and Yoon{-}Kyu Song}, title = {Locomotion Control of Pigeons using Polymer-based Deep Brain Electrodes}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512684}, doi = {10.1109/EMBC.2018.8512684}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiJKSBKPKJSSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KangN18, author = {Hoon Kang and Jong{-}Seon No}, title = {Narrow-Band Interference Removing Filter for Mobile Communication Systems}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {603--606}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436631}, doi = {10.1109/ICUFN.2018.8436631}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KangN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NomiyamaYCKHJBL18, author = {Takahiro Nomiyama and Yong{-}Sik Youn and Young{-}Hwan Choo and Dong{-}Su Kim and Jae{-}Yeol Han and Jun{-}Hee Jung and Jongbeom Baek and Sung{-}Jun Lee and Euiyoung Park and Jeong{-}Hyun Choi and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A 2TX supply modulator for envelope-tracking power amplifier supporting intra- and inter-band uplink carrier aggregation and power class-2 high-power user equipment}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {434--436}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310370}, doi = {10.1109/ISSCC.2018.8310370}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NomiyamaYCKHJBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/KimSKK17, author = {Hee Eun Kim and Han Seong Son and Jonghyun Kim and Hyun Gook Kang}, title = {Systematic development of scenarios caused by cyber-attack-induced human errors in nuclear power plants}, journal = {Reliab. Eng. Syst. Saf.}, volume = {167}, pages = {290--301}, year = {2017}, url = {https://doi.org/10.1016/j.ress.2017.05.046}, doi = {10.1016/J.RESS.2017.05.046}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/KimSKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ChoKWLNS17, author = {Young{-}Jeon Cho and Kee{-}Hoon Kim and Jun{-}Young Woo and Kangseok Lee and Jong{-}Seon No and Dong{-}Joon Shin}, title = {Low-Complexity {PTS} Schemes Using Dominant Time-Domain Samples in {OFDM} Systems}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {2}, pages = {440--445}, year = {2017}, url = {https://doi.org/10.1109/TBC.2017.2662228}, doi = {10.1109/TBC.2017.2662228}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ChoKWLNS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SuhMJKHP17, author = {Jun{-}Seuk Suh and Laxmikant Minz and Dae{-}Hwan Jung and Hyunseong Kang and Jong{-}Wook Ham and Seong{-}Ook Park}, title = {Drone-Based External Calibration of a Fully Synchronized Ku-Band Heterodyne {FMCW} Radar}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {8}, pages = {2189--2197}, year = {2017}, url = {https://doi.org/10.1109/TIM.2017.2687518}, doi = {10.1109/TIM.2017.2687518}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SuhMJKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/YooJCKLPLLK17, author = {Seungho Yoo and Jongtack Jung and Albert Yongjoon Chung and Kangho Kim and Jiyeon Lee and Seong Joon Park and Suk Kyu Lee and Hyung Kyu Lee and Hwangnam Kim}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Empowering Drones' Teamwork with Airborne Network}, booktitle = {31st {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2017, Taipei, Taiwan, March 27-29, 2017}, pages = {678--685}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AINA.2017.101}, doi = {10.1109/AINA.2017.101}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/YooJCKLPLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/KangN17, author = {Hoon Kang and Jong{-}Seon No}, title = {Automatic gain control in high adjacent channel interference for {OFDM} systems}, booktitle = {23rd Asia-Pacific Conference on Communications, {APCC} 2017, Perth, Australia, December 11-13, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/APCC.2017.8303964}, doi = {10.23919/APCC.2017.8303964}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/apcc/KangN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeN17, author = {Kangseok Lee and Jong{-}Seon No}, title = {Efficient {PTS} scheme with adaptive selection method for dominant samples in {OFDM} systems}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {387--392}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993814}, doi = {10.1109/ICUFN.2017.7993814}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SungCKKKOLLKLC17, author = {Minkyu Sung and Seung{-}Hyun Cho and Kwang Seon Kim and Heon{-}Kook Kwon and Byung{-}Su Kang and Don Sung Oh and Deuk{-}Su Lyu and Hoon Lee and Sun Me Kim and Jong Hyun Lee and Hwan Seok Chung}, title = {Demonstration of IFoF based 5G mobile fronthaul in 28 GHz millimeter wave testbed supporting giga-bit mobile services}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7937305}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SungCKKKOLLKLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JoeLKPK17, author = {Seonggun Joe and Dongkyu Lee and Byungjeon Kang and Jong{-}Oh Park and Byungkyu Kim}, title = {Micro tattooing mechanism for the capsule endoscope}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {830--831}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992836}, doi = {10.1109/URAI.2017.7992836}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/JoeLKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WooKLNS16, author = {Jun{-}Young Woo and Kee{-}Hoon Kim and Kangseok Lee and Jong{-}Seon No and Dong{-}Joon Shin}, title = {Analysis of Oversampling Effect on Selected Mapping Scheme Using {CORR} Metric}, journal = {{IEICE} Trans. Commun.}, volume = {99-B}, number = {2}, pages = {364--369}, year = {2016}, url = {https://doi.org/10.1587/transcom.2015EBP3321}, doi = {10.1587/TRANSCOM.2015EBP3321}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WooKLNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/LeeCWNS16, author = {Kangseok Lee and Young{-}Jeon Cho and Jun{-}Young Woo and Jong{-}Seon No and Dong{-}Joon Shin}, title = {Low-complexity {PTS} schemes using {OFDM} signal rotation and pre-exclusion of phase rotating vectors}, journal = {{IET} Commun.}, volume = {10}, number = {5}, pages = {540--547}, year = {2016}, url = {https://doi.org/10.1049/iet-com.2015.0192}, doi = {10.1049/IET-COM.2015.0192}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/LeeCWNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/JeongCMHLKKCALL16, author = {Kwan Seong Jeong and Byung Seon Choi and Jei Kwon Moon and Dongjun Hyun and Jonghwan Lee and Ikjune Kim and Shin Young Kang and Jongwon Choi and Sang Myeon Ahn and Jung{-}Jun Lee and Byung Sik Lee}, title = {The safety assessment system based on virtual networked environment for evaluation on the hazards from human errors during decommissioning of nuclear facilities}, journal = {Reliab. Eng. Syst. Saf.}, volume = {156}, pages = {34--39}, year = {2016}, url = {https://doi.org/10.1016/j.ress.2016.07.023}, doi = {10.1016/J.RESS.2016.07.023}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/JeongCMHLKKCALL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KwakRMHK16, author = {Kang{-}Sub Kwak and Jong{-}Hyun Ra and Ho{-}Sung Moon and Seong{-}Kwan Hong and Oh{-}Kyong Kwon}, title = {A Low-Power Two-Tap Voltage-Mode Transmitter With Precisely Matched Output Impedance Using an Embedded Calibration Circuit}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {6}, pages = {573--577}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2530818}, doi = {10.1109/TCSII.2016.2530818}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KwakRMHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YoonJKKLKSKHKKL16, author = {Young Jun Yoon and Byung Deuk Jeon and Byung Soo Kim and Ki Up Kim and Tae Yong Lee and Nohhyup Kwak and Woo{-}Yeol Shin and Na Yeon Kim and Yunseok Hong and Kyeong Pil Kang and Dong Yoon Ka and Seong Ju Lee and Yong Sun Kim and Young Kyu Noh and Jaehoon Kim and Dong Keum Kang and Ho Uk Song and Hyeon Gon Kim and Jonghoon Oh}, title = {18.4 An 1.1V 68.2GB/s 8Gb Wide-IO2 {DRAM} with non-contact microbump {I/O} test scheme}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {320--322}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418036}, doi = {10.1109/ISSCC.2016.7418036}, timestamp = {Sun, 10 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YoonJKKLKSKHKKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSSWCKKKKJKH16, author = {Sangheon Lee and Jeonghwan Song and Changhyuk Seong and Jiyong Woo and Jong{-}Moon Choi and Soon{-}Chan Kwon and Ho{-}Joon Kim and Hyun{-}Suk Kang and Soo Gil Kim and Hoe Gwon Jung and Kee{-}Won Kwon and Hyunsang Hwang}, title = {Full chip integration of 3-d cross-point ReRAM with leakage-compensating write driver and disturbance-aware sense amplifier}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573503}, doi = {10.1109/VLSIC.2016.7573503}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSSWCKKKKJKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeSBLJKKCSKPK15, author = {Hyunbae Lee and Taeksang Song and Sangyeon Byeon and Kwanghun Lee and Inhwa Jung and Seongjin Kang and Ohkyu Kwon and Koeun Cheon and Donghwan Seol and Jong{-}Ho Kang and Gunwoo Park and Yunsaing Kim}, title = {A 16.8 Gbps/Channel Single-Ended Transceiver in 65 nm {CMOS} for SiP-Based {DRAM} Interface on Si-Carrier Channel}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {11}, pages = {2613--2624}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2466469}, doi = {10.1109/JSSC.2015.2466469}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeSBLJKKCSKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LeeKKCRKPLPK15, author = {Joon Goo Lee and Seon Wook Kim and Dong{-}Hyun Kim and Younga Cho and Jae{-}Sung Rieh and Gyusung Kang and Jongsun Park and Hokyu Lee and Sejin Park and Chulwoo Kim}, title = {D\({}^{\mbox{2}}\)ART: Direct Data Accessing from Passive {RFID} Tag for infra-less, contact-less, and battery-less pervasive computing}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {767--781}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.09.007}, doi = {10.1016/J.MICPRO.2015.09.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LeeKKCRKPLPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/DangLKHC15, author = {Duc Ngoc Minh Dang and Huong Tra Le and Hyo Sung Kang and Choong Seon Hong and Jongwon Choe}, title = {Multi-channel {MAC} protocol with Directional Antennas in wireless ad hoc networks}, booktitle = {2015 International Conference on Information Networking, {ICOIN} 2015, Siem Reap, Cambodia, January 12-14, 2015}, pages = {81--86}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICOIN.2015.7057861}, doi = {10.1109/ICOIN.2015.7057861}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/DangLKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SungPKC15, author = {Jung Sik Sung and Seonghee Park and Tae Gyu Kang and Jongwoo Choi}, title = {Design and implementation of touch-link algorithm for reducing the link connection time in ZigBee}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {996--998}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354722}, doi = {10.1109/ICTC.2015.7354722}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SungPKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/SimKYKC15, author = {SangKwon Sim and Jong{-}Hak Kim and Zhongyun Yuan and Seong{-}muk Kang and Jun{-}Dong Cho}, title = {Robust-rotation recognition based on contour matching using {CUDA} in automation system}, booktitle = {International Symposium on Consumer Electronics, {ISCE} 2015, Madrid, Spain, June 24-26, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCE.2015.7177791}, doi = {10.1109/ISCE.2015.7177791}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/SimKYKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeSBLJKKCSKPK14, author = {Hyunbae Lee and Taeksang Song and Sangyeon Byeon and Kwanghun Lee and Inhwa Jung and Seongjin Kang and Ohkyu Kwon and Koeun Cheon and Donghwan Seol and Jong{-}Ho Kang and Gunwoo Park and Yunsaing Kim}, title = {A 16.8Gbps/channel single-ended transceiver in 65nm {CMOS} for SiP based {DRAM} interface on Si-carrier channel}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {125--128}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008876}, doi = {10.1109/ASSCC.2014.7008876}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeSBLJKKCSKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiJKRLBK13, author = {JinHyeock Choi and Seonmin Jung and Junhyuk Kim and June{-}Koo Kevin Rhee and Byung Moo Lee and Jongho Bang and Byung{-}Chang Kang}, title = {Energy efficient basestation operation with traffic-specific energy consumption}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {7--10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486771}, doi = {10.1109/ICCE.2013.6486771}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChoiJKRLBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeKKYK13, author = {Seong Jae Lee and Sunmee Kang and Hanseok Ko and Jongseong Yoon and Min{-}Seok Keum}, title = {Dialogue enabling speech-to-text user assistive agent with auditory perceptual beamforming for hearing-impaired}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {360--361}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486929}, doi = {10.1109/ICCE.2013.6486929}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeKKYK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/KimKKCSKK13, author = {Hyunseok Kim and Youjin Kim and Dae Ho Kim and Seongju Chang and Dongjun Suh and Hyun Jong Kim and Tae Gyu Kang}, title = {Autonomous Lighting Control Based on Adjustable Illumination Model}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579496}, doi = {10.1109/ICISA.2013.6579496}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisa/KimKKCSKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangRJLLKCJ12, author = {Heechai Kang and Kyungho Ryu and Dong{-}Hoon Jung and Donghwan Lee and Won Lee and SuHo Kim and JongRyun Choi and Seong{-}Ook Jung}, title = {Process Variation Tolerant All-Digital 90{\textdegree} Phase Shift {DLL} for {DDR3} Interface}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {10}, pages = {2186--2196}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2012.2188943}, doi = {10.1109/TCSI.2012.2188943}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangRJLLKCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/AnLKJYHKLNJKCC12, author = {Hokyun An and Kong{-}Soo Lee and Yoongoo Kang and Seonghoon Jeong and Wonseok Yoo and Jae{-}Jong Han and Bonghyun Kim and Hanjin Lim and Seokwoo Nam and Gi{-}Tae Jeong and Ho{-}Kyu Kang and Chilhee Chung and Byoungdeog Choi}, title = {Current-voltage characteristics of vertical diodes for next generation memories}, booktitle = {Proceedings of the 2012 European Solid-State Device Research Conference, {ESSDERC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {149--152}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSDERC.2012.6343355}, doi = {10.1109/ESSDERC.2012.6343355}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/essderc/AnLKJYHKLNJKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/JangSLLLL12, author = {Kwang Eun Jang and Younghun Sung and Jongha Lee and Kangeui Lee and Jae{-}Hak Lee and Seong{-}Deok Lee}, title = {Information theoretic discrepancy based iterative reconstruction for transmission tomography}, booktitle = {9th {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, {ISBI} 2012, May 2-5, 2012, Barcelona, Spain, Proceedings}, pages = {642--645}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISBI.2012.6235630}, doi = {10.1109/ISBI.2012.6235630}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/isbi/JangSLLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeePKKLLKK11, author = {Junghoon Lee and Gyung{-}Leen Park and Hye{-}Jin Kim and Ho{-}Young Kwak and Seongjun Lee and Jong{-}Heon Lee and Bong{-}Soo Kang and Yun{-}Hyuk Kim}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Wai{-}Chi Fang and Thanos Vasilakos and Adrian Stoica and Charalampos Z. Patrikakis and Gansen Zhao and L. Javier Garc{\'{\i}}a{-}Villalba and Yang Xiao}, title = {Design of a Composite Sensor Node in Agricultural Ubiquitous Sensor Networks}, booktitle = {Communication and Networking - International Conference, {FGCN} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {265}, pages = {53--58}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27192-2\_7}, doi = {10.1007/978-3-642-27192-2\_7}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/fgit/LeePKKLLKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KimJKLKKMYKL11, author = {Sung Il Kim and Jae Young Jun and Jong{-}Kook Kim and Kyung{-}Chan Lee and Gyu Seong Kang and Taek{-}Soo Kim and Hee Kyoung Moon and Hye Chan Yoon and Hyungmin Kim and Sang{-}Hoon Lee}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jianhua Ma and Chunsheng Zhu}, title = {Dynamic Resource Management for a Cell-Based Distributed Mobile Computing Environment}, booktitle = {Ubiquitous Intelligence and Computing - 8th International Conference, {UIC} 2011, Banff, Canada, September 2-4, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6905}, pages = {174--184}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23641-9\_16}, doi = {10.1007/978-3-642-23641-9\_16}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uic/KimJKLKKMYKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KangRLLKCJ10, author = {Heechai Kang and Kyungho Ryu and Donghwan Lee and Won Lee and SuHo Kim and JongRyun Choi and Seong{-}Ook Jung}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {Process variation tolerant all-digital multiphase {DLL} for {DDR3} interface}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617474}, doi = {10.1109/CICC.2010.5617474}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KangRLLKCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/KangMYHCCL10, author = {Seungseok Kang and Jaeseok Myung and Jongheum Yeon and Seong{-}wook Ha and Taehyung Cho and Ji{-}man Chung and Sang{-}goo Lee}, editor = {Hiroyuki Kitagawa and Yoshiharu Ishikawa and Qing Li and Chiemi Watanabe}, title = {A General Maturity Model and Reference Architecture for SaaS Service}, booktitle = {Database Systems for Advanced Applications, 15th International Conference, {DASFAA} 2010, Tsukuba, Japan, April 1-4, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5982}, pages = {337--346}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12098-5\_28}, doi = {10.1007/978-3-642-12098-5\_28}, timestamp = {Fri, 30 Dec 2022 14:22:15 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/KangMYHCCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sutc/KimKHL10, author = {Jonguk Kim and Sukin Kang and Manpyo Hong and Seong{-}uck Lee}, title = {{EDGAR:} Extended Dynamic Group-Key AgReement}, booktitle = {{IEEE} International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing, {SUTC} 2010 and {IEEE} International Workshop on Ubiquitous and Mobile Computing, {UMC} 2010, 7-9 June 2010, Newport Beach, California, {USA}}, pages = {390--395}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SUTC.2010.32}, doi = {10.1109/SUTC.2010.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sutc/KimKHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JungKKCPLJC09, author = {Ki{-}Sang Jung and Kang{-}Jik Kim and Yong{-}Eun Kim and Jin{-}Gyun Chung and Ki{-}Hyun Pyun and Jong{-}Yeol Lee and Hang{-}Geun Jeong and Seong Ik Cho}, title = {The {ROM} Design with Half Grouping Compression Method for Chip Area and Power Consumption Reduction}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {3}, pages = {352--355}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.352}, doi = {10.1587/TRANSELE.E92.C.352}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JungKKCPLJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkKCLLYKLLP09, author = {Sang Hyuk Park and Sangwoo Kang and Seongjae Cho and Dong{-}Seup Lee and Jung Han Lee and Hong{-}Seon Yang and Kwon{-}Chil Kang and Joung{-}Eob Lee and Jong Duk Lee and Byung{-}Gook Park}, title = {Recessed Channel Dual Gate Single Electron Transistors (RCDG-SETs) for Room Temperature Operation}, journal = {{IEICE} Trans. Electron.}, volume = {92-C}, number = {5}, pages = {647--652}, year = {2009}, url = {https://doi.org/10.1587/transele.E92.C.647}, doi = {10.1587/TRANSELE.E92.C.647}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkKCLLYKLLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ShinKPLPR09, author = {Seong{-}Yoon Shin and Oh{-}Hyung Kang and Sangjoon Park and Jongchan Lee and Seong{-}Bae Pyo and Yang{-}Won Rhee}, editor = {Osvaldo Gervasi and David Taniar and Beniamino Murgante and Antonio Lagan{\`{a}} and Youngsong Mun and Marina L. Gavrilova}, title = {Noun and Keyword Detection of Korean in Ubiquitous Environment}, booktitle = {Computational Science and Its Applications - {ICCSA} 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5592}, pages = {593--603}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02454-2\_43}, doi = {10.1007/978-3-642-02454-2\_43}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ShinKPLPR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeongLLKLHKLKLPSLYKKAK09, author = {Bong Hwa Jeong and Jongwon Lee and Yin Jae Lee and Tae Jin Kang and Joo Hyeon Lee and Duck Hwa Hong and Jae Hoon Kim and Eun Ryeong Lee and Min Chang Kim and Kyung Ha Lee and Sang Il Park and Jong Ho Son and Sang Kwon Lee and Seong Nyuh Yoo and Sung Mook Kim and Tae Woo Kwon and Jin{-}Hong Ahn and Yong Tak Kim}, title = {A 1.35V 4.3GB/s 1Gb {LPDDR2} {DRAM} with controllable repeater and on-the-fly power-cut scheme for low-power and high-speed mobile application}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {132--133}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977343}, doi = {10.1109/ISSCC.2009.4977343}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeongLLKLHKLKLPSLYKKAK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KimKKOCBC08, author = {Woo{-}Yeon Kim and Sungsoo Kang and Byoung{-}Chul Kim and Jeehyun Oh and Seong{-}Woong Cho and Jong Bhak and Jong{-}Soon Choi}, title = {SynechoNET: integrated protein-protein interaction database of a model cyanobacterium \emph{Synechocystis }sp. {PCC} 6803}, journal = {{BMC} Bioinform.}, volume = {9}, number = {{S-1}}, year = {2008}, url = {https://doi.org/10.1186/1471-2105-9-S1-S20}, doi = {10.1186/1471-2105-9-S1-S20}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/KimKKOCBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WooYYKYK08, author = {Seonkeol Woo and Hoongee Yang and Sunghyun Yang and Youngsoo Kim and Jonggwan Yook and Bongsoon Kang}, title = {A New {TR-UWB} Receiver Exploiting Frequency Components}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {5}, pages = {1608--1611}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.5.1608}, doi = {10.1093/IETCOM/E91-B.5.1608}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WooYYKYK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/SungAPJYKYCK08, author = {Jongwoo Sung and Sungjin Ahn and Taesoo Park and Seonghun Jang and Dongheui Yun and Jonggu Kang and Seongeun Yoo and Pohkit Chong and Daeyoung Kim}, title = {Wireless Sensor Networks for Cultural Property Protection}, booktitle = {22nd International Conference on Advanced Information Networking and Applications, {AINA} 2008, Workshops Proceedings, GinoWan, Okinawa, Japan, March 25-28, 2008}, pages = {615--620}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WAINA.2008.259}, doi = {10.1109/WAINA.2008.259}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/SungAPJYKYCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/KimLJRCKKYKKKH08, author = {Gi{-}Beum Kim and Mun{-}Yong Lee and Seol{-}Hee Jeon and Md. Mizanur Rahman and Woo{-}Suk Chong and Min{-}Ho Kim and Seong{-}Jong Kim and Suck{-}Ju Yoon and In{-}Shick Kim and Jin{-}Shang Kim and Hyung{-}Sub Kang and Chul{-}Un Hong}, title = {Design of the Implantable Artificial Lung using Computational Fluid Dynamics}, booktitle = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2}, pages = {603--605}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/BMEI.2008.106}, doi = {10.1109/BMEI.2008.106}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/KimLJRCKKYKKKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/KimLJRKKKKKH08, author = {Gi{-}Beum Kim and Mun{-}Yong Lee and Seol{-}Hee Jeon and Md. Mizanur Rahman and Min{-}Ho Kim and Seong{-}Jong Kim and In{-}Shick Kim and Jin{-}Shang Kim and Hyung{-}Sub Kang and Chul{-}Un Hong}, title = {Blood Hemolysis of Implantable Artificial Lung}, booktitle = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 1}, pages = {497--499}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/BMEI.2008.105}, doi = {10.1109/BMEI.2008.105}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/KimLJRKKKKKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkLLKSK08, author = {Sangjoon Park and Jongchan Lee and Changbok Lee and Oh{-}Hyung Kang and Seong{-}Yoon Shin and Kwanjoong Kim}, editor = {Osvaldo Gervasi and Beniamino Murgante and Antonio Lagan{\`{a}} and David Taniar and Youngsong Mun and Marina L. Gavrilova}, title = {A Handover Scheme Supporting the Buffer Management in {B3G} Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2008, International Conference, Perugia, Italy, June 30 - July 3, 2008, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5073}, pages = {399--408}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69848-7\_33}, doi = {10.1007/978-3-540-69848-7\_33}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkLLKSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ShinLBKSR08, author = {Seong{-}Yoon Shin and Jongchan Lee and Seong{-}Eun Baek and Oh{-}Hyung Kang and Jung{-}Hoon Shin and Yang{-}Won Rhee}, editor = {Osvaldo Gervasi and Beniamino Murgante and Antonio Lagan{\`{a}} and David Taniar and Youngsong Mun and Marina L. Gavrilova}, title = {Scene Change Detection Using a Local Detection Tree and Clustering in Ubiquitous Environment}, booktitle = {Computational Science and Its Applications - {ICCSA} 2008, International Conference, Perugia, Italy, June 30 - July 3, 2008, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {5073}, pages = {381--389}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69848-7\_31}, doi = {10.1007/978-3-540-69848-7\_31}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ShinLBKSR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswpc/Kang0KKYW08, author = {Jonggu Kang and Daeyoung Kim and Eunjo Kim and Youngsoo Kim and Seongeun Yoo and Daehan Wi}, title = {Seamless mobile robot localization service framework for integrated localization systems}, booktitle = {Third International Symposium on Wireless Pervasive Computing, {ISWPC} 2008, May 7-9, 2008, Santorini, Greece, Proceedings}, pages = {175--179}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISWPC.2008.4556191}, doi = {10.1109/ISWPC.2008.4556191}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iswpc/Kang0KKYW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswpc/YooCKK0SSJ08, author = {Seongeun Yoo and Pohkit Chong and Taehong Kim and Jonggu Kang and Daeyoung Kim and Changsub Shin and Kyungbok Sung and Byungtae Jang}, title = {{PGS:} Parking Guidance System based on wireless sensor network}, booktitle = {Third International Symposium on Wireless Pervasive Computing, {ISWPC} 2008, May 7-9, 2008, Santorini, Greece, Proceedings}, pages = {218--222}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISWPC.2008.4556200}, doi = {10.1109/ISWPC.2008.4556200}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iswpc/YooCKK0SSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ParkXSYSCMJLLKCO07, author = {Jong{-}Hyun Park and Cheng{-}Ji Xian and Nak{-}Jin Seong and Soon{-}Gil Yoon and Seung{-}Hyun Son and Hyung{-}Mi Chung and Jin{-}Suck Moon and Hyun{-}Joo Jin and Seung{-}Eun Lee and Jeong{-}Won Lee and Hyung{-}Dong Kang and Yeoul{-}Kyo Chung and Yong{-}Soo Oh}, title = {Development of embedded capacitor with bismuth-based pyrochlore thin films at low temperatures for printed circuit board applications}, journal = {Microelectron. Reliab.}, volume = {47}, number = {4-5}, pages = {755--758}, year = {2007}, url = {https://doi.org/10.1016/j.microrel.2007.01.038}, doi = {10.1016/J.MICROREL.2007.01.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ParkXSYSCMJLLKCO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimLKLLKK07, author = {Ji Hyun Kim and Junki Lee and Seong{-}Beom Koh and Sang{-}Ahm Lee and Jong{-}Min Lee and Sun I. Kim and Joong Koo Kang}, title = {Regional grey matter abnormalities in juvenile myoclonic epilepsy: {A} voxel-based morphometry study}, journal = {NeuroImage}, volume = {37}, number = {4}, pages = {1132--1137}, year = {2007}, url = {https://doi.org/10.1016/j.neuroimage.2007.06.025}, doi = {10.1016/J.NEUROIMAGE.2007.06.025}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/KimLKLLKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KangCCLLL07, author = {Sang Hyuk Kang and Sujeong Choi and Seong Jong Choi and Gwangsoon Lee and Jaeug Lew and Jun Lee}, title = {Scheduling Data Broadcast Based on Multi-Frequency in Mobile Interactive Broadcasting}, journal = {{IEEE} Trans. Broadcast.}, volume = {53}, number = {1}, pages = {405--411}, year = {2007}, url = {https://doi.org/10.1109/TBC.2006.889095}, doi = {10.1109/TBC.2006.889095}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/KangCCLLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KangEKKASK07, author = {Byoung{-}Doo Kang and Jae{-}Seong Eom and Jong{-}Ho Kim and Chulsoo Kim and Sang{-}Ho Ahn and Bum Joo Shin and Sang{-}Kyoon Kim}, editor = {Julie A. Jacko}, title = {Human Motion Modeling Using Multivision}, booktitle = {Human-Computer Interaction. {HCI} Intelligent Multimodal Interaction Environments, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4552}, pages = {659--668}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73110-8\_72}, doi = {10.1007/978-3-540-73110-8\_72}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/KangEKKASK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimKEKASK07, author = {Jong{-}Ho Kim and Byoung{-}Doo Kang and Jae{-}Seong Eom and Chulsoo Kim and Sang{-}Ho Ahn and Bum Joo Shin and Sang{-}Kyoon Kim}, editor = {Julie A. Jacko}, title = {Real-Time Face Tracking System Using Adaptive Face Detector and Kalman Filter}, booktitle = {Human-Computer Interaction. {HCI} Intelligent Multimodal Interaction Environments, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4552}, pages = {669--678}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73110-8\_73}, doi = {10.1007/978-3-540-73110-8\_73}, timestamp = {Mon, 30 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimKEKASK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChoiKRKK07, author = {Jinwon Choi and Noh{-}Gyoung Kang and Jong{-}Min Ra and Jun{-}Sung Kang and Seong{-}Cheol Kim}, title = {Effect of Metal Door on Indoor Radio Channel}, booktitle = {Proceedings of the {IEEE} 18th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September 2007, Athens, Greece}, pages = {1--5}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/PIMRC.2007.4394075}, doi = {10.1109/PIMRC.2007.4394075}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChoiKRKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/KangLKKSPK06, author = {Byoung{-}Doo Kang and Jae Won Lee and Jong{-}Ho Kim and O{-}Hwa Kwon and Chi{-}Young Seong and Se{-}Myung Park and Sang{-}Kyoon Kim}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {A Mutated Intrusion Detection System Using Principal Component Analysis and Time Delay Neural Network}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3973}, pages = {246--254}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760191\_36}, doi = {10.1007/11760191\_36}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/isnn/KangLKKSPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/KimLKKSKP06, author = {Jong{-}Ho Kim and Jae Won Lee and Byoung{-}Doo Kang and O{-}Hwa Kwon and Chi{-}Young Seong and Sang{-}Kyoon Kim and Se{-}Myung Park}, editor = {Jun Wang and Zhang Yi and Jacek M. Zurada and Bao{-}Liang Lu and Hujun Yin}, title = {Hierarchical Classification of Object Images Using Neural Networks}, booktitle = {Advances in Neural Networks - {ISNN} 2006, Third International Symposium on Neural Networks, Chengdu, China, May 28 - June 1, 2006, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3972}, pages = {322--330}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11760023\_47}, doi = {10.1007/11760023\_47}, timestamp = {Mon, 19 Aug 2024 08:36:56 +0200}, biburl = {https://dblp.org/rec/conf/isnn/KimLKKSKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ImSKKHL06, author = {SeokJin Im and MoonBae Song and Jongwan Kim and Sang{-}Won Kang and Chong{-}Sun Hwang and SeongHoon Lee}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {Cell-Based Distributed Index for Range Query Processing in Wireless Data Broadcast Systems}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4251}, pages = {1139--1146}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892960\_137}, doi = {10.1007/11892960\_137}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/ImSKKHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KangIKLH06, author = {Sang{-}Won Kang and SeokJin Im and Jongwan Kim and SeongHoon Lee and Chong{-}Sun Hwang}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {Considering a Semantic Prefetching Scheme for Cache Management in Location-Based Services}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4251}, pages = {1155--1162}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892960\_139}, doi = {10.1007/11892960\_139}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KangIKLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimIKLH06, author = {Jongwan Kim and SeokJin Im and Sang{-}Won Kang and SeongHoon Lee and Chong{-}Sun Hwang}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {{MBR} Compression in Spatial Databases Using Semi-Approximation Scheme}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4251}, pages = {1124--1130}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892960\_135}, doi = {10.1007/11892960\_135}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimIKLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/KangKSK06, author = {Byung{-}Du Kang and Jong{-}Ho Kim and Chi{-}Young Seong and Sang{-}Kyun Kim}, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {Effective Face Detection Using a Small Quantity of Training Data}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, pages = {553--562}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534\_55}, doi = {10.1007/11949534\_55}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/psivt/KangKSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/SeongKKK06, author = {Chi{-}Young Seong and Byung{-}Du Kang and Jong{-}Ho Kim and Sang{-}Kyun Kim}, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {Effective Detector and Kalman Filter Based Robust Face Tracking System}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, pages = {453--462}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534\_45}, doi = {10.1007/11949534\_45}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/psivt/SeongKKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/HanCYKJRKKLSLSBLKK05, author = {Tack{-}Don Han and Cheolho Cheong and Hyung{-}Min Yoon and Jong{-}Young Kim and Seong{-}Hun Jeong and Young{-}Seung Ryu and Bum{-}Seok Kang and Hyun{-}Kyung Kim and Seok{-}Won Lee and Vason P. Srini and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Town Life}, booktitle = {Third {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {SEUS} 2005, Seattle, WA, USA, May 16-17, 2005}, pages = {45--49}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/SEUS.2005.10}, doi = {10.1109/SEUS.2005.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seus/HanCYKJRKKLSLSBLKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip6-8/NaCCKLKK04, author = {Jongkeun Na and Jaehyuk Choi and Seongho Cho and Chongkwon Kim and Sungjin Lee and Hyunjeong Kang and Changhoi Koo}, editor = {Ignas G. Niemegeers and Sonia M. Heemstra de Groot}, title = {A Unified Route Optimization Scheme for Network Mobility}, booktitle = {Personal Wireless Communications, {IFIP} {TC6} 9th International Conference, {PWC} 2004, Delft, The Netherlands, September 21-23, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3260}, pages = {29--38}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30199-8\_4}, doi = {10.1007/978-3-540-30199-8\_4}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip6-8/NaCCKLKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wstfeus/HanCAKYLSLYJCLSBLSKK04, author = {Tack{-}Don Han and Cheolho Cheong and Jae{-}Won Ahn and Jong{-}Young Kim and Hyung{-}Min Yoon and Chang{-}Su Lee and Hyon{-}Gu Shin and Young{-}Jin Lee and Hyoung{-}Min Yook and Myoung{-}Hoon Jeon and Jung Soo Choi and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and Eun{-}Dong Shin and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Campus Life}, booktitle = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, pages = {8--13}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WSTFES.2004.1300406}, doi = {10.1109/WSTFES.2004.1300406}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wstfeus/HanCAKYLSLYJCLSBLSKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dis/ParkPKC03, author = {Jonghyun Park and Soon{-}Young Park and Seong{-}Jun Kang and Wan Hyun Cho}, editor = {Gunter Grieser and Yuzuru Tanaka and Akihiro Yamamoto}, title = {Content-Based Scene Change Detection of Video Sequence Using Hierarchical Hidden Markov Model}, booktitle = {Discovery Science, 6th International Conference, {DS} 2003, Sapporo, Japan, October 17-19,2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2843}, pages = {426--433}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39644-4\_42}, doi = {10.1007/978-3-540-39644-4\_42}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/dis/ParkPKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YoonKKKKL03, author = {Seong{-}Sik Yoon and Sungchul Kang and Seung{-}Jong Kim and Young{-}Hwan Kim and Munsang Kim and Chong{-}Won Lee}, title = {Safe arm with MR-based passive compliant joints and visco-elastic covering for service robot applications}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {2191--2196}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1249196}, doi = {10.1109/IROS.2003.1249196}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/YoonKKKKL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cata/ParkPBKC02, author = {Jonghyun Park and Soon{-}Young Park and Man{-}Won Bang and Seong{-}Jun Kang and Wan Hyun Cho}, editor = {Rex E. Gantenbein and Sung Y. Shin}, title = {Shot Boundary Detection of Video Sequence using Hierarchical Hidden Markov Models}, booktitle = {Proceedings of the {ISCA} 17th International Conference Computers and Their Applications, April 4-6, 2002, Canterbury Hotel, San Francisco, California, {USA}}, pages = {471--474}, publisher = {{ISCA}}, year = {2002}, timestamp = {Mon, 09 Aug 2021 16:27:38 +0200}, biburl = {https://dblp.org/rec/conf/cata/ParkPBKC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/RaKKYKPKKKJC0M01, author = {Jong Beom Ra and Sungmin Kwon and Jin Kook Kim and Jaeyoun Yi and Keun Ho Kim and Hyun Wook Park and Ki{-}Uk Kyung and Dong{-}Soo Kwon and Heung Sik Kang and Lei Jiang and Kevin Robert Cleary and Jianchao Zeng and Seong Ki Mun}, editor = {Seong Ki Mun}, title = {Visually guided spine biopsy simulator with force feedback}, booktitle = {Medical Imaging 2001: Visualization, Display, and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2001}, series = {{SPIE} Proceedings}, volume = {4319}, publisher = {{SPIE}}, year = {2001}, url = {https://doi.org/10.1117/12.428072}, doi = {10.1117/12.428072}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/RaKKYKPKKKJC0M01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KyungPHSKLCMKKPK97, author = {Chong{-}Min Kyung and In{-}Cheol Park and Se{-}Kyoung Hong and K. S. Seong and B. S. Kong and Seungjong Lee and Hoon Choi and S. R. Maeng and D. T. Kim and Jong{-}Sun Kim and S. H. Park and Y. J. Kang}, title = {{HK386:} an x86-compatible 32-bit {CISC} microprocessor}, booktitle = {Proceedings of the {ASP-DAC} '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}, pages = {661--662}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ASPDAC.1997.600351}, doi = {10.1109/ASPDAC.1997.600351}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KyungPHSKLCMKKPK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKKLK95, author = {Seung{-}Bae Lee and Lag{-}Yong Kim and Min{-}Seong Kim and Jong{-}Seok Lee and Shin{-}Wook Kang}, title = {Minimum duration constrained non-keyword modeling and rejection for word spotting}, booktitle = {Fourth European Conference on Speech Communication and Technology, {EUROSPEECH} 1995, Madrid, Spain, September 18-21, 1995}, pages = {2111--2114}, publisher = {{ISCA}}, year = {1995}, url = {https://doi.org/10.21437/Eurospeech.1995-505}, doi = {10.21437/EUROSPEECH.1995-505}, timestamp = {Sat, 01 Jul 2023 23:51:24 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKKLK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KangKLK94, author = {Hyun{-}Soo Kang and Jong{-}Hun Kim and Jung{-}Hee Lee and Seong{-}Dae Kim}, title = {3-D band limitation by motion adaptive spatial filtering}, booktitle = {Proceedings of {ICASSP} '94: {IEEE} International Conference on Acoustics, Speech and Signal Processing, Adelaide, South Australia, Australia, April 19-22, 1994}, pages = {513--516}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICASSP.1994.389383}, doi = {10.1109/ICASSP.1994.389383}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/KangKLK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.