Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "Yao-Wen Wei"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChangLJHJG24, author = {Lei Chang and Yaoyu Lei and Peng Jiang and Wei Hu and Wen Jiang and Steven Shichang Gao}, title = {An Ultrawideband Phased Array Based on Exponential Curve Modified Dipoles}, journal = {{IEEE} Access}, volume = {12}, pages = {26529--26535}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3362672}, doi = {10.1109/ACCESS.2024.3362672}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChangLJHJG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinHCSYCWL24, author = {Hsin{-}Cheng Lin and Wei{-}Teng Hsu and Tsai{-}Yu Chung and He{-}Wen Shen and Ching{-}Wang Yao and Tao Chou and Li{-}Kai Wang and Chee Wee Liu}, title = {{RF} Performance Benchmark of Nanosheets, Nanowires, FinFETs, and TreeFETs}, journal = {{IEEE} Access}, volume = {12}, pages = {70512--70518}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3400673}, doi = {10.1109/ACCESS.2024.3400673}, timestamp = {Sun, 02 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinHCSYCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/XiangPYLZ24, author = {Zixue Xiang and Wei Peng and Wen Yao and Xu Liu and Xiaoya Zhang}, title = {Solving spatiotemporal partial differential equations with Physics-informed Graph Neural Network}, journal = {Appl. Soft Comput.}, volume = {155}, pages = {111437}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2024.111437}, doi = {10.1016/J.ASOC.2024.111437}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/XiangPYLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/MaYQWZLLMW24, author = {Andong Ma and Xinran Yan and Yaoming Qu and Haitao Wen and Xia Zou and Xinzi Liu and Mingjun Lu and Jianhua Mo and Zhibo Wen}, title = {Amide proton transfer weighted and diffusion weighted imaging based radiomics classification algorithm for predicting 1p/19q co-deletion status in low grade gliomas}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {85}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01262-z}, doi = {10.1186/S12880-024-01262-Z}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/MaYQWZLLMW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/YanYSHYZGYYZ24, author = {Shuai Yan and Xiaochuang Yao and Jialin Sun and Weiming Huang and Longshan Yang and Chao Zhang and Bingbo Gao and Jianyu Yang and Wenju Yun and Dehai Zhu}, title = {TSANet: {A} deep learning framework for the delineation of agricultural fields utilizing satellite image time series}, journal = {Comput. Electron. Agric.}, volume = {220}, pages = {108902}, year = {2024}, url = {https://doi.org/10.1016/j.compag.2024.108902}, doi = {10.1016/J.COMPAG.2024.108902}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/YanYSHYZGYYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/YuanLZZLLWCCZY24, author = {Jie Yuan and Xu Li and Meng Zhou and Hengbiao Zheng and Zhitao Liu and Yang Liu and Ming Wen and Tao Cheng and Weixing Cao and Yan Zhu and Xia Yao}, title = {Rapidly count crop seedling emergence based on waveform Method(WM) using drone imagery at the early stage}, journal = {Comput. Electron. Agric.}, volume = {220}, pages = {108867}, year = {2024}, url = {https://doi.org/10.1016/j.compag.2024.108867}, doi = {10.1016/J.COMPAG.2024.108867}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/YuanLZZLLWCCZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/YaoBDHWKZGT24, author = {Zhiping Yao and Jiang Bi and Wei Deng and Wenlin He and Zihan Wang and Xu Kuang and Mi Zhou and Qinquan Gao and Tong Tong}, title = {DEUNet: Dual-encoder UNet for simultaneous denoising and reconstruction of single {HDR} image}, journal = {Comput. Graph.}, volume = {119}, pages = {103882}, year = {2024}, url = {https://doi.org/10.1016/j.cag.2024.01.009}, doi = {10.1016/J.CAG.2024.01.009}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/YaoBDHWKZGT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LuoYY24, author = {Wei Luo and Haiming Yao and Wenyong Yu}, title = {Template-based Feature Aggregation Network for industrial anomaly detection}, journal = {Eng. Appl. Artif. Intell.}, volume = {131}, pages = {107810}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107810}, doi = {10.1016/J.ENGAPPAI.2023.107810}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/LuoYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YaoYW24, author = {Zhenyin Yao and Wenzhong Yang and Fuyuan Wei}, title = {Enhancing Zero-Shot Stance Detection with Contrastive and Prompt Learning}, journal = {Entropy}, volume = {26}, number = {4}, pages = {325}, year = {2024}, url = {https://doi.org/10.3390/e26040325}, doi = {10.3390/E26040325}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/YaoYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HuLZWGJW24, author = {Kai Hu and Yaogen Li and Shuai Zhang and Jiasheng Wu and Sheng Gong and Shanshan Jiang and Liguo Weng}, title = {FedMMD: {A} Federated weighting algorithm considering Non-IID and Local Model Deviation}, journal = {Expert Syst. Appl.}, volume = {237}, number = {Part {A}}, pages = {121463}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.121463}, doi = {10.1016/J.ESWA.2023.121463}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/HuLZWGJW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WuXZWZ24, author = {Gengkun Wu and Jie Xu and Yi{-}Dan Zhang and Bi{-}Yao Wen and Beiping Zhang}, title = {Weighted feature fusion of dual attention convolutional neural network and transformer encoder module for ocean HABs classification}, journal = {Expert Syst. Appl.}, volume = {243}, pages = {122879}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122879}, doi = {10.1016/J.ESWA.2023.122879}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WuXZWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/HeJJCLYZ24, author = {Defen He and Qian Jiang and Xin Jin and Zien Cheng and Shuai Liu and Shaowen Yao and Wei Zhou}, title = {MCDC-Net: Multi-scale forgery image detection network based on central difference convolution}, journal = {{IET} Image Process.}, volume = {18}, number = {1}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1049/ipr2.12928}, doi = {10.1049/IPR2.12928}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/HeJJCLYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24, author = {Wei{-}Wen Chen and Ling Kuo and Yi{-}Xun Lin and Wen{-}Chung Yu and Chien{-}Chao Tseng and Yenn{-}Jiang Lin and Ching{-}Chun Huang and Shih{-}Lin Chang and Jacky Chung{-}Hao Wu and Chun{-}Ku Chen and Ching{-}Yao Weng and Siwa Chan and Wei{-}Wen Lin and Yu{-}Cheng Hsieh and Ming{-}Chih Lin and Yun{-}Ching Fu and Tsung Chen and Shih{-}Ann Chen and Henry Horng{-}Shing Lu}, title = {A Deep Learning Approach to Classify Fabry Cardiomyopathy from Hypertrophic Cardiomyopathy Using Cine Imaging on Cardiac Magnetic Resonance}, journal = {Int. J. Biomed. Imaging}, volume = {2024}, pages = {6114826:1--6114826:9}, year = {2024}, url = {https://doi.org/10.1155/2024/6114826}, doi = {10.1155/2024/6114826}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/MaLLWHZ24, author = {Wei Ma and Yao Li and Shiyong Lan and Wenwu Wang and Weikang Huang and Wujiang Zhu}, title = {Semantic-aware normalizing flow with feature fusion for image anomaly detection}, journal = {Neurocomputing}, volume = {590}, pages = {127728}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2024.127728}, doi = {10.1016/J.NEUCOM.2024.127728}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/MaLLWHZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijscn/LiuCLWLWDDWDH24, author = {Wen Liu and Wei Cai and Lizhe Liu and Dongdong Wang and Wenliang Lin and Ke Wang and Zhongliang Deng and Yaohua Deng and Da Wan and Zewen Dong and Junhe Hu}, title = {A high-efficiency frequency synchronization scheme for low Earth orbit satellite communications based on dynamic game theory}, journal = {Int. J. Satell. Commun. Netw.}, volume = {42}, number = {2}, pages = {97--122}, year = {2024}, url = {https://doi.org/10.1002/sat.1502}, doi = {10.1002/SAT.1502}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijscn/LiuCLWLWDDWDH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/XuSSPHLLYLD24, author = {Wenzheng Xu and Heng Shao and Qunli Shen and Jian Peng and Wen Huang and Weifa Liang and Tang Liu and Xin{-}Wei Yao and Tao Lin and Sajal K. Das}, title = {Collect Spatiotemporally Correlated Data in IoT Networks With an Energy-Constrained {UAV}}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {11}, pages = {20486--20498}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3370295}, doi = {10.1109/JIOT.2024.3370295}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/XuSSPHLLYLD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/WeiYZZR24, author = {Qi Wei and Xiaolin Yao and Wenxin Zhang and Ruiyue Zhang and Yonggong Ren}, title = {On-line exploration of rectangular cellular environments with a rectangular hole}, journal = {Inf. Process. Lett.}, volume = {185}, pages = {106470}, year = {2024}, url = {https://doi.org/10.1016/j.ipl.2023.106470}, doi = {10.1016/J.IPL.2023.106470}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipl/WeiYZZR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/ShiCWTY24, author = {Yafei Shi and Qi Cheng and Yantao Wei and Mingwen Tong and Huang Yao}, title = {Understanding the effect of video conferencing learning environments on students' engagement: The role of basic psychological needs}, journal = {J. Comput. Assist. Learn.}, volume = {40}, number = {1}, pages = {288--305}, year = {2024}, url = {https://doi.org/10.1111/jcal.12880}, doi = {10.1111/JCAL.12880}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcal/ShiCWTY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/YangFZSZY24, author = {Wei Yang and Yushan Fang and Xiaoming Zhou and Yijia Shen and Wenjie Zhang and Yu Yao}, title = {Networked Industrial Control Device Asset Identification Method Based on Improved Decision Tree}, journal = {J. Netw. Syst. Manag.}, volume = {32}, number = {2}, pages = {32}, year = {2024}, url = {https://doi.org/10.1007/s10922-024-09805-z}, doi = {10.1007/S10922-024-09805-Z}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnsm/YangFZSZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/AnTSLWCWWYC24, author = {Wenbin An and Feng Tian and Wenkai Shi and Haonan Lin and Yaqiang Wu and Mingxiang Cai and Luyan Wang and Hua Wen and Lei Yao and Ping Chen}, title = {{DOWN:} Dynamic Order Weighted Network for Fine-grained Category Discovery}, journal = {Knowl. Based Syst.}, volume = {293}, pages = {111666}, year = {2024}, url = {https://doi.org/10.1016/j.knosys.2024.111666}, doi = {10.1016/J.KNOSYS.2024.111666}, timestamp = {Sat, 18 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/AnTSLWCWWYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/ChenYCSXDL24, author = {Renyi Chen and Huaxiong Yao and Wenjing Chen and Hao Sun and Wei Xie and Le Dong and Xiaoqiang Lu}, title = {Prototype-Based Pseudo-Label Refinement for Semi-Supervised Hyperspectral Image Classification}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {21}, pages = {1--5}, year = {2024}, url = {https://doi.org/10.1109/LGRS.2024.3385282}, doi = {10.1109/LGRS.2024.3385282}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/ChenYCSXDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/DaiDZHLWJZZXL24, author = {Jingjing Dai and Guoya Dong and Chulong Zhang and Wenfeng He and Lin Liu and Tangsheng Wang and Yuming Jiang and Wei Zhao and Xiang Zhao and Yaoqin Xie and Xiaokun Liang}, title = {Volumetric tumor tracking from a single cone-beam X-ray projection image enabled by deep learning}, journal = {Medical Image Anal.}, volume = {91}, pages = {102998}, year = {2024}, url = {https://doi.org/10.1016/j.media.2023.102998}, doi = {10.1016/J.MEDIA.2023.102998}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/DaiDZHLWJZZXL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/HuSJYTCZL24, author = {Chengyin Hu and Weiwen Shi and Tingsong Jiang and Wen Yao and Ling Tian and Xiaoqian Chen and Jingzhi Zhou and Wen Li}, title = {Adversarial infrared blocks: {A} multi-view black-box attack to thermal infrared detectors in physical world}, journal = {Neural Networks}, volume = {175}, pages = {106310}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2024.106310}, doi = {10.1016/J.NEUNET.2024.106310}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/HuSJYTCZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XuSZYZSZLL24, author = {Wenting Xu and Qian Shen and Bo Zhang and Yue Yao and Yuting Zhou and Jiarui Shi and Zhijun Zhang and Liwei Li and Junsheng Li}, title = {Remote Sensing Identification and Spatiotemporal Change Analysis of Cladophora with Different Morphologies}, journal = {Remote. Sens.}, volume = {16}, number = {3}, pages = {602}, year = {2024}, url = {https://doi.org/10.3390/rs16030602}, doi = {10.3390/RS16030602}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/XuSZYZSZLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YuZWXPLL24, author = {Wenping Yu and Wei Zhou and Ting Wang and Jieyun Xiao and Yao Peng and Haoran Li and Yuechen Li}, title = {Significant Improvement in Soil Organic Carbon Estimation Using Data-Driven Machine Learning Based on Habitat Patches}, journal = {Remote. Sens.}, volume = {16}, number = {4}, pages = {688}, year = {2024}, url = {https://doi.org/10.3390/rs16040688}, doi = {10.3390/RS16040688}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YuZWXPLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RuanZYZMYTWFW24, author = {Chuanjing Ruan and Wei Zhuang and Jiamin Yao and Yang Zhao and Zenghan Ma and Cong Yi and Qin Tian and Shuqing Wu and Fang Fang and Yinghong Wen}, title = {A Transportable Atomic Gravimeter with Constraint-Structured Active Vibration Isolation}, journal = {Sensors}, volume = {24}, number = {8}, pages = {2395}, year = {2024}, url = {https://doi.org/10.3390/s24082395}, doi = {10.3390/S24082395}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RuanZYZMYTWFW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/YaoYLQLZ24, author = {Haiming Yao and Wenyong Yu and Wei Luo and Zhenfeng Qiang and Donghao Luo and Xiaotian Zhang}, title = {Learning Global-Local Correspondence With Semantic Bottleneck for Logical Anomaly Detection}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {5}, pages = {3589--3605}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2023.3314801}, doi = {10.1109/TCSVT.2023.3314801}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/YaoYLQLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/LiuWYP24, author = {Shulei Liu and Handing Wang and Wen Yao and Wei Peng}, title = {Surrogate-Assisted Environmental Selection for Fast Hypervolume-Based Many-Objective Optimization}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {28}, number = {1}, pages = {132--146}, year = {2024}, url = {https://doi.org/10.1109/TEVC.2023.3243632}, doi = {10.1109/TEVC.2023.3243632}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tec/LiuWYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/ZhangDYHDJ24, author = {Wanting Zhang and Wei Du and Guo Yu and Renchu He and Wenli Du and Yaochu Jin}, title = {Knowledge-Assisted Dual-Stage Evolutionary Optimization of Large-Scale Crude Oil Scheduling}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {8}, number = {2}, pages = {1567--1581}, year = {2024}, url = {https://doi.org/10.1109/TETCI.2024.3353590}, doi = {10.1109/TETCI.2024.3353590}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/ZhangDYHDJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/DuYPZX24, author = {Zheng Du and Yibin Yao and Wenjie Peng and Qingzhi Zhao and Chaoqian Xu}, title = {Real-Time Retrieval of All-Weather Weighted Mean Temperature From FengYun-4A Observations}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--11}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3382036}, doi = {10.1109/TGRS.2024.3382036}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/DuYPZX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/WeiCLWDWYWF24, author = {Zhihao Wei and Yaokui Cui and Sien Li and Xuhui Wang and Jinwei Dong and Lifeng Wu and Zhaoyuan Yao and Shangjin Wang and Wenjie Fan}, title = {A Novel Two-Step Framework for Mapping Fraction of Mulched Film Based on Very-High-Resolution Satellite Observation and Deep Learning}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--14}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3382352}, doi = {10.1109/TGRS.2024.3382352}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/WeiCLWDWYWF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZhuTQMTY24, author = {Kunzhi Zhu and Zhaosheng Teng and Wei Qiu and Alessandro Mingotti and Qiu Tang and Wenxuan Yao}, title = {Aiming to Complex Power Quality Disturbances: {A} Novel Decomposition and Detection Framework}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {3}, pages = {4317--4326}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3321024}, doi = {10.1109/TII.2023.3321024}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/ZhuTQMTY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HouWXXZHHW24, author = {Yaochun Hou and Yuxuan Wang and Tian Xiang and Jianghui Xie and Tao Jun Zhao and Weiting He and Wenjun Huang and Dazhuan Wu}, title = {An Efficient Deconvolution Method for Automatic Detection of Bearing Localized Defect Based on Bayesian Optimization}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--15}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3378260}, doi = {10.1109/TIM.2024.3378260}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HouWXXZHHW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/YaoLLYZQS24, author = {Haiming Yao and Wei Luo and Jianan Lou and Wenyong Yu and Xiaotian Zhang and Zhenfeng Qiang and Hui Shi}, title = {Scalable Industrial Visual Anomaly Detection With Partial Semantics Aggregation Vision Transformer}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--17}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3343832}, doi = {10.1109/TIM.2023.3343832}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/YaoLLYZQS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/LiaoXLRWZ24, author = {Kang Liao and Xiangyu Xu and Chunyu Lin and Wenqi Ren and Yunchao Wei and Yao Zhao}, title = {Cylin-Painting: Seamless 360{\textdegree} Panoramic Image Outpainting and Beyond}, journal = {{IEEE} Trans. Image Process.}, volume = {33}, pages = {382--394}, year = {2024}, url = {https://doi.org/10.1109/TIP.2023.3342570}, doi = {10.1109/TIP.2023.3342570}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/LiaoXLRWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkdd/LiZYLLL24, author = {Xuefei Li and Huiwei Zhou and Weihong Yao and Wenchu Li and Baojie Liu and Yingyu Lin}, title = {Intricate Spatiotemporal Dependency Learning for Temporal Knowledge Graph Reasoning}, journal = {{ACM} Trans. Knowl. Discov. Data}, volume = {18}, number = {6}, pages = {136:1--136:19}, year = {2024}, url = {https://doi.org/10.1145/3648366}, doi = {10.1145/3648366}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkdd/LiZYLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/KongZQYS24, author = {Xiangjie Kong and Wenyi Zhang and Youyang Qu and Xin{-}Wei Yao and Guojiang Shen}, title = {FedAWR: An Interactive Federated Active Learning Framework for Air Writing Recognition}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {23}, number = {5}, pages = {6423--6436}, year = {2024}, url = {https://doi.org/10.1109/TMC.2023.3320147}, doi = {10.1109/TMC.2023.3320147}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/KongZQYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ZhuWZSZWC24, author = {Peipei Zhu and Xiao Wang and Lin Zhu and Zhenglong Sun and Wei{-}Shi Zheng and Yaowei Wang and Changwen Chen}, title = {Prompt-Based Learning for Unpaired Image Captioning}, journal = {{IEEE} Trans. Multim.}, volume = {26}, pages = {379--393}, year = {2024}, url = {https://doi.org/10.1109/TMM.2023.3265842}, doi = {10.1109/TMM.2023.3265842}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/ZhuWZSZWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/XinLJLXLTZ24, author = {Yao Xin and Wenjun Li and Chengjun Jia and Xianfeng Li and Yang Xu and Bin Liu and Zhihong Tian and Weizhe Zhang}, title = {Recursive Multi-Tree Construction With Efficient Rule Sifting for Packet Classification on {FPGA}}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {32}, number = {2}, pages = {1707--1722}, year = {2024}, url = {https://doi.org/10.1109/TNET.2023.3330381}, doi = {10.1109/TNET.2023.3330381}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/XinLJLXLTZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/XueFACJYW24, author = {Xizhen Xue and Jiakun Fang and Xiaomeng Ai and Shichang Cui and Yazhou Jiang and Wei Yao and Jinyu Wen}, title = {A Fully Distributed {ADP} Algorithm for Real-Time Economic Dispatch of Microgrid}, journal = {{IEEE} Trans. Smart Grid}, volume = {15}, number = {1}, pages = {513--528}, year = {2024}, url = {https://doi.org/10.1109/TSG.2023.3273418}, doi = {10.1109/TSG.2023.3273418}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/XueFACJYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/WangFZZWJR24, author = {Yao Wang and Lunke Fei and Shuping Zhao and Qi Zhu and Jie Wen and Wei Jia and Imad Rida}, title = {Dense Hybrid Attention Network for Palmprint Image Super-Resolution}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {54}, number = {4}, pages = {2590--2602}, year = {2024}, url = {https://doi.org/10.1109/TSMC.2023.3344607}, doi = {10.1109/TSMC.2023.3344607}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/WangFZZWJR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuCSSFPWSKZWY24, author = {Wenrui Liu and Jiafeng Cheng and Nengyuan Sun and Heng Sha and Zunxian Fu and Zhaokang Peng and Chunyang Wang and Caiban Sun and Pengliang Kong and Yunfeng Zhao and Yaoqiang Wang and Weize Yu}, title = {A 128-Gbps Pipelined {SM4} Circuit With Dual {DPA} Attack Countermeasures}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {32}, number = {6}, pages = {1164--1168}, year = {2024}, url = {https://doi.org/10.1109/TVLSI.2024.3379205}, doi = {10.1109/TVLSI.2024.3379205}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuCSSFPWSKZWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChangWP24, author = {Ying{-}Ying Chang and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {SeGA: Preference-Aware Self-Contrastive Learning with Prompts for Anomalous User Detection on Twitter}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {30--37}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i1.27752}, doi = {10.1609/AAAI.V38I1.27752}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChangWP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LinCWWP24, author = {Cheng{-}Ming Lin and Ching Chang and Wei{-}Yao Wang and Kuang{-}Da Wang and Wen{-}Chih Peng}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Root Cause Analysis in Microservice Using Neural Granger Causal Discovery}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {206--213}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i1.27772}, doi = {10.1609/AAAI.V38I1.27772}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LinCWWP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/SongYPZWY24, author = {Junru Song and Yang Yang and Wei Peng and Weien Zhou and Feifei Wang and Wen Yao}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {MorphVAE: Advancing Morphological Design of Voxel-Based Soft Robots with Variational Autoencoders}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {10368--10376}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i9.28904}, doi = {10.1609/AAAI.V38I9.28904}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/SongYPZWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangCLWP24, author = {Kuang{-}Da Wang and Yu{-}Tse Chen and Yu{-}Heng Lin and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {The CoachAI Badminton Environment: Bridging the Gap between a Reinforcement Learning Environment and Real-World Badminton Games}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {23844--23846}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i21.30584}, doi = {10.1609/AAAI.V38I21.30584}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangCLWP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangWCLP24, author = {Kuang{-}Da Wang and Wei{-}Yao Wang and Yu{-}Tse Chen and Yu{-}Heng Lin and Wen{-}Chih Peng}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {The CoachAI Badminton Environment: {A} Novel Reinforcement Learning Environment with Realistic Opponents (Student Abstract)}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {23679--23681}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i21.30523}, doi = {10.1609/AAAI.V38I21.30523}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangWCLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangYLQWD24, author = {Yuhang Zhang and Yue Yao and Xuannan Liu and Lixiong Qin and Wenjing Wang and Weihong Deng}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Open-Set Facial Expression Recognition}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {646--654}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i1.27821}, doi = {10.1609/AAAI.V38I1.27821}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangYLQWD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/ZhangCYWTZ24, author = {Bowen Zhang and Wei Chen and Peisen Yao and Chengpeng Wang and Wensheng Tang and Charles Zhang}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {{SIRO:} Empowering Version Compatibility in Intermediate Representations via Program Synthesis}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {882--899}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620666.3651366}, doi = {10.1145/3620666.3651366}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/ZhangCYWTZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChenLS0YL24, author = {Chaoran Chen and Weijun Li and Wenxin Song and Yanfang Ye and Yaxing Yao and Toby Jia{-}Jun Li}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {An Empathy-Based Sandbox Approach to Bridge the Privacy Gap among Attitudes, Goals, Knowledge, and Behaviors}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {234:1--234:28}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642363}, doi = {10.1145/3613904.3642363}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChenLS0YL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/LiZYLLD24, author = {Xuefei Li and Huiwei Zhou and Weihong Yao and Wenchu Li and Yingyu Lin and Lei Du}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Sequential and Repetitive Pattern Learning for Temporal Knowledge Graph Reasoning}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {14744--14754}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.1284}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/LiZYLLD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/WangCP24, author = {Wei{-}Yao Wang and Yu{-}Chieh Chang and Wen{-}Chih Peng}, editor = {Yvette Graham and Matthew Purver}, title = {Style-News: Incorporating Stylized News Generation and Adversarial Verification for Neural Fake News Detection}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024 - Volume 1: Long Papers, St. Julian's, Malta, March 17-22, 2024}, pages = {1531--1541}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-long.92}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/WangCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/ZhangXWZGLODPXZ24, author = {Weidong Zhang and Erci Xu and Qiuping Wang and Xiaolu Zhang and Yuesheng Gu and Zhenwei Lu and Tao Ouyang and Guanqun Dai and Wenwen Peng and Zhe Xu and Shuo Zhang and Dong Wu and Yilei Peng and Tianyun Wang and Haoran Zhang and Jiasheng Wang and Wenyuan Yan and Yuanyuan Dong and Wenhui Yao and Zhongjie Wu and Lingjun Zhu and Chao Shi and Yinhu Wang and Rong Liu and Junping Wu and Jiaji Zhu and Jiesheng Wu}, editor = {Xiaosong Ma and Youjip Won}, title = {What's the Story in {EBS} Glory: Evolutions and Lessons in Building Cloud Block Store}, booktitle = {22nd {USENIX} Conference on File and Storage Technologies, {FAST} 2024, Santa Clara, CA, USA, February 27-29, 2024}, pages = {277--291}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/fast24/presentation/zhang-weidong}, timestamp = {Tue, 05 Mar 2024 15:23:16 +0100}, biburl = {https://dblp.org/rec/conf/fast/ZhangXWZGLODPXZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LuHHHL24, author = {Wen{-}Ting Lu and Cheng{-}Hung Huang and Chi{-}Huang Hung and Yao{-}Yun Hsiao and Xuan{-}Wei Liu}, title = {Design and Implementation of a Virtual Assistant by Using 3D Modeling Techniques}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444182}, doi = {10.1109/ICCE59016.2024.10444182}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/LuHHHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/TsengCJ24, author = {Wei{-}Hsiang Tseng and Yao{-}Wen Chang and Jie{-}Hong Roland Jiang}, editor = {Iris Hui{-}Ru Jiang and Gracieli Posser}, title = {Satisfiability Modulo Theories-Based Qubit Mapping for Trapped-Ion Quantum Computing Systems}, booktitle = {Proceedings of the 2024 International Symposium on Physical Design, {ISPD} 2024, Taipei, Taiwan, March 12-15, 2024}, pages = {245--253}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626184.3633329}, doi = {10.1145/3626184.3633329}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/TsengCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhaoSGLLJ24, author = {Linran Zhao and Wei Shi and Yan Gong and Xiang Liu and Wen Li and Yaoyao Jia}, title = {33.9 {A} Miniature Neural Interface Implant with a 95{\%} Charging Efficiency Optical Stimulator and an 81.9dB {SNDR} {\(\Delta\)}{\(\Sigma\)}M-Based Recording Frontend}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {558--560}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454382}, doi = {10.1109/ISSCC49657.2024.10454382}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ZhaoSGLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/LiWDP24, author = {Chih{-}Chia Li and Wei{-}Yao Wang and Wei{-}Wei Du and Wen{-}Chih Peng}, editor = {De{-}Nian Yang and Xing Xie and Vincent S. Tseng and Jian Pei and Jen{-}Wei Huang and Jerry Chun{-}Wei Lin}, title = {Look Around! {A} Neighbor Relation Graph Learning Framework for Real Estate Appraisal}, booktitle = {Advances in Knowledge Discovery and Data Mining - 28th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2024, Taipei, Taiwan, May 7-10, 2024, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14648}, pages = {3--16}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-2238-9\_1}, doi = {10.1007/978-981-97-2238-9\_1}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/LiWDP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/LuCSCLLT24, author = {Shao{-}Jung Lu and Wei{-}Xun Chen and Yu{-}Shao Su and Yu{-}Shou Chang and Yao{-}Wen Liu and Chi{-}Yu Li and Guan{-}Hua Tu}, title = {Practical Latency-Aware Scheduling for Low-Latency Elephant {VR} Flows in Wi-Fi Networks}, booktitle = {{IEEE} International Conference on Pervasive Computing and Communications, PerCom 2024, Biarritz, France, March 11-15, 2024}, pages = {57--68}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/PerCom59722.2024.10494496}, doi = {10.1109/PERCOM59722.2024.10494496}, timestamp = {Sat, 20 Apr 2024 12:53:29 +0200}, biburl = {https://dblp.org/rec/conf/percom/LuCSCLLT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/BaoBBDFLLLLLOTW24, author = {Xianchun Bao and Zian Bao and Bie Binbin and Qingsong Duan and Wenfei Fan and Hui Lei and Daji Li and Wei Lin and Peng Liu and Zhicong Lv and Mingliang Ouyang and Shuai Tang and Yaoshu Wang and Qiyuan Wei and Min Xie and Jing Zhang and Xin Zhang and Runxiao Zhao and Shuping Zhou}, editor = {Pablo Barcel{\'{o}} and Nayat S{\'{a}}nchez Pi and Alexandra Meliou and S. Sudarshan}, title = {Rock: Cleaning Data by Embedding {ML} in Logic Rules}, booktitle = {Companion of the 2024 International Conference on Management of Data, {SIGMOD/PODS} 2024, Santiago AA, Chile, June 9-15, 2024}, pages = {106--119}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626246.3653372}, doi = {10.1145/3626246.3653372}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/BaoBBDFLLLLLOTW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/YueLLGYL24, author = {Linan Yue and Qi Liu and Ye Liu and Weibo Gao and Fangzhou Yao and Wenfeng Li}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Ravi Kumar and Hady W. Lauw and Roy Ka{-}Wei Lee}, title = {Cooperative Classification and Rationalization for Graph Generalization}, booktitle = {Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, May 13-17, 2024}, pages = {344--352}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589334.3645332}, doi = {10.1145/3589334.3645332}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/YueLLGYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01070, author = {Wei Du and Wenxuan Fang and Chen Liang and Yang Tang and Yaochu Jin}, title = {A Novel Dual-Stage Evolutionary Algorithm for Finding Robust Solutions}, journal = {CoRR}, volume = {abs/2401.01070}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01070}, doi = {10.48550/ARXIV.2401.01070}, eprinttype = {arXiv}, eprint = {2401.01070}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-01575, author = {Xuannan Liu and Yaoyao Zhong and Weihong Deng and Hongzhi Shi and Xingchen Cui and Yunfeng Yin and Dongchao Wen}, title = {Enhancing Generalization of Invisible Facial Privacy Cloak via Gradient Accumulation}, journal = {CoRR}, volume = {abs/2401.01575}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.01575}, doi = {10.48550/ARXIV.2401.01575}, eprinttype = {arXiv}, eprint = {2401.01575}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-01575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-03804, author = {Zihan Wang and Xinzhang Liu and Shixuan Liu and Yitong Yao and Yuyao Huang and Zhongjiang He and Xuelong Li and Yongxiang Li and Zhonghao Che and Zhaoxi Zhang and Yan Wang and Xin Wang and Luwen Pu and Huihan Xu and Ruiyu Fang and Yu Zhao and Jie Zhang and Xiaomeng Huang and Zhilong Lu and Jiaxin Peng and Wenjun Zheng and Shiquan Wang and Bingkai Yang and Xuewei He and Zhuoru Jiang and Qiyi Xie and Yanhan Zhang and Zhongqiu Li and Lingling Shi and Weiwei Fu and Yin Zhang and Zilu Huang and Sishi Xiong and Yuxiang Zhang and Chao Wang and Shuangyong Song}, title = {TeleChat Technical Report}, journal = {CoRR}, volume = {abs/2401.03804}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.03804}, doi = {10.48550/ARXIV.2401.03804}, eprinttype = {arXiv}, eprint = {2401.03804}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-03804.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05561, author = {Lichao Sun and Yue Huang and Haoran Wang and Siyuan Wu and Qihui Zhang and Chujie Gao and Yixin Huang and Wenhan Lyu and Yixuan Zhang and Xiner Li and Zhengliang Liu and Yixin Liu and Yijue Wang and Zhikun Zhang and Bhavya Kailkhura and Caiming Xiong and Chaowei Xiao and Chunyuan Li and Eric P. Xing and Furong Huang and Hao Liu and Heng Ji and Hongyi Wang and Huan Zhang and Huaxiu Yao and Manolis Kellis and Marinka Zitnik and Meng Jiang and Mohit Bansal and James Zou and Jian Pei and Jian Liu and Jianfeng Gao and Jiawei Han and Jieyu Zhao and Jiliang Tang and Jindong Wang and John Mitchell and Kai Shu and Kaidi Xu and Kai{-}Wei Chang and Lifang He and Lifu Huang and Michael Backes and Neil Zhenqiang Gong and Philip S. Yu and Pin{-}Yu Chen and Quanquan Gu and Ran Xu and Rex Ying and Shuiwang Ji and Suman Jana and Tianlong Chen and Tianming Liu and Tianyi Zhou and William Wang and Xiang Li and Xiangliang Zhang and Xiao Wang and Xing Xie and Xun Chen and Xuyu Wang and Yan Liu and Yanfang Ye and Yinzhi Cao and Yue Zhao}, title = {TrustLLM: Trustworthiness in Large Language Models}, journal = {CoRR}, volume = {abs/2401.05561}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05561}, doi = {10.48550/ARXIV.2401.05561}, eprinttype = {arXiv}, eprint = {2401.05561}, timestamp = {Sun, 02 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05561.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-10274, author = {Wanting Zhang and Wei Du and Guo Yu and Renchu He and Wenli Du and Yaochu Jin}, title = {Knowledge-Assisted Dual-Stage Evolutionary Optimization of Large-Scale Crude Oil Scheduling}, journal = {CoRR}, volume = {abs/2401.10274}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.10274}, doi = {10.48550/ARXIV.2401.10274}, eprinttype = {arXiv}, eprint = {2401.10274}, timestamp = {Sat, 30 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-10274.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12507, author = {Yuhang Zhang and Yue Yao and Xuannan Liu and Lixiong Qin and Wenjing Wang and Weihong Deng}, title = {Open-Set Facial Expression Recognition}, journal = {CoRR}, volume = {abs/2401.12507}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12507}, doi = {10.48550/ARXIV.2401.12507}, eprinttype = {arXiv}, eprint = {2401.12507}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15509, author = {Wei{-}Yao Wang and Yu{-}Chieh Chang and Wen{-}Chih Peng}, title = {Style-News: Incorporating Stylized News Generation and Adversarial Verification for Neural Fake News Detection}, journal = {CoRR}, volume = {abs/2401.15509}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15509}, doi = {10.48550/ARXIV.2401.15509}, eprinttype = {arXiv}, eprint = {2401.15509}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15509.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01140, author = {Cheng{-}Ming Lin and Ching Chang and Wei{-}Yao Wang and Kuang{-}Da Wang and Wen{-}Chih Peng}, title = {Root Cause Analysis In Microservice Using Neural Granger Causal Discovery}, journal = {CoRR}, volume = {abs/2402.01140}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01140}, doi = {10.48550/ARXIV.2402.01140}, eprinttype = {arXiv}, eprint = {2402.01140}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01204, author = {Wei{-}Yao Wang and Wei{-}Wei Du and Derek Xu and Wei Wang and Wen{-}Chih Peng}, title = {A Survey on Self-Supervised Learning for Non-Sequential Tabular Data}, journal = {CoRR}, volume = {abs/2402.01204}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01204}, doi = {10.48550/ARXIV.2402.01204}, eprinttype = {arXiv}, eprint = {2402.01204}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-06841, author = {Shaojie Tang and Penpen Miao and Xingyu Gao and Yu Zhong and Dantong Zhu and Haixing Wen and Zhihui Xu and Qiuyue Wei and Hongping Yao and Xin Huang and Rui Gao and Chen Zhao and Weihua Zhou}, title = {Point cloud-based registration and image fusion between cardiac {SPECT} {MPI} and {CTA}}, journal = {CoRR}, volume = {abs/2402.06841}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.06841}, doi = {10.48550/ARXIV.2402.06841}, eprinttype = {arXiv}, eprint = {2402.06841}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-06841.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14600, author = {Wenxuan Fang and Wei Du and Renchu He and Yang Tang and Yaochu Jin and Gary G. Yen}, title = {Diffusion Model-Based Multiobjective Optimization for Gasoline Blending Scheduling}, journal = {CoRR}, volume = {abs/2402.14600}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14600}, doi = {10.48550/ARXIV.2402.14600}, eprinttype = {arXiv}, eprint = {2402.14600}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14600.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14623, author = {Junting Chen and Yao Mu and Qiaojun Yu and Tianming Wei and Silang Wu and Zhecheng Yuan and Zhixuan Liang and Chao Yang and Kaipeng Zhang and Wenqi Shao and Yu Qiao and Huazhe Xu and Mingyu Ding and Ping Luo}, title = {RoboScript: Code Generation for Free-Form Manipulation Tasks across Real and Simulation}, journal = {CoRR}, volume = {abs/2402.14623}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14623}, doi = {10.48550/ARXIV.2402.14623}, eprinttype = {arXiv}, eprint = {2402.14623}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14623.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14650, author = {Kai Cheng and Xiaoxiao Long and Kaizhi Yang and Yao Yao and Wei Yin and Yuexin Ma and Wenping Wang and Xuejin Chen}, title = {GaussianPro: 3D Gaussian Splatting with Progressive Propagation}, journal = {CoRR}, volume = {abs/2402.14650}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14650}, doi = {10.48550/ARXIV.2402.14650}, eprinttype = {arXiv}, eprint = {2402.14650}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15043, author = {Zhuohao Yu and Chang Gao and Wenjin Yao and Yidong Wang and Wei Ye and Jindong Wang and Xing Xie and Yue Zhang and Shikun Zhang}, title = {KIEval: {A} Knowledge-grounded Interactive Evaluation Framework for Large Language Models}, journal = {CoRR}, volume = {abs/2402.15043}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15043}, doi = {10.48550/ARXIV.2402.15043}, eprinttype = {arXiv}, eprint = {2402.15043}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15043.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15972, author = {Ruijin Sun and Yao Wen and Nan Cheng and Wei Wan and Rong Chai and Yilong Hui}, title = {Structural Knowledge-Driven Meta-Learning for Task Offloading in Vehicular Networks with Integrated Communications, Sensing and Computing}, journal = {CoRR}, volume = {abs/2402.15972}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15972}, doi = {10.48550/ARXIV.2402.15972}, eprinttype = {arXiv}, eprint = {2402.15972}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16449, author = {Yu Zhang and Guangyao Tian and Long Wen and Xiangtong Yao and Liding Zhang and Zhenshan Bing and Wei He and Alois Knoll}, title = {Online Efficient Safety-Critical Control for Mobile Robots in Unknown Dynamic Multi-Obstacle Environments}, journal = {CoRR}, volume = {abs/2402.16449}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16449}, doi = {10.48550/ARXIV.2402.16449}, eprinttype = {arXiv}, eprint = {2402.16449}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18946, author = {Yu Zhang and Long Wen and Xiangtong Yao and Zhenshan Bing and Linghuan Kong and Wei He and Alois Knoll}, title = {Real-Time Adaptive Safety-Critical Control with Gaussian Processes in High-Order Uncertain Models}, journal = {CoRR}, volume = {abs/2402.18946}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18946}, doi = {10.48550/ARXIV.2402.18946}, eprinttype = {arXiv}, eprint = {2402.18946}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18946.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00628, author = {Yuxi Liu and Wenhan Yang and Huihui Bai and Yunchao Wei and Yao Zhao}, title = {Region-Adaptive Transform with Segmentation Prior for Image Compression}, journal = {CoRR}, volume = {abs/2403.00628}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00628}, doi = {10.48550/ARXIV.2403.00628}, eprinttype = {arXiv}, eprint = {2403.00628}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00628.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05606, author = {Yifan Wu and Yang Liu and Yue Yang and Michael S. Yao and Wenli Yang and Xuehui Shi and Lihong Yang and Dongjun Li and Yueming Liu and James C. Gee and Xuan Yang and Wenbin Wei and Shi Gu}, title = {A Concept-based Interpretable Model for the Diagnosis of Choroid Neoplasias using Multimodal Data}, journal = {CoRR}, volume = {abs/2403.05606}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05606}, doi = {10.48550/ARXIV.2403.05606}, eprinttype = {arXiv}, eprint = {2403.05606}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05606.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06239, author = {Linan Yue and Qi Liu and Ye Liu and Weibo Gao and Fangzhou Yao and Wenfeng Li}, title = {Cooperative Classification and Rationalization for Graph Generalization}, journal = {CoRR}, volume = {abs/2403.06239}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06239}, doi = {10.48550/ARXIV.2403.06239}, eprinttype = {arXiv}, eprint = {2403.06239}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-11627, author = {Yang Yang and Wen Wang and Liang Peng and Chaotian Song and Yao Chen and Hengjia Li and Xiaolong Yang and Qinglin Lu and Deng Cai and Boxi Wu and Wei Liu}, title = {LoRA-Composer: Leveraging Low-Rank Adaptation for Multi-Concept Customization in Training-Free Diffusion Models}, journal = {CoRR}, volume = {abs/2403.11627}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.11627}, doi = {10.48550/ARXIV.2403.11627}, eprinttype = {arXiv}, eprint = {2403.11627}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-11627.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12406, author = {Kuang{-}Da Wang and Wei{-}Yao Wang and Ping{-}Chun Hsieh and Wen{-}Chih Peng}, title = {Offline Imitation of Badminton Player Behavior via Experiential Contexts and Brownian Motion}, journal = {CoRR}, volume = {abs/2403.12406}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12406}, doi = {10.48550/ARXIV.2403.12406}, eprinttype = {arXiv}, eprint = {2403.12406}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12406.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-19438, author = {Binyuan Huang and Yuqing Wen and Yucheng Zhao and Yaosi Hu and Yingfei Liu and Fan Jia and Weixin Mao and Tiancai Wang and Chi Zhang and Chang Wen Chen and Zhenzhong Chen and Xiangyu Zhang}, title = {SubjectDrive: Scaling Generative Data in Autonomous Driving via Subject Control}, journal = {CoRR}, volume = {abs/2403.19438}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.19438}, doi = {10.48550/ARXIV.2403.19438}, eprinttype = {arXiv}, eprint = {2403.19438}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-19438.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01268, author = {Weixin Liang and Yaohui Zhang and Zhengxuan Wu and Haley Lepp and Wenlong Ji and Xuandong Zhao and Hancheng Cao and Sheng Liu and Siyu He and Zhi Huang and Diyi Yang and Christopher Potts and Christopher D. Manning and James Y. Zou}, title = {Mapping the Increasing Use of LLMs in Scientific Papers}, journal = {CoRR}, volume = {abs/2404.01268}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01268}, doi = {10.48550/ARXIV.2404.01268}, eprinttype = {arXiv}, eprint = {2404.01268}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01268.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-06003, author = {Zhuohao Yu and Chang Gao and Wenjin Yao and Yidong Wang and Zhengran Zeng and Wei Ye and Jindong Wang and Yue Zhang and Shikun Zhang}, title = {FreeEval: {A} Modular Framework for Trustworthy and Efficient Evaluation of Large Language Models}, journal = {CoRR}, volume = {abs/2404.06003}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.06003}, doi = {10.48550/ARXIV.2404.06003}, eprinttype = {arXiv}, eprint = {2404.06003}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-06003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09516, author = {Xiao Wang and Shiao Wang and Yuhe Ding and Yuehang Li and Wentao Wu and Yao Rong and Weizhe Kong and Ju Huang and Shihao Li and Haoxiang Yang and Ziwen Wang and Bo Jiang and Chenglong Li and Yaowei Wang and Yonghong Tian and Jin Tang}, title = {State Space Model for New-Generation Network Alternative to Transformers: {A} Survey}, journal = {CoRR}, volume = {abs/2404.09516}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09516}, doi = {10.48550/ARXIV.2404.09516}, eprinttype = {arXiv}, eprint = {2404.09516}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11313, author = {Xin Li and Kun Yuan and Yajing Pei and Yiting Lu and Ming Sun and Chao Zhou and Zhibo Chen and Radu Timofte and Wei Sun and Haoning Wu and Zicheng Zhang and Jun Jia and Zhichao Zhang and Linhan Cao and Qiubo Chen and Xiongkuo Min and Weisi Lin and Guangtao Zhai and Jian Sun and Tianyi Wang and Lei Li and Han Kong and Wenxuan Wang and Bing Li and Cheng Luo and Haiqiang Wang and Xiangguang Chen and Wenhui Meng and Xiang Pan and Huiying Shi and Han Zhu and Xiaozhong Xu and Lei Sun and Zhenzhong Chen and Shan Liu and Fangyuan Kong and Haotian Fan and Yifang Xu and Haoran Xu and Mengduo Yang and Jie Zhou and Jiaze Li and Shijie Wen and Mai Xu and Da Li and Shunyu Yao and Jiazhi Du and Wangmeng Zuo and Zhibo Li and Shuai He and Anlong Ming and Huiyuan Fu and Huadong Ma and Yong Wu and Fie Xue and Guozhi Zhao and Lina Du and Jie Guo and Yu Zhang and Huimin Zheng and Junhao Chen and Yue Liu and Dulan Zhou and Kele Xu and Qisheng Xu and Tao Sun and Zhixiang Ding and Yuhang Hu}, title = {{NTIRE} 2024 Challenge on Short-form {UGC} Video Quality Assessment: Methods and Results}, journal = {CoRR}, volume = {abs/2404.11313}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11313}, doi = {10.48550/ARXIV.2404.11313}, eprinttype = {arXiv}, eprint = {2404.11313}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11313.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13534, author = {Zhilin Huang and Yijie Yu and Ling Yang and Chujun Qin and Bing Zheng and Xiawu Zheng and Zikun Zhou and Yaowei Wang and Wenming Yang}, title = {Motion-aware Latent Diffusion Models for Video Frame Interpolation}, journal = {CoRR}, volume = {abs/2404.13534}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13534}, doi = {10.48550/ARXIV.2404.13534}, eprinttype = {arXiv}, eprint = {2404.13534}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16687, author = {Xiaohong Liu and Xiongkuo Min and Guangtao Zhai and Chunyi Li and Tengchuan Kou and Wei Sun and Haoning Wu and Yixuan Gao and Yuqin Cao and Zicheng Zhang and Xiele Wu and Radu Timofte and Fei Peng and Huiyuan Fu and Anlong Ming and Chuanming Wang and Huadong Ma and Shuai He and Zifei Dou and Shu Chen and Huacong Zhang and Haiyi Xie and Chengwei Wang and Baoying Chen and Jishen Zeng and Jianquan Yang and Weigang Wang and Xi Fang and Xiaoxin Lv and Jun Yan and Tianwu Zhi and Yabin Zhang and Yaohui Li and Yang Li and Jingwen Xu and Jianzhao Liu and Yiting Liao and Junlin Li and Zihao Yu and Yiting Lu and Xin Li and Hossein Motamednia and S. Farhad Hosseini{-}Benvidi and Fengbin Guan and Ahmad Mahmoudi{-}Aznaveh and Azadeh Mansouri and Ganzorig Gankhuyag and Kihwan Yoon and Yifang Xu and Haotian Fan and Fangyuan Kong and Shiling Zhao and Weifeng Dong and Haibing Yin and Li Zhu and Zhiling Wang and Bingchen Huang and Avinab Saha and Sandeep Mishra and Shashank Gupta and Rajesh Sureddi and Oindrila Saha and Luigi Celona and Simone Bianco and Paolo Napoletano and Raimondo Schettini and Junfeng Yang and Jing Fu and Wei Zhang and Wenzhi Cao and Limei Liu and Han Peng and Weijun Yuan and Zhan Li and Yihang Cheng and Yifan Deng and Haohui Li and Bowen Qu and Yao Li and Shuqing Luo and Shunzhou Wang and Wei Gao and Zihao Lu and Marcos V. Conde and Xinrui Wang and Zhibo Chen and Ruling Liao and Yan Ye and Qiulin Wang and Bing Li and Zhaokun Zhou and Miao Geng and Rui Chen and Xin Tao and Xiaoyu Liang and Shangkun Sun and Xingyuan Ma and Jiaze Li and Mengduo Yang and Haoran Xu}, title = {{NTIRE} 2024 Quality Assessment of AI-Generated Content Challenge}, journal = {CoRR}, volume = {abs/2404.16687}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16687}, doi = {10.48550/ARXIV.2404.16687}, eprinttype = {arXiv}, eprint = {2404.16687}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16866, author = {Chaohao Yuan and Songyou Li and Geyan Ye and Yikun Zhang and Long{-}Kai Huang and Wenbing Huang and Wei Liu and Jianhua Yao and Yu Rong}, title = {Functional Protein Design with Local Domain Alignment}, journal = {CoRR}, volume = {abs/2404.16866}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16866}, doi = {10.48550/ARXIV.2404.16866}, eprinttype = {arXiv}, eprint = {2404.16866}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuLHCXFCCCGLZ23, author = {Wenjun Liu and Shuangyin Liu and Shahbaz Gul Hassan and Yingying Cao and Longqin Xu and Dachun Feng and Liang Cao and Weijun Chen and Yaocong Chen and Jianjun Guo and Tonglai Liu and Hang Zhang}, title = {A Novel Hybrid Model to Predict Dissolved Oxygen for Efficient Water Quality in Intensive Aquaculture}, journal = {{IEEE} Access}, volume = {11}, pages = {29162--29174}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3260089}, doi = {10.1109/ACCESS.2023.3260089}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiuLHCXFCCCGLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/HouWPHHWW23, author = {Yaochun Hou and Yuxuan Wang and Yiran Pan and Weiting He and Wenjun Huang and Peng Wu and Dazhuan Wu}, title = {Vibration-based incipient surge detection and diagnosis of the centrifugal compressor using adaptive feature fusion and sparse ensemble learning approach}, journal = {Adv. Eng. Informatics}, volume = {56}, pages = {101947}, year = {2023}, url = {https://doi.org/10.1016/j.aei.2023.101947}, doi = {10.1016/J.AEI.2023.101947}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/HouWPHHWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/ZhangWGLGZLJLFHSKLLZQZ23, author = {Ming Zhang and Weicheng Wu and Taiyang Guan and Ziyu Lin and Fusheng Guo and Xiaoting Zhou and Yixuan Liu and Jingheng Jiang and Jie Li and Xiao Fu and Yecheng He and Yifei Song and Xinxin Ke and Yuan Li and Wenjing Li and Cuimin Zhou and Yaozu Qin and Minqiang Zhu}, title = {Impact of geological background on city development}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {118}, pages = {103243}, year = {2023}, url = {https://doi.org/10.1016/j.jag.2023.103243}, doi = {10.1016/J.JAG.2023.103243}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/ZhangWGLGZLJLFHSKLLZQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ChenZGSWWS23, author = {Yaosen Chen and Renshuang Zhou and Bing Guo and Yan Shen and Wei Wang and Xuming Wen and Xinhua Suo}, title = {Discrete cosine transform for filter pruning}, journal = {Appl. Intell.}, volume = {53}, number = {3}, pages = {3398--3414}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-03604-2}, doi = {10.1007/S10489-022-03604-2}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/ChenZGSWWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/WangZWYWWSZTZN23, author = {Weixu Wang and Xiaolan Zhou and Jing Wang and Jun Yao and Haimei Wen and Yi Wang and Mingwan Sun and Chao Zhang and Wei Tao and Jiahua Zou and Ting Ni}, title = {Approximate estimation of cell-type resolution transcriptome in bulk tissue through matrix completion}, journal = {Briefings Bioinform.}, volume = {24}, number = {5}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad273}, doi = {10.1093/BIB/BBAD273}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/WangZWYWWSZTZN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/BaiYWYW23, author = {Dengxuan Bai and Wenpo Yao and Shuwang Wang and Wei Yan and Jun Wang}, title = {Recurrence network analysis of schizophrenia {MEG} under different stimulation states}, journal = {Biomed. Signal Process. Control.}, volume = {80}, number = {Part}, pages = {104310}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2022.104310}, doi = {10.1016/J.BSPC.2022.104310}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/BaiYWYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/LiangWFZYY23, author = {Wenqi Liang and Fanjie Wang and Ao Fan and Wenrui Zhao and Wei Yao and Pengfei Yang}, title = {Deep-learning model for the prediction of lower-limb joint moments using single inertial measurement unit during different locomotive activities}, journal = {Biomed. Signal Process. Control.}, volume = {86}, number = {Part {C}}, pages = {105372}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.105372}, doi = {10.1016/J.BSPC.2023.105372}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/LiangWFZYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ZhangJWCWTYY23, author = {Yumeng Zhang and Lei Ji and Huakai Wen and Yuwen Chu and Xingwei Wang and Geng Tian and Yuhua Yao and Jialiang Yang}, title = {Pan-cancer analyses reveal the stratification of patient prognosis by viral composition in tumor tissues}, journal = {Comput. Biol. Medicine}, volume = {167}, pages = {107586}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107586}, doi = {10.1016/J.COMPBIOMED.2023.107586}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ZhangJWCWTYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/PanWZZZGYCZCT23, author = {Yuanyuan Pan and Wenxuan Wu and Jiawen Zhang and Yuejiao Zhao and Jiayi Zhang and Yangyang Gu and Xia Yao and Tao Cheng and Yan Zhu and Weixing Cao and Yongchao Tian}, title = {Estimating leaf nitrogen and chlorophyll content in wheat by correcting canopy structure effect through multi-angular remote sensing}, journal = {Comput. Electron. Agric.}, volume = {208}, pages = {107769}, year = {2023}, url = {https://doi.org/10.1016/j.compag.2023.107769}, doi = {10.1016/J.COMPAG.2023.107769}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/PanWZZZGYCZCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ChenGZZY23, author = {Xiaoqian Chen and Zhiqiang Gong and Xiaoyu Zhao and Weien Zhou and Wen Yao}, title = {A machine learning surrogate modeling benchmark for temperature field reconstruction of heat source systems}, journal = {Sci. China Inf. Sci.}, volume = {66}, number = {5}, year = {2023}, url = {https://doi.org/10.1007/s11432-021-3645-4}, doi = {10.1007/S11432-021-3645-4}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ChenGZZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compnet/LiWXZ23, author = {Mu{-}Yao Li and Li Wang and Wen{-}Jie Xie and Wei{-}Xing Zhou}, title = {Quantifying the temporal stability of international fertilizer trade networks}, journal = {J. Complex Networks}, volume = {11}, number = {5}, year = {2023}, url = {https://doi.org/10.1093/comnet/cnad037}, doi = {10.1093/COMNET/CNAD037}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/compnet/LiWXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/JinJWLJYZ23, author = {Xin Jin and Xin Jin and Ruxin Wang and Shin{-}Jye Lee and Qian Jiang and Shaowen Yao and Wei Zhou}, title = {Adversarial attacks on multi-focus image fusion models}, journal = {Comput. Secur.}, volume = {134}, pages = {103455}, year = {2023}, url = {https://doi.org/10.1016/j.cose.2023.103455}, doi = {10.1016/J.COSE.2023.103455}, timestamp = {Sun, 18 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/compsec/JinJWLJYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/YaoYWWJLLC23, author = {Jiangyuan Yao and Weiping Yang and Shuhua Weng and Minrui Wang and Zheng Jiang and Deshun Li and Yahui Li and Xingcan Cao}, title = {Performance Evaluation of Topologies for Multi-Domain Software-Defined Networking}, journal = {Comput. Syst. Sci. Eng.}, volume = {47}, number = {1}, pages = {741--755}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.031531}, doi = {10.32604/CSSE.2023.031531}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/YaoYWWJLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/BaoBCCD0FHH0L0L23, author = {Zhifeng Bao and Panagiotis Bouros and Reynold Cheng and Byron Choi and Anton Dign{\"{o}}s and Wei Ding and Yixiang Fang and Boyang Han and Jilin Hu and Arijit Khan and Wenqing Lin and Xuemin Lin and Cheng Long and Nikos Mamoulis and Jian Pei and Matthias Renz and Shashi Shekhar and Jieming Shi and Eleni Tzirita Zacharatou and Sibo Wang and Xiao Wang and Xue Wang and Raymond Chi{-}Wing Wong and Da Yan and Xifeng Yan and Bin Yang and Dezhong Yao and Ce Zhang and Peilin Zhao and Rong Zhu}, title = {A Summary of {ICDE} 2022 Research Session Panels}, journal = {{IEEE} Data Eng. Bull.}, volume = {46}, number = {4}, pages = {4--17}, year = {2023}, url = {http://sites.computer.org/debull/A23dec/p4.pdf}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/debu/BaoBCCD0FHH0L0L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dpd/HarnZSWJKSC23, author = {Po{-}Wei Harn and Ji Zhang and Ting Shen and Wenlu Wang and Xunfei Jiang and Wei{-}Shinn Ku and Min{-}Te Sun and Yao{-}Yi Chiang}, title = {Multiple ground/aerial parcel delivery problem: a Weighted Road Network Voronoi Diagram based approach}, journal = {Distributed Parallel Databases}, volume = {41}, number = {4}, pages = {549--569}, year = {2023}, url = {https://doi.org/10.1007/s10619-021-07347-w}, doi = {10.1007/S10619-021-07347-W}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dpd/HarnZSWJKSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/GongZZPY23, author = {Zhiqiang Gong and Weien Zhou and Jun Zhang and Wei Peng and Wen Yao}, title = {Joint deep reversible regression model and physics-informed unsupervised learning for temperature field reconstruction}, journal = {Eng. Appl. Artif. Intell.}, volume = {118}, pages = {105686}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2022.105686}, doi = {10.1016/J.ENGAPPAI.2022.105686}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/GongZZPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/JinZHJWHZY23, author = {Xin Jin and Pingfan Zhang and Youwei He and Qian Jiang and Puming Wang and Jingyu Hou and Wei Zhou and Shaowen Yao}, title = {A theoretical analysis of continuous firing condition for pulse-coupled neural networks with its applications}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, number = {Part {D}}, pages = {107101}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.107101}, doi = {10.1016/J.ENGAPPAI.2023.107101}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/JinZHJWHZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ZhangGZZZY23, author = {Yunyang Zhang and Zhiqiang Gong and Weien Zhou and Xiaoyu Zhao and Xiaohu Zheng and Wen Yao}, title = {Multi-fidelity surrogate modeling for temperature field prediction using deep convolution neural network}, journal = {Eng. Appl. Artif. Intell.}, volume = {123}, number = {Part {B}}, pages = {106354}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106354}, doi = {10.1016/J.ENGAPPAI.2023.106354}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ZhangGZZZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/MengLLZTHLWLCRXY23, author = {De{-}Yao Meng and Tao Li and Hao{-}Xuan Li and Mei Zhang and Kun Tan and Zhi{-}Pang Huang and Na Li and Rong{-}Hai Wu and Xiao{-}Wei Li and Ben{-}Hui Chen and Guopeng Ren and Wen Xiao and Dengqi Yang}, title = {A method for automatic identification and separation of wildlife images using ensemble learning}, journal = {Ecol. Informatics}, volume = {77}, pages = {102262}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102262}, doi = {10.1016/J.ECOINF.2023.102262}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/MengLLZTHLWLCRXY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/WangYBYYW23, author = {Qiong Wang and Wenpo Yao and Dengxuan Bai and Wanyi Yi and Wei Yan and Jun Wang}, title = {Schizophrenia {MEG} Network Analysis Based on Kernel Granger Causality}, journal = {Entropy}, volume = {25}, number = {7}, pages = {1006}, year = {2023}, url = {https://doi.org/10.3390/e25071006}, doi = {10.3390/E25071006}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/WangYBYYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcsc/WenLWYWMWZZ23, author = {Muning Wen and Runji Lin and Hanjing Wang and Yaodong Yang and Ying Wen and Luo Mai and Jun Wang and Hai{-}Feng Zhang and Weinan Zhang}, title = {Large sequence models for sequential decision-making: a survey}, journal = {Frontiers Comput. Sci.}, volume = {17}, number = {6}, pages = {176349}, year = {2023}, url = {https://doi.org/10.1007/s11704-023-2689-5}, doi = {10.1007/S11704-023-2689-5}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fcsc/WenLWYWMWZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/finr/ShiJJWLCLZY23, author = {Shengdong Shi and Qian Jiang and Xin Jin and Weiqiang Wang and Kaihua Liu and Haiyang Chen and Peng Liu and Wei Zhou and Shaowen Yao}, title = {A comparative analysis of near-infrared image colorization methods for low-power {NVIDIA} Jetson embedded systems}, journal = {Frontiers Neurorobotics}, volume = {17}, year = {2023}, url = {https://doi.org/10.3389/fnbot.2023.1143032}, doi = {10.3389/FNBOT.2023.1143032}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/finr/ShiJJWLCLZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/CunAZLCLYLHSWHLZWYTYLGZWL23, author = {Yixian Cun and Sanqi An and Haiqing Zheng and Jing Lan and Wenfang Chen and Wanjun Luo and Chengguo Yao and Xincheng Li and Xiang Huang and Xiang Sun and Zehong Wu and Yameng Hu and Ziwen Li and Shuxia Zhang and Geyan Wu and Meisongzhu Yang and Miaoling Tang and Ruyuan Yu and Xinyi Liao and Guicheng Gao and Wei Zhao and Jinkai Wang and Jun Li}, title = {Specific Regulation of m\({}^{\mbox{6}}\)A by {SRSF7} Promotes the Progression of Glioblastoma}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {4}, pages = {707--728}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2021.11.001}, doi = {10.1016/J.GPB.2021.11.001}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gpb/CunAZLCLYLHSWHLZWYTYLGZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hcisys/YangWWCHLLYWGAK23, author = {Wenli Yang and Yuchen Wei and Hanyu Wei and Yanyu Chen and Guan Huang and Xiang Li and Renjie Li and Naimeng Yao and Xinyi Wang and Xiaotong Gu and Muhammad Bilal Amin and Byeong Kang}, title = {Survey on Explainable {AI:} From Approaches, Limitations and Applications Aspects}, journal = {Hum. Centric Intell. Syst.}, volume = {3}, number = {3}, pages = {161--188}, year = {2023}, url = {https://doi.org/10.1007/s44230-023-00038-y}, doi = {10.1007/S44230-023-00038-Y}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hcisys/YangWWCHLLYWGAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/GongZYZQH23, author = {Zhiqiang Gong and Ping Zhong and Wen Yao and Weien Zhou and Jiahao Qi and Panhe Hu}, title = {A {CNN} with noise inclined module and denoise framework for hyperspectral image classification}, journal = {{IET} Image Process.}, volume = {17}, number = {9}, pages = {2575--2584}, year = {2023}, url = {https://doi.org/10.1049/ipr2.12733}, doi = {10.1049/IPR2.12733}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/GongZYZQH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijautcomp/Kong0C0W23, author = {Linghuan Kong and Wei He and Wenshi Chen and Hui Zhang and Yaonan Wang}, title = {Dynamic Movement Primitives Based Robot Skills Learning}, journal = {Int. J. Autom. Comput.}, volume = {20}, number = {3}, pages = {396--407}, year = {2023}, url = {https://doi.org/10.1007/s11633-022-1346-z}, doi = {10.1007/S11633-022-1346-Z}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijautcomp/Kong0C0W23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijautcomp/MengWLLXZWZWYX23, author = {Linghui Meng and Muning Wen and Chenyang Le and Xiyun Li and Dengpeng Xing and Weinan Zhang and Ying Wen and Haifeng Zhang and Jun Wang and Yaodong Yang and Bo Xu}, title = {Offline Pre-trained Multi-agent Decision Transformer}, journal = {Mach. Intell. Res.}, volume = {20}, number = {2}, pages = {233--248}, year = {2023}, url = {https://doi.org/10.1007/s11633-022-1383-7}, doi = {10.1007/S11633-022-1383-7}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijautcomp/MengWLLXZWZWYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijautcomp/WangCQGWWTG23, author = {Xiao Wang and Guangyao Chen and Guangwu Qian and Pengcheng Gao and Xiao{-}Yong Wei and Yaowei Wang and Yonghong Tian and Wen Gao}, title = {Large-scale Multi-modal Pre-trained Models: {A} Comprehensive Survey}, journal = {Mach. Intell. Res.}, volume = {20}, number = {4}, pages = {447--482}, year = {2023}, url = {https://doi.org/10.1007/s11633-022-1410-8}, doi = {10.1007/S11633-022-1410-8}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijautcomp/WangCQGWWTG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/RenSHLYDL23, author = {Weiwu Ren and Xintong Song and Yu Hong and Ying Lei and Jinyu Yao and Yazhou Du and Wenjuan Li}, title = {{APT} Attack Detection Based on Graph Convolutional Neural Networks}, journal = {Int. J. Comput. Intell. Syst.}, volume = {16}, number = {1}, pages = {184}, year = {2023}, url = {https://doi.org/10.1007/s44196-023-00369-5}, doi = {10.1007/S44196-023-00369-5}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcisys/RenSHLYDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiuYPZ23, author = {Xu Liu and Wen Yao and Wei Peng and Weien Zhou}, title = {Bayesian physics-informed extreme learning machine for forward and inverse {PDE} problems with noisy data}, journal = {Neurocomputing}, volume = {549}, pages = {126425}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.126425}, doi = {10.1016/J.NEUCOM.2023.126425}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiuYPZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/TangJZLYZ23, author = {Guijian Tang and Tingsong Jiang and Weien Zhou and Chao Li and Wen Yao and Yong Zhao}, title = {Adversarial patch attacks against aerial imagery object detectors}, journal = {Neurocomputing}, volume = {537}, pages = {128--140}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.03.050}, doi = {10.1016/J.NEUCOM.2023.03.050}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/TangJZLYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/ChangZCFHW23, author = {Yao Chang and Wei Zhou and Haini Cai and Wei Fan and Linfeng Hu and Junhao Wen}, title = {Meta-relation assisted knowledge-aware coupled graph neural network for recommendation}, journal = {Inf. Process. Manag.}, volume = {60}, number = {3}, pages = {103353}, year = {2023}, url = {https://doi.org/10.1016/j.ipm.2023.103353}, doi = {10.1016/J.IPM.2023.103353}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/ChangZCFHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/WeiXLJLHWZPLWYFYZ23, author = {Lin Wei and Min Xu and Zhiqiang Liu and Chongguo Jiang and Xiaohua Lin and Yaogang Hu and Xiaoming Wen and Rongfeng Zou and Chunwang Peng and Hongrui Lin and Guo Wang and Lijun Yang and Lei Fang and Mingjun Yang and Peiyu Zhang}, title = {Hit Identification Driven by Combining Artificial Intelligence and Computational Chemistry Methods: {A} PI5P4K-{\(\beta\)} Case Study}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {16}, pages = {5341--5355}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c00543}, doi = {10.1021/ACS.JCIM.3C00543}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/WeiXLJLHWZPLWYFYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/ZhouWWWW0000023, author = {Ming Zhou and Ziyu Wan and Hanjing Wang and Muning Wen and Runzhe Wu and Ying Wen and Yaodong Yang and Yong Yu and Jun Wang and Weinan Zhang}, title = {MALib: {A} Parallel Framework for Population-based Multi-agent Reinforcement Learning}, journal = {J. Mach. Learn. Res.}, volume = {24}, pages = {150:1--150:12}, year = {2023}, url = {http://jmlr.org/papers/v24/22-0169.html}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/ZhouWWWW0000023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/YanZFZYY23, author = {Shangqu Yan and Fatong Zhang and Yaowen Fu and Wenpeng Zhang and Wei Yang and Ruofeng Yu}, title = {A Deep Learning-Based Moving Target Detection Method by Combining Spatiotemporal Information for ViSAR}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {20}, pages = {1--5}, year = {2023}, url = {https://doi.org/10.1109/LGRS.2023.3326205}, doi = {10.1109/LGRS.2023.3326205}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/YanZFZYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/XuJYQDXYZNLSZHZ23, author = {Xiaowei Xu and Qianjun Jia and Haiyun Yuan and Hailong Qiu and Yuhao Dong and Wen Xie and Zeyang Yao and Jiawei Zhang and Zhiqaing Nie and Xiaomeng Li and Yiyu Shi and James Y. Zou and Meiping Huang and Jian Zhuang}, title = {A clinically applicable {AI} system for diagnosis of congenital heart diseases based on computed tomography images}, journal = {Medical Image Anal.}, volume = {90}, pages = {102953}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102953}, doi = {10.1016/J.MEDIA.2023.102953}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/XuJYQDXYZNLSZHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LiSMLYWWPY23, author = {Rong Li and Lan Shen and Wenyan Ma and Linfeng Li and Bo Yan and Yuna Wei and Yao Wang and Changqing Pan and Junyi Yuan}, title = {Machine learning-based risk models for procedural complications of radiofrequency ablation for atrial fibrillation}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {23}, number = {1}, pages = {257}, year = {2023}, url = {https://doi.org/10.1186/s12911-023-02347-5}, doi = {10.1186/S12911-023-02347-5}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/LiSMLYWWPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WeiLLYLLLXDL23, author = {Zhiliang Wei and Hongshuai Liu and Zixuan Lin and Minmin Yao and Ruoxuan Li and Chang Liu and Yuguo Li and Jiadi Xu and Wenzhen Duan and Hanzhang Lu}, title = {Non-contrast assessment of blood-brain barrier permeability to water in mice: An arterial spin labeling study at cerebral veins}, journal = {NeuroImage}, volume = {268}, pages = {119870}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.119870}, doi = {10.1016/J.NEUROIMAGE.2023.119870}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WeiLLYLLLXDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmmod/FanHRWWXY23, author = {Wenfei Fan and Ziyan Han and Weilong Ren and Ding Wang and Yaoshu Wang and Min Xie and Mengyi Yan}, title = {Splitting Tuples of Mismatched Entities}, journal = {Proc. {ACM} Manag. Data}, volume = {1}, number = {4}, pages = {269:1--269:29}, year = {2023}, url = {https://doi.org/10.1145/3626763}, doi = {10.1145/3626763}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmmod/FanHRWWXY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenDQHS0TLL23, author = {Yuejuan Chen and Xu Dong and Yaolong Qi and Pingping Huang and Wenqing Sun and Wei Xu and Weixian Tan and Xiujuan Li and Xiaolong Liu}, title = {Integration of DInSAR-PS-Stacking and SBAS-PS-InSAR Methods to Monitor Mining-Related Surface Subsidence}, journal = {Remote. Sens.}, volume = {15}, number = {10}, pages = {2691}, year = {2023}, url = {https://doi.org/10.3390/rs15102691}, doi = {10.3390/RS15102691}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenDQHS0TLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GaoLWHYW23, author = {Tian Gao and Chaozhen Lan and Longhao Wang and Wenjun Huang and Fushan Yao and Zijun Wei}, title = {Leveraging CNNs for Panoramic Image Matching Based on Improved Cube Projection Model}, journal = {Remote. Sens.}, volume = {15}, number = {13}, pages = {3411}, year = {2023}, url = {https://doi.org/10.3390/rs15133411}, doi = {10.3390/RS15133411}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GaoLWHYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PanZZYZFLZ23, author = {Yun Pan and Dong Zheng and Yijun Zhang and Wen Yao and Yang Zhang and Xianggui Fang and Weitao Lyu and Wenjuan Zhang}, title = {Significant Location Accuracy Changes Resulting from Lightning Detection Networks Deployed on Inclined Terrains}, journal = {Remote. Sens.}, volume = {15}, number = {24}, pages = {5733}, year = {2023}, url = {https://doi.org/10.3390/rs15245733}, doi = {10.3390/RS15245733}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/PanZZYZFLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/RenGQMSMLX23, author = {Wenhao Ren and Yanyan Gao and Hui Qian and Yaoming Ma and Zhongbo Su and Weiqiang Ma and Yu Liu and Panpan Xu}, title = {Spatiotemporal Variation Characteristics of Groundwater Storage and Its Driving Factors and Ecological Effects in Tibetan Plateau}, journal = {Remote. Sens.}, volume = {15}, number = {9}, pages = {2418}, year = {2023}, url = {https://doi.org/10.3390/rs15092418}, doi = {10.3390/RS15092418}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/RenGQMSMLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangLSWHSQGYHZLWCLLT23, author = {Wenxin Wang and Jing Liu{-}Zeng and Yanxiu Shao and Zijun Wang and Longfei Han and Xuwen Shen and Kexin Qin and Yunpeng Gao and Wenqian Yao and Guiming Hu and Xianyang Zeng and Xiaoli Liu and Wei Wang and Fengzhen Cui and Zhijun Liu and Jinyang Li and Hongwei Tu}, title = {Mapping of Soil Liquefaction Associated with the 2021 Mw 7.4 Maduo (Madoi) Earthquake Based on the {UAV} Photogrammetry Technology}, journal = {Remote. Sens.}, volume = {15}, number = {4}, pages = {1032}, year = {2023}, url = {https://doi.org/10.3390/rs15041032}, doi = {10.3390/RS15041032}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangLSWHSQGYHZLWCLLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WeiDMLZZYS23, author = {Chong Wei and Xiaohua Dong and Yaoming Ma and Menghui Leng and Wenyi Zhao and Chengyan Zhang and Dan Yu and Bob Su}, title = {Relationships between Landscape Patterns and Hydrological Processes in the Subtropical Monsoon Climate Zone of Southeastern China}, journal = {Remote. Sens.}, volume = {15}, number = {9}, pages = {2290}, year = {2023}, url = {https://doi.org/10.3390/rs15092290}, doi = {10.3390/RS15092290}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WeiDMLZZYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangCBSZQ23, author = {Weiyu Yang and Yaodeng Chen and Wenguang Bai and Xin Sun and Hong Zheng and Luyao Qin}, title = {Evaluation of Temperature and Humidity Profiles Retrieved from Fengyun-4B and Implications for Typhoon Assimilation and Forecasting}, journal = {Remote. Sens.}, volume = {15}, number = {22}, pages = {5339}, year = {2023}, url = {https://doi.org/10.3390/rs15225339}, doi = {10.3390/RS15225339}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YangCBSZQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangLFZYYY23, author = {Fatong Zhang and Chenyang Luo and Yaowen Fu and Wenpeng Zhang and Wei Yang and Ruofeng Yu and Shangqu Yan}, title = {Frequency Domain Imaging Algorithms for Short-Range Synthetic Aperture Radar}, journal = {Remote. Sens.}, volume = {15}, number = {24}, pages = {5684}, year = {2023}, url = {https://doi.org/10.3390/rs15245684}, doi = {10.3390/RS15245684}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangLFZYYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangWSZWYB23, author = {Guojian Zhang and Zhiyang Wang and Wengang Sang and Baoxing Zhou and Zhiwei Wang and Guobiao Yao and Jingxue Bi}, title = {Research on Dynamic Deformation Laws of Super High-Rise Buildings and Visualization Based on {GB-RAR} and LiDAR Technology}, journal = {Remote. Sens.}, volume = {15}, number = {14}, pages = {3651}, year = {2023}, url = {https://doi.org/10.3390/rs15143651}, doi = {10.3390/RS15143651}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangWSZWYB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ZhengYZQZ23, author = {Xiaohu Zheng and Wen Yao and Xiaoya Zhang and Weiqi Qian and Hairui Zhang}, title = {Parameterized coefficient fine-tuning-based polynomial chaos expansion method for sphere-biconic reentry vehicle reliability analysis and design}, journal = {Reliab. Eng. Syst. Saf.}, volume = {240}, pages = {109568}, year = {2023}, url = {https://doi.org/10.1016/j.ress.2023.109568}, doi = {10.1016/J.RESS.2023.109568}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/ZhengYZQZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GuoYWYWL23, author = {Shirong Guo and Jielin Yao and Pingfan Wu and Jianjie Yang and Wenhao Wu and Zhijian Lin}, title = {Blind Detection of Broadband Signal Based on Weighted Bi-Directional Feature Pyramid Network}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1525}, year = {2023}, url = {https://doi.org/10.3390/s23031525}, doi = {10.3390/S23031525}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GuoYWYWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JuDLQSZYGW23, author = {Min Ju and Zhongshang Dou and Jia{-}Wang Li and Xuting Qiu and Binglin Shen and Dawei Zhang and Fang{-}Zhou Yao and Wen Gong and Ke Wang}, title = {Piezoelectric Materials and Sensors for Structural Health Monitoring: Fundamental Aspects, Current Status, and Future Perspectives}, journal = {Sensors}, volume = {23}, number = {1}, pages = {543}, year = {2023}, url = {https://doi.org/10.3390/s23010543}, doi = {10.3390/S23010543}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JuDLQSZYGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiangWFZYY23, author = {Wenqi Liang and Fanjie Wang and Ao Fan and Wenrui Zhao and Wei Yao and Pengfei Yang}, title = {Extended Application of Inertial Measurement Units in Biomechanics: From Activity Recognition to Force Estimation}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4229}, year = {2023}, url = {https://doi.org/10.3390/s23094229}, doi = {10.3390/S23094229}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiangWFZYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCYJHCY23, author = {Yuan{-}Zeng Lin and Chi{-}Wai Chow and Tien{-}Wei Yu and Yin{-}He Jian and Tun{-}Yao Hung and Jian{-}Wen Chen and Chien{-}Hung Yeh}, title = {Flexible Data Rate Allocation Using Non-Orthogonal Multiple Access {(NOMA)} in a Mode Division Multiplexing {(MDM)} Optical Power Splitter for System-on-Chip Networks}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7259}, year = {2023}, url = {https://doi.org/10.3390/s23167259}, doi = {10.3390/S23167259}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinCYJHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaYDJ23, author = {Li Ma and Wenlong Yao and Xinguan Dai and Ronghao Jia}, title = {A New Evidence Weight Combination and Probability Allocation Method in Multi-Sensor Data Fusion}, journal = {Sensors}, volume = {23}, number = {2}, pages = {722}, year = {2023}, url = {https://doi.org/10.3390/s23020722}, doi = {10.3390/S23020722}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MaYDJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WeiYWYLWZLMYZ23, author = {Linyi Wei and Yang Yu and Dongying Wang and Siyu Yao and Ning Li and Junjie Weng and Shumao Zhang and Jianqiao Liang and Hansi Ma and Junbo Yang and Zhenrong Zhang}, title = {Research Progress on Magneto-Refractive Magnetic Field Fiber Sensors}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3391}, year = {2023}, url = {https://doi.org/10.3390/s23073391}, doi = {10.3390/S23073391}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WeiYWYLWZLMYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/JiangLYZ23, author = {Haiyan Jiang and Tiao Lu and Wenqi Yao and Weitong Zhang}, title = {Numerical Study of Transient Wigner-Poisson Model for RTDs: Numerical Method and Its Applications}, journal = {{SIAM} J. Sci. Comput.}, volume = {45}, number = {4}, year = {2023}, url = {https://doi.org/10.1137/22m1502872}, doi = {10.1137/22M1502872}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamsc/JiangLYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ZengYSZAW23, author = {Lingkang Zeng and Wei Yao and Hang Shuai and Yue Zhou and Xiaomeng Ai and Jinyu Wen}, title = {Resilience Assessment for Power Systems Under Sequential Attacks Using Double {DQN} With Improved Prioritized Experience Replay}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {2}, pages = {1865--1876}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3171240}, doi = {10.1109/JSYST.2022.3171240}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ZengYSZAW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/JinDJCDYZ23, author = {Xin Jin and Yide Di and Qian Jiang and Xing Chu and Qing Duan and Shaowen Yao and Wei Zhou}, title = {Image colorization using deep convolutional auto-encoder with multi-skip connections}, journal = {Soft Comput.}, volume = {27}, number = {6}, pages = {3037--3052}, year = {2023}, url = {https://doi.org/10.1007/s00500-022-07483-0}, doi = {10.1007/S00500-022-07483-0}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/JinDJCDYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/GaoLHWWY23, author = {Tian Gao and Chaozhen Lan and Wenjun Huang and Longhao Wang and Zijun Wei and Fushan Yao}, title = {Multiscale Template Matching for Multimodal Remote Sensing Image}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {16}, pages = {10132--10147}, year = {2023}, url = {https://doi.org/10.1109/JSTARS.2023.3326959}, doi = {10.1109/JSTARS.2023.3326959}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/GaoLHWWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/swevo/FanWLZHZBGHJ23, author = {Zhun Fan and Zhaojun Wang and Wenji Li and Xiaomin Zhu and Bingliang Hu and An{-}Min Zou and Weidong Bao and Minqiang Gu and Zhifeng Hao and Yaochu Jin}, title = {Automated pattern generation for swarm robots using constrained multi-objective genetic programming}, journal = {Swarm Evol. Comput.}, volume = {81}, pages = {101337}, year = {2023}, url = {https://doi.org/10.1016/j.swevo.2023.101337}, doi = {10.1016/J.SWEVO.2023.101337}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/swevo/FanWLZHZBGHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LvWWWLJW23, author = {Menghan Lv and Peng Wang and Yaoquan Wei and Chunxue Wen and Jianlin Li and Pengyu Jia and Qingxuan Wei}, title = {An Input-Series-Output-Parallel Cascaded Converter System Applied to {DC} Microgrids}, journal = {Symmetry}, volume = {15}, number = {6}, pages = {1174}, year = {2023}, url = {https://doi.org/10.3390/sym15061174}, doi = {10.3390/SYM15061174}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LvWWWLJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YaoZHLXZXL23, author = {Wenze Yao and Haojie Zhao and Chengyang Hou and Wei Liu and Hongcheng Xu and Xin Zhang and Jing Xiao and Jie Liu}, title = {Efficient Proximity Effect Correction Using Fast Multipole Method With Unequally Spaced Grid for Electron Beam Lithography}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {1}, pages = {218--228}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3171441}, doi = {10.1109/TCAD.2022.3171441}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/YaoZHLXZXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LiLYY23, author = {Wenqu Li and Zhi{-}Wei Liu and Wei Yao and Yaowen Yu}, title = {Multiple Line Outage Detection for Power Systems Based on Binary Matching Pursuit}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {8}, pages = {2999--3003}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3248865}, doi = {10.1109/TCSII.2023.3248865}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LiLYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LiYWTHJZZYL23, author = {Yaolei Li and Jinshan Yue and Jingyu Wang and Chen Tang and Yifan He and Wenbin Jia and Kaiwei Zou and Lu Zhang and Huazhong Yang and Yongpan Liu}, title = {A Weight-Reload-Eliminated Compute-in-Memory Accelerator for 60 fps 4K Super-Resolution}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {3}, pages = {1179--1183}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3221108}, doi = {10.1109/TCSII.2022.3221108}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LiYWTHJZZYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/ZhaoYJHSH23, author = {Weizhong Zhao and Wenjie Yao and Xingpeng Jiang and Tingting He and Chuan Shi and Xiaohua Hu}, title = {An Explainable Framework for Predicting Drug-Side Effect Associations via Meta-Path-Based Feature Learning in Heterogeneous Information Network}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {6}, pages = {3635--3647}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2023.3308094}, doi = {10.1109/TCBB.2023.3308094}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcbb/ZhaoYJHSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ZhangZXXTZ23, author = {Yao Zhang and Haitao Zhao and Wenchao Xia and Wei Xu and Changbing Tang and Hongbo Zhu}, title = {How Much Does Reconfigurable Intelligent Surface Improve Cell-Free Massive {MIMO} Uplink With Hardware Impairments?}, journal = {{IEEE} Trans. Commun.}, volume = {71}, number = {11}, pages = {6677--6694}, year = {2023}, url = {https://doi.org/10.1109/TCOMM.2023.3299970}, doi = {10.1109/TCOMM.2023.3299970}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/ZhangZXXTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/GuanSWWNWC23, author = {Weili Guan and Xuemeng Song and Kejie Wang and Haokun Wen and Hongda Ni and Yaowei Wang and Xiaojun Chang}, title = {Egocentric Early Action Prediction via Multimodal Transformer-Based Dual Action Prediction}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {33}, number = {9}, pages = {4472--4483}, year = {2023}, url = {https://doi.org/10.1109/TCSVT.2023.3248271}, doi = {10.1109/TCSVT.2023.3248271}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/GuanSWWNWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/FuZCWW23, author = {Zhiqiang Fu and Yao Zhao and Dongxia Chang and Yiming Wang and Jie Wen}, title = {Latent Low-Rank Representation With Weighted Distance Penalty for Clustering}, journal = {{IEEE} Trans. Cybern.}, volume = {53}, number = {11}, pages = {6870--6882}, year = {2023}, url = {https://doi.org/10.1109/TCYB.2022.3166545}, doi = {10.1109/TCYB.2022.3166545}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/FuZCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/GaoWWYDYZ23, author = {Song Gao and Ruxin Wang and Xiaoxuan Wang and Shui Yu and Yunyun Dong and Shaowen Yao and Wei Zhou}, title = {Detecting Adversarial Examples on Deep Neural Networks With Mutual Information Neural Estimation}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {20}, number = {6}, pages = {5168--5181}, year = {2023}, url = {https://doi.org/10.1109/TDSC.2023.3241428}, doi = {10.1109/TDSC.2023.3241428}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tdsc/GaoWWYDYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/JiangJCYLZ23, author = {Qian Jiang and Xin Jin and Xiaohui Cui and Shaowen Yao and Keqin Li and Wei Zhou}, title = {A Lightweight Multimode Medical Image Fusion Method Using Similarity Measure Between Intuitionistic Fuzzy Sets Joint Laplacian Pyramid}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {7}, number = {3}, pages = {631--647}, year = {2023}, url = {https://doi.org/10.1109/TETCI.2022.3231657}, doi = {10.1109/TETCI.2022.3231657}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/JiangJCYLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/GaoSLZSZZ23, author = {Zhi Gao and Wenbo Sun and Yao Lu and Yichen Zhang and Weiwei Song and Yongjun Zhang and Ruifang Zhai}, title = {Joint Learning of Semantic Segmentation and Height Estimation for Remote Sensing Image Leveraging Contrastive Learning}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--15}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3290232}, doi = {10.1109/TGRS.2023.3290232}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/GaoSLZSZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HanLLHGYW23, author = {Yonghui Han and Pingping Lu and Xiuqing Liu and Wentao Hou and Yao Gao and Weidong Yu and Robert Wang}, title = {On the Method of Circular Polarimetric {SAR} Calibration Using Distributed Targets}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3244584}, doi = {10.1109/TGRS.2023.3244584}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/HanLLHGYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LangZYMXHW23, author = {Qin Lang and Wei Zhao and Wenping Yu and Mingguo Ma and Yao Xiao and Yajun Huang and Lunche Wang}, title = {An Iterative Method Initialized by {ERA5} Reanalysis Data for All-Sky Downward Surface Shortwave Radiation Estimation Over Complex Terrain With {MODIS} Observations}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--15}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3323033}, doi = {10.1109/TGRS.2023.3323033}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LangZYMXHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/TangYJZYW23, author = {Guijian Tang and Wen Yao and Tingsong Jiang and Weien Zhou and Yang Yang and Donghua Wang}, title = {Natural Weather-Style Black-Box Adversarial Attacks Against Optical Aerial Detectors}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--11}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3315053}, doi = {10.1109/TGRS.2023.3315053}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/TangYJZYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/XiaoZMYFHSL23, author = {Yao Xiao and Wei Zhao and Mingguo Ma and Wenping Yu and Lei Fan and Yajun Huang and Xupeng Sun and Qing Lang}, title = {An Integrated Method for the Generation of Spatio-Temporally Continuous {LST} Product With MODIS/Terra Observations}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3254598}, doi = {10.1109/TGRS.2023.3254598}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/XiaoZMYFHSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/YaoCCSXL23, author = {Huaxiong Yao and Renyi Chen and Wenjing Chen and Hao Sun and Wei Xie and Xiaoqiang Lu}, title = {Pseudolabel-Based Unreliable Sample Learning for Semi-Supervised Hyperspectral Image Classification}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3322558}, doi = {10.1109/TGRS.2023.3322558}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/YaoCCSXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/YaoHFZLXL23, author = {Zhiyu Yao and Weidong Hu and Zhiyan Feng and Wenlong Zhang and Yang Liu and Zhihao Xu and Leo P. Ligthart}, title = {Spatial Resolution Matching of Microwave Radiometer Measurements Using Iterative Deconvolution With Close Loop Priors {(ICLP)}}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3291752}, doi = {10.1109/TGRS.2023.3291752}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/YaoHFZLXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/WangYZWL23, author = {Yao{-}Wei Wang and Tao Yan and Wen{-}An Zhang and Min Wu and Chengda Lu}, title = {Learning-Enabled Output-Feedback-MPC-Based Synchronization Tracking Control of Multiaxis Motion Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {7}, pages = {7216--7224}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3203753}, doi = {10.1109/TIE.2022.3203753}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/WangYZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/XiongYYFAWC23, author = {Yongxin Xiong and Wei Yao and Yahan Yao and Jiakun Fang and Xiaomeng Ai and Jinyu Wen and Shijie Cheng}, title = {Distributed Cooperative Control of Offshore Wind Farms Integrated via {MTDC} System for Fast Frequency Support}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {5}, pages = {4693--4704}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3183355}, doi = {10.1109/TIE.2022.3183355}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/XiongYYFAWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ZhuTQTY23, author = {Kunzhi Zhu and Zhaosheng Teng and Wei Qiu and Qiu Tang and Wenxuan Yao}, title = {Complex Disturbances Identification: {A} Novel PQDs Decomposition and Modeling Method}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {6}, pages = {6356--6365}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3194575}, doi = {10.1109/TIE.2022.3194575}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ZhuTQTY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JinZJMYZ23, author = {Xin Jin and Pingfan Zhang and Qian Jiang and Shengfa Miao and Shaowen Yao and Wei Zhou}, title = {F-UNet++: Remote Sensing Image Fusion Based on Multipurpose Adaptive Shuffle Attention and Composite Multi-Input Reconstruction Network}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--15}, year = {2023}, url = {https://doi.org/10.1109/TIM.2022.3229725}, doi = {10.1109/TIM.2022.3229725}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/JinZJMYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LuoYY23, author = {Wei Luo and Haiming Yao and Wenyong Yu}, title = {Normal Reference Attention and Defective Feature Perception Network for Surface Defect Detection}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--14}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3268658}, doi = {10.1109/TIM.2023.3268658}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LuoYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/WeiZLLFQ23, author = {Yao Wei and Yueyang Zhai and Renjie Li and Sixun Liu and Wenfeng Fan and Wei Quan}, title = {Measurement and Suppression of Transverse Optical Pumping in a Spin-Exchange Relaxation-Free Co-Magnetometer}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--8}, year = {2023}, url = {https://doi.org/10.1109/TIM.2022.3224540}, doi = {10.1109/TIM.2022.3224540}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/WeiZLLFQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/WangCPYWF23, author = {Wei{-}Yao Wang and Teng{-}Fong Chan and Wen{-}Chih Peng and Hui{-}Kuo Yang and Chih{-}Chuan Wang and Yao{-}Chung Fan}, title = {How Is the Stroke? Inferring Shot Influence in Badminton Matches via Long Short-term Dependencies}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {14}, number = {1}, pages = {7:1--7:22}, year = {2023}, url = {https://doi.org/10.1145/3551391}, doi = {10.1145/3551391}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/WangCPYWF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/DiaoLHLAZTXZQ23, author = {Songhui Diao and Weiren Luo and Jiaxin Hou and Ricardo Lambo and Hamas A. AL{-}kuhali and Hanqing Zhao and Yinli Tian and Yaoqin Xie and Nazar Zaki and Wenjian Qin}, title = {Deep Multi-Magnification Similarity Learning for Histopathological Image Classification}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {3}, pages = {1535--1545}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2023.3237137}, doi = {10.1109/JBHI.2023.3237137}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/DiaoLHLAZTXZQ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ZhangCHZYLL23, author = {Jiawei Zhang and Cheng Chang and Zimin He and Wenqin Zhong and Danya Yao and Shen Li and Li Li}, title = {CAVSim: {A} Microscopic Traffic Simulator for Evaluation of Connected and Automated Vehicles}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {9}, pages = {10038--10054}, year = {2023}, url = {https://doi.org/10.1109/TITS.2023.3273565}, doi = {10.1109/TITS.2023.3273565}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/ZhangCHZYLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ZhuWLSZWC23, author = {Peipei Zhu and Xiao Wang and Yong Luo and Zhenglong Sun and Wei{-}Shi Zheng and Yaowei Wang and Changwen Chen}, title = {Unpaired Image Captioning by Image-Level Weakly-Supervised Visual Concept Recognition}, journal = {{IEEE} Trans. Multim.}, volume = {25}, pages = {6702--6716}, year = {2023}, url = {https://doi.org/10.1109/TMM.2022.3214090}, doi = {10.1109/TMM.2022.3214090}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/ZhuWLSZWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/YaoSYZLF23, author = {Fanglong Yao and Xian Sun and Hongfeng Yu and Wenkai Zhang and Wei Liang and Kun Fu}, title = {Mimicking the Brain's Cognition of Sarcasm From Multidisciplines for Twitter Sarcasm Detection}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {34}, number = {1}, pages = {228--242}, year = {2023}, url = {https://doi.org/10.1109/TNNLS.2021.3093416}, doi = {10.1109/TNNLS.2021.3093416}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/YaoSYZLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/ShengYLYL23, author = {Chuan Sheng and Yu Yao and Wenxuan Li and Wei Yang and Ying Liu}, title = {Unknown Attack Traffic Classification in {SCADA} Network Using Heuristic Clustering Technique}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {20}, number = {3}, pages = {2625--2638}, year = {2023}, url = {https://doi.org/10.1109/TNSM.2023.3238402}, doi = {10.1109/TNSM.2023.3238402}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/ShengYLYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HuangC23, author = {Ping{-}Wei Huang and Yao{-}Wen Chang}, title = {Routability-driven Power/Ground Network Optimization Based on Machine Learning}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {4}, pages = {53:1--53:27}, year = {2023}, url = {https://doi.org/10.1145/3587817}, doi = {10.1145/3587817}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/HuangC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WeiTWCYZC23, author = {Min Wei and Xingyu Tong and Yuan Wen and Jianli Chen and Jun Yu and Wenxing Zhu and Yao{-}Wen Chang}, title = {Analytical Placement with 3D Poisson's Equation and ADMM-based Optimization for Large-scale 2.5D Heterogeneous FPGAs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {5}, pages = {70:1--70:24}, year = {2023}, url = {https://doi.org/10.1145/3582554}, doi = {10.1145/3582554}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/WeiTWCYZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/WuYLPSXW23, author = {Chengwei Wu and Weiran Yao and Wensheng Luo and Wei Pan and Guanghui Sun and Hui Xie and Ligang Wu}, title = {A Secure Robot Learning Framework for Cyber Attack Scheduling and Countermeasure}, journal = {{IEEE} Trans. Robotics}, volume = {39}, number = {5}, pages = {3722--3738}, year = {2023}, url = {https://doi.org/10.1109/TRO.2023.3275875}, doi = {10.1109/TRO.2023.3275875}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/WuYLPSXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhaoYZAW23, author = {Yifan Zhao and Wei Yao and Chuan{-}Ke Zhang and Xiaomeng Ai and Jinyu Wen}, title = {Resilient Wide-Area Damping Control to Mitigate Strong Cyber Attack: {A} Multiple-Controller Switching Approach}, journal = {{IEEE} Trans. Smart Grid}, volume = {14}, number = {3}, pages = {2326--2337}, year = {2023}, url = {https://doi.org/10.1109/TSG.2022.3215785}, doi = {10.1109/TSG.2022.3215785}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ZhaoYZAW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChangWP23, author = {Kai{-}Shiang Chang and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Where Will Players Move Next? Dynamic Graphs and Hierarchical Fusion for Movement Forecasting in Badminton}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {6998--7005}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i6.25855}, doi = {10.1609/AAAI.V37I6.25855}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChangWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HuangHCWWP23, author = {Li{-}Chun Huang and Nai{-}Zen Hseuh and Yen{-}Che Chien and Wei{-}Yao Wang and Kuang{-}Da Wang and Wen{-}Chih Peng}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {A Reinforcement Learning Badminton Environment for Simulating Player Tactics (Student Abstract)}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {16232--16233}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i13.26976}, doi = {10.1609/AAAI.V37I13.26976}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HuangHCWWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HsuCLHL23, author = {Wei{-}Wen Hsu and Yao{-}Chung Chang and Wei{-}Min Lee and Yu{-}Chuan Huang and Da{-}Wen Lu}, title = {Improving Regularization of Deep Learning Models in Fundus Analysis}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {898--901}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317170}, doi = {10.1109/APSIPAASC58517.2023.10317170}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/HsuCLHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HsuLLHJCL23, author = {Wei{-}Wen Hsu and Jia{-}Yi Lin and Hsin{-}Hung Lai and Wan{-}Lin Hsu and Jeng{-}Ting Jiang and Yao{-}Chung Chang and Yao{-}Feng Li}, title = {The Development of an AI-assisted Diagnosis System for Adult Glioma Subtyping Prediction}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {918--921}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317496}, doi = {10.1109/APSIPAASC58517.2023.10317496}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/HsuLLHJCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/0001LSLWLGSLLLW23, author = {Yangjie Zhou and Jingwen Leng and Yaoxu Song and Shuwen Lu and Mian Wang and Chao Li and Minyi Guo and Wenting Shen and Yong Li and Wei Lin and Xiangwen Liu and Hanqing Wu}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {uGrapher: High-Performance Graph Operator Computation via Unified Abstraction for Graph Neural Networks}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {878--891}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3575693.3575723}, doi = {10.1145/3575693.3575723}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/0001LSLWLGSLLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YaoZXJSH23, author = {Wenjie Yao and Weizhong Zhao and Xiaowei Xu and Xingpeng Jiang and Xianjun Shen and Tingting He}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {Counterfactual Inference-based Data Augmentation for Drug-side effect Associations Prediction}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {1643--1648}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385879}, doi = {10.1109/BIBM58861.2023.10385879}, timestamp = {Thu, 14 Mar 2024 16:59:09 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YaoZXJSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/WenYWC23, author = {Runlin Wen and Li Yao and Weiguo Wan and Shunming Chen}, editor = {XiaoMing Zhao and Qingli Li and Lipo Wang}, title = {Occluded Face Recognition Based on Attention Mechanism and Damaged Feature Masking}, booktitle = {16th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2023, Taizhou, China, October 28-30, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CISP-BMEI60920.2023.10373348}, doi = {10.1109/CISP-BMEI60920.2023.10373348}, timestamp = {Tue, 16 Jan 2024 20:11:16 +0100}, biburl = {https://dblp.org/rec/conf/bmei/WenYWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/HuanWY23, author = {Chen Huan and Wentao Wei and Ping Yao}, title = {Train ViT on Small Dataset With Translation Perceptibility}, booktitle = {34th British Machine Vision Conference 2023, {BMVC} 2023, Aberdeen, UK, November 20-24, 2023}, pages = {522}, publisher = {{BMVA} Press}, year = {2023}, url = {http://proceedings.bmvc2023.org/522/}, timestamp = {Mon, 11 Mar 2024 15:42:29 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/HuanWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caasafeproc/MuZZZYF23, author = {Wenzhu Mu and Guiyu Zhang and Xuemei Zhu and Wei Zhang and Rui Yao and Ni Fu}, title = {Research on the Quantitative Analysis Model of Ethyl Lactate in Raw Wine Based on Near Infrared Spectroscopy}, booktitle = {{CAA} Symposium on Fault Detection, Supervision and Safety for Technical Processes, {SAFEPROCESS} 2023, Yibin, China, September 22-24, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SAFEPROCESS58597.2023.10295680}, doi = {10.1109/SAFEPROCESS58597.2023.10295680}, timestamp = {Thu, 16 Nov 2023 16:58:41 +0100}, biburl = {https://dblp.org/rec/conf/caasafeproc/MuZZZYF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caasafeproc/YaoZZZMF23, author = {Rui Yao and Guiyu Zhang and Ao Zhang and Wei Zhang and Wenzhu Mu and Ni Fu}, title = {Trajectory planning of the shortest path and optimal time of the retort robot}, booktitle = {{CAA} Symposium on Fault Detection, Supervision and Safety for Technical Processes, {SAFEPROCESS} 2023, Yibin, China, September 22-24, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SAFEPROCESS58597.2023.10295929}, doi = {10.1109/SAFEPROCESS58597.2023.10295929}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/caasafeproc/YaoZZZMF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Xu0YLZXZWXFYHY23, author = {Wenjie Xu and Jiayi Ma and Jiayu Yao and Weijia Lin and Chao Zhang and Xuanhe Xia and Nan Zhuang and Shitong Weng and Xiaoqian Xie and Shuyue Feng and Fangtian Ying and Preben Hansen and Cheng Yao}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {MathKingdom: Teaching Children Mathematical Language Through Speaking at Home via a Voice-Guided Game}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {93:1--93:14}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581043}, doi = {10.1145/3544548.3581043}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/Xu0YLZXZWXFYHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ZhaoGSSLJ23, author = {Linran Zhao and Yan Gong and Wei Shi and Raymond Stephany and Wen Li and Yaoyao Jia}, title = {A Wireless Implantable Opto-Electro Neural Interface {ASIC} for Simultaneous Neural Recording and Stimulation}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2023, San Antonio, TX, USA, April 23-26, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CICC57935.2023.10121181}, doi = {10.1109/CICC57935.2023.10121181}, timestamp = {Sun, 21 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ZhaoGSSLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/DuWP23, author = {Wei{-}Wei Du and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {DoRA: Domain-Based Self-Supervised Learning Framework for Low-Resource Real Estate Appraisal}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {4552--4558}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615470}, doi = {10.1145/3583780.3615470}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/DuWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codaspy/QinSZHYLJD23, author = {Haoxiang Qin and Zhenyu Song and Weijuan Zhang and Sicong Huang and Wentao Yao and Ge Liu and Xiaoqi Jia and Haichao Du}, editor = {Mohamed Shehab and Maribel Fern{\'{a}}ndez and Ninghui Li}, title = {Protecting Encrypted Virtual Machines from Nested Page Fault Controlled Channel}, booktitle = {Proceedings of the Thirteenth {ACM} Conference on Data and Application Security and Privacy, {CODASPY} 2023, Charlotte, NC, USA, April 24-26, 2023}, pages = {165--175}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3577923.3583659}, doi = {10.1145/3577923.3583659}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codaspy/QinSZHYLJD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SunYLZFDSZLGYLYGZJZYZWLJJHLLYFLWCGXXYX23, author = {Qianhui Sun and Qingyu Yang and Chongyi Li and Shangchen Zhou and Ruicheng Feng and Yuekun Dai and Wenxiu Sun and Qingpeng Zhu and Chen Change Loy and Jinwei Gu and Hongyuan Yu and Yuqing Liu and Weichen Yu and Lin Ge and Xiaolin Zhang and Qi Jia and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Qi Wu and Wenjie Lin and Ting Jiang and Chengzhi Jiang and Mingyan Han and Xinpeng Li and Jinting Luo and Lei Yu and Haoqiang Fan and Shuaicheng Liu and Kunyu Wang and Chengzhi Cao and Yuanshen Guan and Jiyuan Xia and Ruikang Xu and Mingde Yao and Zhiwei Xiong}, title = {{MIPI} 2023 Challenge on {RGBW} Fusion: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {2871--2877}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00288}, doi = {10.1109/CVPRW59228.2023.00288}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SunYLZFDSZLGYLYGZJZYZWLJJHLLYFLWCGXXYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangWLYTGJWYGGZDHYJLCXXXLJYYGZCLCWWDXW23, author = {Yingqian Wang and Longguang Wang and Zhengyu Liang and Jungang Yang and Radu Timofte and Yulan Guo and Kai Jin and Zeqiang Wei and Angulia Yang and Sha Guo and Mingzhi Gao and Xiuzhuang Zhou and Vinh Van Duong and Thuc Nguyen Huu and Jonghoon Yim and Byeungwoo Jeon and Yutong Liu and Zhen Cheng and Zeyu Xiao and Ruikang Xu and Zhiwei Xiong and Gaosheng Liu and Manchang Jin and Huanjing Yue and Jingyu Yang and Chen Gao and Shuo Zhang and Song Chang and Youfang Lin and Wentao Chao and Xuechun Wang and Guanghui Wang and Fuqing Duan and Wang Xia and Yan Wang and Peiqi Xia and Shunzhou Wang and Yao Lu and Ruixuan Cong and Hao Sheng and Da Yang and Rongshan Chen and Sizhe Wang and Zhenglong Cui and Yilei Chen and Yongjie Lu and Dongjun Cai and Ping An and Ahmed Salem and Hatem Ibrahem and Bilel Yagoub and Hyun Soo Kang and Zekai Zeng and Heng Wu}, title = {{NTIRE} 2023 Challenge on Light Field Image Super-Resolution: Dataset, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1320--1335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00139}, doi = {10.1109/CVPRW59228.2023.00139}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/WangWLYTGJWYGGZDHYJLCXXXLJYYGZCLCWWDXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenC23, author = {Wei{-}Hsu Chen and Yao{-}Wen Chang}, title = {Graph-Based Simultaneous Placement and Routing for Two-Dimensional Directed Self-Assembly Technology}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247804}, doi = {10.1109/DAC56929.2023.10247804}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCTCLC23, author = {Yan{-}Jen Chen and Yan{-}Syuan Chen and Wei{-}Che Tseng and Cheng{-}Yu Chiang and Yu{-}Hsiang Lo and Yao{-}Wen Chang}, title = {Late Breaking Results: Analytical Placement for 3D ICs with Multiple Manufacturing Technologies}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247864}, doi = {10.1109/DAC56929.2023.10247864}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenCTCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChungCC23, author = {Min{-}Hsuan Chung and Je{-}Wei Chuang and Yao{-}Wen Chang}, title = {Any-Angle Routing for Redistribution Layers in 2.5D {IC} Packages}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247899}, doi = {10.1109/DAC56929.2023.10247899}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChungCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengC23, author = {Wei{-}Hsiang Tseng and Yao{-}Wen Chang}, title = {Late Breaking Results: An Efficient Bridge-based Compression Algorithm for Topologically Quantum Error Corrected Circuits}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247656}, doi = {10.1109/DAC56929.2023.10247656}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TsengC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/defactify/DuWWP23, author = {Wei{-}Wei Du and Hong{-}Wei Wu and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Amitava Das and Amit P. Sheth and Asif Ekbal}, title = {Team Triple-Check at Factify 2: Parameter-Efficient Large Foundation Models with Feature Representations for Multi-Modal Fact Verification}, booktitle = {Proceedings of De-Factify 2: 2nd Workshop on Multimodal Fact Checking and Hate Speech Detection, co-located with {AAAI} 2023, Washington DC, USA, February 14, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3555}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3555/paper12.pdf}, timestamp = {Mon, 18 Dec 2023 16:58:34 +0100}, biburl = {https://dblp.org/rec/conf/defactify/DuWWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/LeeYLL23, author = {Wen{-}Tin Lee and Zhi{-}Yao Yang and Zhun{-}Wei Liu and Shin{-}Jie Lee}, title = {Containerized Microservices Deployment Approach based on Ant Colony Optimization}, booktitle = {10th International Conference on Dependable Systems and Their Applications, {DSA} 2023, Tokyo, Japan, August 10-11, 2023}, pages = {472--473}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSA59317.2023.00064}, doi = {10.1109/DSA59317.2023.00064}, timestamp = {Fri, 24 Nov 2023 19:56:37 +0100}, biburl = {https://dblp.org/rec/conf/dsa/LeeYLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/NiuXWYZY23, author = {Hao Niu and Yun Xiong and Xiaosu Wang and Wenjing Yu and Yao Zhang and Weizu Yang}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {KeFVP: Knowledge-enhanced Financial Volatility Prediction}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11499--11513}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.770}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.770}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/NiuXWYZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/TangWYP23, author = {Yu{-}Chien Tang and Wei{-}Yao Wang and An{-}Zi Yen and Wen{-}Chih Peng}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{RSVP:} Customer Intent Detection via Agent Response Contrastive and Generative Pre-Training}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {10400--10412}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.698}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.698}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/TangWYP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WenSCZQHFL23, author = {Yao Wen and Ruijin Sun and Nan Cheng and Haibo Zhou and Wei Quan and Yilong Hui and Yuchuan Fu and Changle Li}, title = {Knowledge-Driven Resource Allocation for Efficient Task Offloading in Connected Autonomous Vehicles}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {2081--2086}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437017}, doi = {10.1109/GLOBECOM54140.2023.10437017}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/WenSCZQHFL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/0002CTZLYLY23, author = {Wei Qiu and Zhangqing Chen and Sihao Tang and Yao Zheng and Cheng Liu and He Yin and Yilu Liu and Wenxuan Yao}, title = {Development of Real-time High-Density Pulsar Data Transmission and Processing for Grid Synchronization}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2023, Nashville, TN, USA, October 29 - Nov. 2, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IAS54024.2023.10406690}, doi = {10.1109/IAS54024.2023.10406690}, timestamp = {Tue, 13 Feb 2024 14:16:40 +0100}, biburl = {https://dblp.org/rec/conf/iasam/0002CTZLYLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/Zheng0TCLY23, author = {Yao Zheng and Wei Qiu and Sihao Tang and Zhangqing Chen and Cheng Liu and Wenxuan Yao}, title = {A Novel Pulsar Analog Front-end for Grid Synchronized Measurement}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2023, Nashville, TN, USA, October 29 - Nov. 2, 2023}, pages = {1--16}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IAS54024.2023.10406779}, doi = {10.1109/IAS54024.2023.10406779}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/Zheng0TCLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/DuZLWLY23, author = {Yaoyao Du and Zixiao Zhang and Zhihao Li and Peng Wei and Qingmin Liao and Wenming Yang}, title = {Flowpose: Conditional Normalizing Flows for 3D Human Pose and Shape Estimation from Monocular Videos}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10097101}, doi = {10.1109/ICASSP49357.2023.10097101}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/DuZLWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenTKC23, author = {Yan{-}Lin Chen and Wei{-}Che Tseng and Wei{-}Yao Kao and Yao{-}Wen Chang}, title = {A General Wavelength-Routed Optical Networks-on-Chip Model with Applications to Provably Good Customized and Fault-Tolerant Topology Designs}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323627}, doi = {10.1109/ICCAD57390.2023.10323627}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenTKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccai/YaoBDHZG023, author = {Zhiping Yao and Jiang Bi and Wei Deng and Wenlin He and Mi Zhou and Qinquan Gao and Tong Tong}, title = {Dual-Encoder Unet for Single Image {HDR} Reconstruction with Denoising}, booktitle = {Proceedings of the 9th International Conference on Computing and Artificial Intelligence, {ICCAI} 2023, Tianjin, China, March 17-20, 2023}, pages = {214--221}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3594315.3594646}, doi = {10.1145/3594315.3594646}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccai/YaoBDHZG023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccai/YaoPZY23, author = {Weijie Yao and Wei Peng and Xiaoya Zhang and Wen Yao}, title = {A Method for Flow Field Reconstruction based on Fourier Neural Operator Network}, booktitle = {Proceedings of the 9th International Conference on Computing and Artificial Intelligence, {ICCAI} 2023, Tianjin, China, March 17-20, 2023}, pages = {408--412}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3594315.3594349}, doi = {10.1145/3594315.3594349}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccai/YaoPZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/JhongLWXLC23, author = {Sin{-}Ye Jhong and Hsin{-}Chun Lin and Xu{-}Xiang Weng and Ting{-}Feng Xie and Han{-}Wei Lin and Yung{-}Yao Chen}, title = {A Novel Network Architecture and Training Strategies for Camera-Radar 3D Detection}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {411--412}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226927}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226927}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/JhongLWXLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/JhouLLWCWC23, author = {Fong{-}Ci Jhou and Kai{-}Wen Liang and Chung{-}Hsun Lo and Chien{-}Yao Wang and Yung{-}Fang Chen and Jia{-}Ching Wang and Pao{-}Chi Chang}, title = {Mask Generation with Meta-Learning Classifier Weight Transformer Network for Few-Shot Image Segmentation}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {457--458}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226989}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226989}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/JhouLLWCWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/CaoLHLJWZ23, author = {Guiping Cao and Shengda Luo and Wenjian Huang and Xiangyuan Lan and Dongmei Jiang and Yaowei Wang and Jianguo Zhang}, title = {Strip-MLP: Efficient Token Interaction for Vision {MLP}}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {1494--1504}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00144}, doi = {10.1109/ICCV51070.2023.00144}, timestamp = {Fri, 19 Jan 2024 17:50:41 +0100}, biburl = {https://dblp.org/rec/conf/iccv/CaoLHLJWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WenLFRSWBS23, author = {Yandong Wen and Weiyang Liu and Yao Feng and Bhiksha Raj and Rita Singh and Adrian Weller and Michael J. Black and Bernhard Sch{\"{o}}lkopf}, title = {Pairwise Similarity Learning is SimPLE}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {5285--5295}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00489}, doi = {10.1109/ICCV51070.2023.00489}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/WenLFRSWBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/YuZCHLCLCKCDFHLYYLCDLLYZKSWB23, author = {Wenwen Yu and Chengquan Zhang and Haoyu Cao and Wei Hua and Bohan Li and Huang Chen and Mingyu Liu and Mingrui Chen and Jianfeng Kuang and Mengjun Cheng and Yuning Du and Shikun Feng and Xiaoguang Hu and Pengyuan Lyu and Kun Yao and Yuechen Yu and Yuliang Liu and Wanxiang Che and Errui Ding and Cheng{-}Lin Liu and Jiebo Luo and Shuicheng Yan and Min Zhang and Dimosthenis Karatzas and Xing Sun and Jingdong Wang and Xiang Bai}, editor = {Gernot A. Fink and Rajiv Jain and Koichi Kise and Richard Zanibbi}, title = {{ICDAR} 2023 Competition on Structured Text Extraction from Visually-Rich Document Images}, booktitle = {Document Analysis and Recognition - {ICDAR} 2023 - 17th International Conference, San Jos{\'{e}}, CA, USA, August 21-26, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14188}, pages = {536--552}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-41679-8\_32}, doi = {10.1007/978-3-031-41679-8\_32}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/YuZCHLCLCKCDFHLYYLCDLLYZKSWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/WangSH00W0M23, author = {Hanjing Wang and Man{-}Kit Sit and Congjie He and Ying Wen and Weinan Zhang and Jun Wang and Yaodong Yang and Luo Mai}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {{GEAR:} {A} GPU-Centric Experience Replay System for Large Reinforcement Learning Models}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {36380--36390}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/wang23aj.html}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/WangSH00W0M23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LiuSHGHL23, author = {Wenjie Liu and Shichao Sun and Yaofei Han and Chao Gong and Ziqi Huang and Weilin Li}, title = {Load Change Assessment-Based Feedforward Compensation for {FCS-MPCC} Used in PMSMs Considering Load Disturbances}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312043}, doi = {10.1109/IECON51785.2023.10312043}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LiuSHGHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WeiCYWLWF23, author = {Zhihao Wei and Yaokui Cui and Zhaoyuan Yao and Shangjin Wang and Sien Li and Xuhui Wang and Wenjie Fan}, title = {Film Mulching Mapping Based on Very High Resolution Satellite Imagery}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {6612--6615}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282320}, doi = {10.1109/IGARSS52108.2023.10282320}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/WeiCYWLWF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/YuWHXFFZYW23, author = {Qi Yu and Lin Wang and Yuchong Hu and Yumeng Xu and Dan Feng and Jie Fu and Xia Zhu and Zhen Yao and Wenjia Wei}, title = {Boosting Multi-Block Repair in Cloud Storage Systems with Wide-Stripe Erasure Coding}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {279--289}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPS54959.2023.00036}, doi = {10.1109/IPDPS54959.2023.00036}, timestamp = {Tue, 25 Jul 2023 16:27:14 +0200}, biburl = {https://dblp.org/rec/conf/ipps/YuWHXFFZYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/HsuCCLC23, author = {Jhih{-}Wei Hsu and Kuan{-}Cheng Chen and Yan{-}Syuan Chen and Yu{-}Hsiang Lo and Yao{-}Wen Chang}, editor = {David G. Chinnery and Iris Hui{-}Ru Jiang}, title = {Security-aware Physical Design against Trojan Insertion, Frontside Probing, and Fault Injection Attacks}, booktitle = {Proceedings of the 2023 International Symposium on Physical Design, {ISPD} 2023, Virtual Event, USA, March 26-29, 2023}, pages = {220--228}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3569052.3571876}, doi = {10.1145/3569052.3571876}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/HsuCCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/WangHIP23, author = {Wei{-}Yao Wang and Yung{-}Chang Huang and Tsi{-}Ui Ik and Wen{-}Chih Peng}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {ShuttleSet: {A} Human-Annotated Stroke-Level Singles Dataset for Badminton Tactical Analysis}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {5126--5136}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599906}, doi = {10.1145/3580305.3599906}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/WangHIP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metroauto/ZengTLYYLDPZW23, author = {Weixian Zeng and Hao Tang and Wenhui Lin and Lan Yin and Wu Yao and Fu Lin and Qiuxi Deng and Zheng Peng and Zaichong Zhang and Feilong Wang}, title = {Research on Dual-infrared Laser Based Speed Meter for Tunnel Traffic}, booktitle = {{IEEE} International Workshop on Metrology for Automotive, MetroAutomotive 2023, Modena, Italy, June 28-30, 2023}, pages = {217--222}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MetroAutomotive57488.2023.10219101}, doi = {10.1109/METROAUTOMOTIVE57488.2023.10219101}, timestamp = {Mon, 28 Aug 2023 09:49:13 +0200}, biburl = {https://dblp.org/rec/conf/metroauto/ZengTLYYLDPZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/MaHLZPLXXWWZWZC23, author = {Xiangyuan Ma and Zilong He and Yue Li and Weixiong Zeng and Jiawei Pan and Jialing Liu and Weimin Xu and Zeyuan Xu and Sina Wang and Chanjuan Wen and Hui Zeng and Jiefeng Wu and Zhaodong Zeng and Weiguo Chen and Yao Lu}, editor = {Khan M. Iftekharuddin and Weijie Chen}, title = {Multi-view based computer-aided model with anatomical position prior for architectural distortion detection in digital breast tomosynthesis}, booktitle = {Medical Imaging 2023: Computer-Aided Diagnosis, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12465}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654418}, doi = {10.1117/12.2654418}, timestamp = {Tue, 19 Mar 2024 12:50:04 +0100}, biburl = {https://dblp.org/rec/conf/micad/MaHLZPLXXWWZWZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/LiaoHCLCSHHHCSH23, author = {Yuan{-}Fu Liao and Shaw{-}Hwa Hwang and You{-}Shuo Chen and Han{-}Chun Lai and Yao{-}Hsing Chung and Li{-}Te Shen and Yen{-}Chun Huang and Chi{-}Jung Huang and Hsu Wen Han and Li{-}Wei Chen and Pei{-}Chung Su and Chao{-}Shih Huang}, title = {Taiwanese Hakka Across Taiwan Corpus and Formosa Speech Recognition Challenge 2023 - Hakka {ASR}}, booktitle = {26th Conference of the Oriental {COCOSDA} International Committee for the Co-ordination and Standardisation of Speech Databases and Assessment Techniques, {O-COCOSDA} 2023, Delhi, India, December 4-6, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/O-COCOSDA60357.2023.10482979}, doi = {10.1109/O-COCOSDA60357.2023.10482979}, timestamp = {Wed, 10 Apr 2024 17:21:48 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/LiaoHCLCSHHHCSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rss/HerzogRHLWYLAXK23, author = {Alexander Herzog and Kanishka Rao and Karol Hausman and Yao Lu and Paul Wohlhart and Mengyuan Yan and Jessica Lin and Montserrat Gonzalez Arenas and Ted Xiao and Daniel Kappler and Daniel Ho and Jarek Rettinghouse and Yevgen Chebotar and Kuang{-}Huei Lee and Keerthana Gopalakrishnan and Ryan Julian and Adrian Li and Chuyuan Fu and Bob Wei and Sangeetha Ramesh and Khem Holden and Kim Kleiven and David J. Rendleman and Sean Kirmani and Jeffrey Bingham and Jonathan Weisz and Ying Xu and Wenlong Lu and Matthew Bennice and Cody Fong and David Do and Jessica Lam and Yunfei Bai and Benjie Holson and Michael Quinlan and Noah Brown and Mrinal Kalakrishnan and Julian Ibarz and Peter Pastor and Sergey Levine}, editor = {Kostas E. Bekris and Kris Hauser and Sylvia L. Herbert and Jingjin Yu}, title = {Deep {RL} at Scale: Sorting Waste in Office Buildings with a Fleet of Mobile Manipulators}, booktitle = {Robotics: Science and Systems XIX, Daegu, Republic of Korea, July 10-14, 2023}, year = {2023}, url = {https://doi.org/10.15607/RSS.2023.XIX.022}, doi = {10.15607/RSS.2023.XIX.022}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rss/HerzogRHLWYLAXK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/WanGWYTZWHLXHW023, author = {Wubing Wan and Lin Gan and Wenqiang Wang and Zekun Yin and Haodong Tian and Zhenguo Zhang and Yinuo Wang and Mengyuan Hua and Xiaohui Liu and Shengye Xiang and Zhongqiu He and Zijia Wang and Ping Gao and Xiaohui Duan and Weiguo Liu and Wei Xue and Haohuan Fu and Guangwen Yang and Xiaofei Chen and Zeyu Song and Yaojian Chen and Xin Liu and Wei Zhang}, editor = {Dorian Arnold and Rosa M. Badia and Kathryn M. Mohror}, title = {69.7-PFlops Extreme Scale Earthquake Simulation with Crossing Multi-faults and Topography on Sunway}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2023, Denver, CO, USA, November 12-17, 2023}, pages = {10:1--10:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581784.3613209}, doi = {10.1145/3581784.3613209}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/WanGWYTZWHLXHW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-06674, author = {Yunyang Zhang and Zhiqiang Gong and Weien Zhou and Xiaoyu Zhao and Xiaohu Zheng and Wen Yao}, title = {Multi-fidelity surrogate modeling for temperature field prediction using deep convolution neural network}, journal = {CoRR}, volume = {abs/2301.06674}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.06674}, doi = {10.48550/ARXIV.2301.06674}, eprinttype = {arXiv}, eprint = {2301.06674}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-06674.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-10859, author = {Devansh Arpit and Matthew Fernandez and Chenghao Liu and Weiran Yao and Wenzhuo Yang and Paul Josel and Shelby Heinecke and Eric Hu and Huan Wang and Stephen C. H. Hoi and Caiming Xiong and Kun Zhang and Juan Carlos Niebles}, title = {Salesforce CausalAI Library: {A} Fast and Scalable Framework for Causal Analysis of Time Series and Tabular Data}, journal = {CoRR}, volume = {abs/2301.10859}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.10859}, doi = {10.48550/ARXIV.2301.10859}, eprinttype = {arXiv}, eprint = {2301.10859}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-10859.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-07740, author = {Wei{-}Wei Du and Hong{-}Wei Wu and Wei{-}Yao Wang and Wen{-}Chih Peng}, title = {Team Triple-Check at Factify 2: Parameter-Efficient Large Foundation Models with Feature Representations for Multi-Modal Fact Verification}, journal = {CoRR}, volume = {abs/2302.07740}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.07740}, doi = {10.48550/ARXIV.2302.07740}, eprinttype = {arXiv}, eprint = {2302.07740}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-07740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09144, author = {Shuijing Liu and Aamir Hasan and Kaiwen Hong and Chun{-}Kai Yao and Justin Lin and Weihang Liang and Megan A. Bayles and Wendy A. Rogers and Katherine Rose Driggs{-}Campbell}, title = {Designing a Wayfinding Robot for People with Visual Impairments}, journal = {CoRR}, volume = {abs/2302.09144}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09144}, doi = {10.48550/ARXIV.2302.09144}, eprinttype = {arXiv}, eprint = {2302.09144}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09144.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09352, author = {Caoyun Fan and Wenqing Chen and Jidong Tian and Yitian Li and Hao He and Yaohui Jin}, title = {MaxGNR: {A} Dynamic Weight Strategy via Maximizing Gradient-to-Noise Ratio for Multi-Task Learning}, journal = {CoRR}, volume = {abs/2302.09352}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09352}, doi = {10.48550/ARXIV.2302.09352}, eprinttype = {arXiv}, eprint = {2302.09352}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09352.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09808, author = {Xiaoyu Zhao and Xiaoqian Chen and Zhiqiang Gong and Weien Zhou and Wen Yao and Yunyang Zhang}, title = {RecFNO: a resolution-invariant flow and heat field reconstruction method from sparse observations via Fourier neural operator}, journal = {CoRR}, volume = {abs/2302.09808}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09808}, doi = {10.48550/ARXIV.2302.09808}, eprinttype = {arXiv}, eprint = {2302.09808}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-10035, author = {Xiao Wang and Guangyao Chen and Guangwu Qian and Pengcheng Gao and Xiao{-}Yong Wei and Yaowei Wang and Yonghong Tian and Wen Gao}, title = {Large-scale Multi-Modal Pre-trained Models: {A} Comprehensive Survey}, journal = {CoRR}, volume = {abs/2302.10035}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.10035}, doi = {10.48550/ARXIV.2302.10035}, eprinttype = {arXiv}, eprint = {2302.10035}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-10035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-05768, author = {Haiming Yao and Wenyong Yu and Wei Luo and Zhenfeng Qiang and Donghao Luo and Xiaotian Zhang}, title = {Learning Global-Local Correspondence with Semantic Bottleneck for Logical Anomaly Detection}, journal = {CoRR}, volume = {abs/2303.05768}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.05768}, doi = {10.48550/ARXIV.2303.05768}, eprinttype = {arXiv}, eprint = {2303.05768}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-05768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09170, author = {Yaosen Chen and Han Yang and Yuexin Yang and Yuegen Liu and Wei Wang and Xuming Wen and Chaoping Xie}, title = {{NLUT:} Neural-based 3D Lookup Tables for Video Photorealistic Style Transfer}, journal = {CoRR}, volume = {abs/2303.09170}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09170}, doi = {10.48550/ARXIV.2303.09170}, eprinttype = {arXiv}, eprint = {2303.09170}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09170.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14384, author = {Zikun Zhou and Kaige Mao and Wenjie Pei and Hongpeng Wang and Yaowei Wang and Zhenyu He}, title = {Reliability-Hierarchical Memory Network for Scribble-Supervised Video Object Segmentation}, journal = {CoRR}, volume = {abs/2303.14384}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14384}, doi = {10.48550/ARXIV.2303.14384}, eprinttype = {arXiv}, eprint = {2303.14384}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14384.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-17882, author = {Haiming Yao and Wei Luo and Wenyong Yu}, title = {Visual Anomaly Detection via Dual-Attention Transformer and Discriminative Flow}, journal = {CoRR}, volume = {abs/2303.17882}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.17882}, doi = {10.48550/ARXIV.2303.17882}, eprinttype = {arXiv}, eprint = {2303.17882}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-17882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-04598, author = {Lequn Chen and Xiling Yao and Chaolin Tan and Weiyang He and Jinlong Su and Fei Weng and Youxiang Chew and Nicholas Poh Huat Ng and Seung Ki Moon}, title = {In-situ crack and keyhole pore detection in laser directed energy deposition through acoustic signal and deep learning}, journal = {CoRR}, volume = {abs/2304.04598}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.04598}, doi = {10.48550/ARXIV.2304.04598}, eprinttype = {arXiv}, eprint = {2304.04598}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-04598.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-10440, author = {Huijie Wang and Zhenbo Liu and Yang Li and Tianyu Li and Li Chen and Chonghao Sima and Yuting Wang and Shengyin Jiang and Feng Wen and Hang Xu and Ping Luo and Junchi Yan and Wei Zhang and Jun Yao and Yu Qiao and Hongyang Li}, title = {Road Genome: {A} Topology Reasoning Benchmark for Scene Understanding in Autonomous Driving}, journal = {CoRR}, volume = {abs/2304.10440}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.10440}, doi = {10.48550/ARXIV.2304.10440}, eprinttype = {arXiv}, eprint = {2304.10440}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-10440.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-10679, author = {Donghua Wang and Wen Yao and Tingsong Jiang and Weien Zhou and Lang Lin and Xiaoqian Chen}, title = {A Plug-and-Play Defensive Perturbation for Copyright Protection of DNN-based Applications}, journal = {CoRR}, volume = {abs/2304.10679}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.10679}, doi = {10.48550/ARXIV.2304.10679}, eprinttype = {arXiv}, eprint = {2304.10679}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-10679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-11107, author = {Tianyang Zhong and Yaonai Wei and Li Yang and Zihao Wu and Zhengliang Liu and Xiaozheng Wei and Wenjun Li and Junjie Yao and Chong Ma and Xiang Li and Dajiang Zhu and Xi Jiang and Junwei Han and Dinggang Shen and Tianming Liu and Tuo Zhang}, title = {ChatABL: Abductive Learning via Natural Language Interaction with ChatGPT}, journal = {CoRR}, volume = {abs/2304.11107}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.11107}, doi = {10.48550/ARXIV.2304.11107}, eprinttype = {arXiv}, eprint = {2304.11107}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-11107.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-03270, author = {Alexander Herzog and Kanishka Rao and Karol Hausman and Yao Lu and Paul Wohlhart and Mengyuan Yan and Jessica Lin and Montserrat Gonzalez Arenas and Ted Xiao and Daniel Kappler and Daniel Ho and Jarek Rettinghouse and Yevgen Chebotar and Kuang{-}Huei Lee and Keerthana Gopalakrishnan and Ryan Julian and Adrian Li and Chuyuan Kelly Fu and Bob Wei and Sangeetha Ramesh and Khem Holden and Kim Kleiven and David Rendleman and Sean Kirmani and Jeff Bingham and Jonathan Weisz and Ying Xu and Wenlong Lu and Matthew Bennice and Cody Fong and David Do and Jessica Lam and Yunfei Bai and Benjie Holson and Michael Quinlan and Noah Brown and Mrinal Kalakrishnan and Julian Ibarz and Peter Pastor and Sergey Levine}, title = {Deep {RL} at Scale: Sorting Waste in Office Buildings with a Fleet of Mobile Manipulators}, journal = {CoRR}, volume = {abs/2305.03270}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.03270}, doi = {10.48550/ARXIV.2305.03270}, eprinttype = {arXiv}, eprint = {2305.03270}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-03270.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-03287, author = {Wenwen Yu and Chengquan Zhang and Haoyu Cao and Wei Hua and Bohan Li and Huang Chen and Mingyu Liu and Mingrui Chen and Jianfeng Kuang and Mengjun Cheng and Yuning Du and Shikun Feng and Xiaoguang Hu and Pengyuan Lyu and Kun Yao and Yuechen Yu and Yuliang Liu and Wanxiang Che and Errui Ding and Cheng{-}Lin Liu and Jiebo Luo and Shuicheng Yan and Min Zhang and Dimosthenis Karatzas and Xing Sun and Jingdong Wang and Xiang Bai}, title = {{ICDAR} 2023 Competition on Structured Text Extraction from Visually-Rich Document Images}, journal = {CoRR}, volume = {abs/2306.03287}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.03287}, doi = {10.48550/ARXIV.2306.03287}, eprinttype = {arXiv}, eprint = {2306.03287}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-03287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04948, author = {Wei{-}Yao Wang and Yung{-}Chang Huang and Tsi{-}Ui Ik and Wen{-}Chih Peng}, title = {ShuttleSet: {A} Human-Annotated Stroke-Level Singles Dataset for Badminton Tactical Analysis}, journal = {CoRR}, volume = {abs/2306.04948}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04948}, doi = {10.48550/ARXIV.2306.04948}, eprinttype = {arXiv}, eprint = {2306.04948}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04948.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-13945, author = {Muning Wen and Runji Lin and Hanjing Wang and Yaodong Yang and Ying Wen and Luo Mai and Jun Wang and Haifeng Zhang and Weinan Zhang}, title = {Large Sequence Models for Sequential Decision-Making: {A} Survey}, journal = {CoRR}, volume = {abs/2306.13945}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.13945}, doi = {10.48550/ARXIV.2306.13945}, eprinttype = {arXiv}, eprint = {2306.13945}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-13945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-15664, author = {Wei{-}Yao Wang and Wei{-}Wei Du and Wen{-}Chih Peng}, title = {ShuttleSet22: Benchmarking Stroke Forecasting with Stroke-Level Badminton Dataset}, journal = {CoRR}, volume = {abs/2306.15664}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.15664}, doi = {10.48550/ARXIV.2306.15664}, eprinttype = {arXiv}, eprint = {2306.15664}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-15664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-11458, author = {Guiping Cao and Shengda Luo and Wenjian Huang and Xiangyuan Lan and Dongmei Jiang and Yaowei Wang and Jianguo Zhang}, title = {Strip-MLP: Efficient Token Interaction for Vision {MLP}}, journal = {CoRR}, volume = {abs/2307.11458}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.11458}, doi = {10.48550/ARXIV.2307.11458}, eprinttype = {arXiv}, eprint = {2307.11458}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-11458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05305, author = {Wenjian Yao and Jiajun Bai and Wei Liao and Yuheng Chen and Mengjuan Liu and Yao Xie}, title = {From {CNN} to Transformer: {A} Review of Medical Image Segmentation Models}, journal = {CoRR}, volume = {abs/2308.05305}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05305}, doi = {10.48550/ARXIV.2308.05305}, eprinttype = {arXiv}, eprint = {2308.05305}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05862, author = {Jun Ma and Yao Zhang and Song Gu and Cheng Ge and Shihao Ma and Adamo Young and Cheng Zhu and Kangkang Meng and Xin Yang and Ziyan Huang and Fan Zhang and Wentao Liu and YuanKe Pan and Shoujin Huang and Jiacheng Wang and Mingze Sun and Weixin Xu and Dengqiang Jia and Jae Won Choi and Nat{\'{a}}lia Alves and Bram De Wilde and Gregor Koehler and Yajun Wu and Manuel Wiesenfarth and Qiongjie Zhu and Guoqiang Dong and Jian He and the FLARE Challenge Consortium and Bo Wang}, title = {Unleashing the Strengths of Unlabeled Data in Pan-cancer Abdominal Organ Quantification: the {FLARE22} Challenge}, journal = {CoRR}, volume = {abs/2308.05862}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05862}, doi = {10.48550/ARXIV.2308.05862}, eprinttype = {arXiv}, eprint = {2308.05862}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05862.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-14256, author = {Yang Liu and Cheng Yu and Lei Shang and Ziheng Wu and Xingjun Wang and Yuze Zhao and Lin Zhu and Chen Cheng and Weitao Chen and Chao Xu and Haoyu Xie and Yuan Yao and Wenmeng Zhou and Yingda Chen and Xuansong Xie and Baigui Sun}, title = {FaceChain: {A} Playground for Identity-Preserving Portrait Generation}, journal = {CoRR}, volume = {abs/2308.14256}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.14256}, doi = {10.48550/ARXIV.2308.14256}, eprinttype = {arXiv}, eprint = {2308.14256}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-14256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00855, author = {Wei{-}Wei Du and Wei{-}Yao Wang and Wen{-}Chih Peng}, title = {DoRA: Domain-Based Self-Supervised Learning Framework for Low-Resource Real Estate Appraisal}, journal = {CoRR}, volume = {abs/2309.00855}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00855}, doi = {10.48550/ARXIV.2309.00855}, eprinttype = {arXiv}, eprint = {2309.00855}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09496, author = {Yating Liu and Yaowei Li and Zimo Liu and Wenming Yang and Yaowei Wang and Qingmin Liao}, title = {CLIP-based Synergistic Knowledge Transfer for Text-based Person Retrieval}, journal = {CoRR}, volume = {abs/2309.09496}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09496}, doi = {10.48550/ARXIV.2309.09496}, eprinttype = {arXiv}, eprint = {2309.09496}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09496.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11419, author = {Tengchao Lv and Yupan Huang and Jingye Chen and Lei Cui and Shuming Ma and Yaoyao Chang and Shaohan Huang and Wenhui Wang and Li Dong and Weiyao Luo and Shaoxiang Wu and Guoxin Wang and Cha Zhang and Furu Wei}, title = {Kosmos-2.5: {A} Multimodal Literate Model}, journal = {CoRR}, volume = {abs/2309.11419}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11419}, doi = {10.48550/ARXIV.2309.11419}, eprinttype = {arXiv}, eprint = {2309.11419}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11419.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14510, author = {Chaoran Chen and Weijun Li and Wenxin Song and Yanfang Ye and Yaxing Yao and Toby Jia{-}Jun Li}, title = {An Empathy-Based Sandbox Approach to Bridge Attitudes, Goals, Knowledge, and Behaviors in the Privacy Paradox}, journal = {CoRR}, volume = {abs/2309.14510}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14510}, doi = {10.48550/ARXIV.2309.14510}, eprinttype = {arXiv}, eprint = {2309.14510}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14510.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-16609, author = {Jinze Bai and Shuai Bai and Yunfei Chu and Zeyu Cui and Kai Dang and Xiaodong Deng and Yang Fan and Wenbin Ge and Yu Han and Fei Huang and Binyuan Hui and Luo Ji and Mei Li and Junyang Lin and Runji Lin and Dayiheng Liu and Gao Liu and Chengqiang Lu and Keming Lu and Jianxin Ma and Rui Men and Xingzhang Ren and Xuancheng Ren and Chuanqi Tan and Sinan Tan and Jianhong Tu and Peng Wang and Shijie Wang and Wei Wang and Shengguang Wu and Benfeng Xu and Jin Xu and An Yang and Hao Yang and Jian Yang and Shusheng Yang and Yang Yao and Bowen Yu and Hongyi Yuan and Zheng Yuan and Jianwei Zhang and Xingxuan Zhang and Yichang Zhang and Zhenru Zhang and Chang Zhou and Jingren Zhou and Xiaohuan Zhou and Tianhang Zhu}, title = {Qwen Technical Report}, journal = {CoRR}, volume = {abs/2309.16609}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.16609}, doi = {10.48550/ARXIV.2309.16609}, eprinttype = {arXiv}, eprint = {2309.16609}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-16609.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04055, author = {Shanshan Han and Wenxuan Wu and Baturalp Buyukates and Weizhao Jin and Yuhang Yao and Qifan Zhang and Salman Avestimehr and Chaoyang He}, title = {Kick Bad Guys Out! Zero-Knowledge-Proof-Based Anomaly Detection in Federated Learning}, journal = {CoRR}, volume = {abs/2310.04055}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04055}, doi = {10.48550/ARXIV.2310.04055}, eprinttype = {arXiv}, eprint = {2310.04055}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04055.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05185, author = {Haoran Luo and Haihong E and Yuhao Yang and Tianyu Yao and Yikai Guo and Zichen Tang and Wentai Zhang and Kaiyang Wan and Shiyao Peng and Meina Song and Wei Lin}, title = {Text2NKG: Fine-Grained N-ary Relation Extraction for N-ary relational Knowledge Graph Construction}, journal = {CoRR}, volume = {abs/2310.05185}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05185}, doi = {10.48550/ARXIV.2310.05185}, eprinttype = {arXiv}, eprint = {2310.05185}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05185.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05205, author = {Hanjing Wang and Man{-}Kit Sit and Congjie He and Ying Wen and Weinan Zhang and Jun Wang and Yaodong Yang and Luo Mai}, title = {{GEAR:} {A} GPU-Centric Experience Replay System for Large Reinforcement Learning Models}, journal = {CoRR}, volume = {abs/2310.05205}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05205}, doi = {10.48550/ARXIV.2310.05205}, eprinttype = {arXiv}, eprint = {2310.05205}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05205.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05720, author = {Yaosen Chen and Yu Yao and Zhiqiang Li and Wei Wang and Yanru Zhang and Han Yang and Xuming Wen}, title = {HyperLips: Hyper Control Lips with High Resolution Decoder for Talking Face Generation}, journal = {CoRR}, volume = {abs/2310.05720}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05720}, doi = {10.48550/ARXIV.2310.05720}, eprinttype = {arXiv}, eprint = {2310.05720}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05720.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-08185, author = {Wang You and Wenshan Wu and Yaobo Liang and Shaoguang Mao and Chenfei Wu and Maosong Cao and Yuzhe Cai and Yiduo Guo and Yan Xia and Furu Wei and Nan Duan}, title = {EIPE-text: Evaluation-Guided Iterative Plan Extraction for Long-Form Narrative Text Generation}, journal = {CoRR}, volume = {abs/2310.08185}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.08185}, doi = {10.48550/ARXIV.2310.08185}, eprinttype = {arXiv}, eprint = {2310.08185}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-08185.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09449, author = {Yandong Wen and Weiyang Liu and Yao Feng and Bhiksha Raj and Rita Singh and Adrian Weller and Michael J. Black and Bernhard Sch{\"{o}}lkopf}, title = {Pairwise Similarity Learning is SimPLE}, journal = {CoRR}, volume = {abs/2310.09449}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09449}, doi = {10.48550/ARXIV.2310.09449}, eprinttype = {arXiv}, eprint = {2310.09449}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09773, author = {Yu{-}Chien Tang and Wei{-}Yao Wang and An{-}Zi Yen and Wen{-}Chih Peng}, title = {{RSVP:} Customer Intent Detection via Agent Response Contrastive and Generative Pre-Training}, journal = {CoRR}, volume = {abs/2310.09773}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09773}, doi = {10.48550/ARXIV.2310.09773}, eprinttype = {arXiv}, eprint = {2310.09773}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-00483, author = {Xingru Huang and Yihao Guo and Jian Huang and Zhi Li and Tianyun Zhang and Kunyan Cai and Gaopeng Huang and Wenhao Chen and Zhaoyang Xu and Liangqiong Qu and Ji Hu and Tingyu Wang and Shaowei Jiang and Chenggang Yan and Yaoqi Sun and Xin Ye and Yaqi Wang}, title = {{DEFN:} Dual-Encoder Fourier Group Harmonics Network for Three-Dimensional Macular Hole Reconstruction with Stochastic Retinal Defect Augmentation and Dynamic Weight Composition}, journal = {CoRR}, volume = {abs/2311.00483}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.00483}, doi = {10.48550/ARXIV.2311.00483}, eprinttype = {arXiv}, eprint = {2311.00483}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-00483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05374, author = {Shuyi Xie and Wenlin Yao and Yong Dai and Shaobo Wang and Donlin Zhou and Lifeng Jin and Xinhua Feng and Pengzhi Wei and Yujie Lin and Zhichao Hu and Dong Yu and Zhengyou Zhang and Jing Nie and Yuhong Liu}, title = {TencentLLMEval: {A} Hierarchical Evaluation of Real-World Capabilities for Human-Aligned LLMs}, journal = {CoRR}, volume = {abs/2311.05374}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05374}, doi = {10.48550/ARXIV.2311.05374}, eprinttype = {arXiv}, eprint = {2311.05374}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05374.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-06243, author = {Weiyang Liu and Zeju Qiu and Yao Feng and Yuliang Xiu and Yuxuan Xue and Longhui Yu and Haiwen Feng and Zhen Liu and Juyeon Heo and Songyou Peng and Yandong Wen and Michael J. Black and Adrian Weller and Bernhard Sch{\"{o}}lkopf}, title = {Parameter-Efficient Orthogonal Finetuning via Butterfly Factorization}, journal = {CoRR}, volume = {abs/2311.06243}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.06243}, doi = {10.48550/ARXIV.2311.06243}, eprinttype = {arXiv}, eprint = {2311.06243}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-06243.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04142, author = {Ching Chang and Chiao{-}Tung Chan and Wei{-}Yao Wang and Wen{-}Chih Peng and Tien{-}Fu Chen}, title = {TimeDRL: Disentangled Representation Learning for Multivariate Time-Series}, journal = {CoRR}, volume = {abs/2312.04142}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04142}, doi = {10.48550/ARXIV.2312.04142}, eprinttype = {arXiv}, eprint = {2312.04142}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04142.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06632, author = {Jiyan He and Weitao Feng and Yaosen Min and Jingwei Yi and Kunsheng Tang and Shuai Li and Jie Zhang and Kejiang Chen and Wenbo Zhou and Xing Xie and Weiming Zhang and Nenghai Yu and Shuxin Zheng}, title = {Control Risk for Potential Misuse of Artificial Intelligence in Science}, journal = {CoRR}, volume = {abs/2312.06632}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06632}, doi = {10.48550/ARXIV.2312.06632}, eprinttype = {arXiv}, eprint = {2312.06632}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06632.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10942, author = {Wei{-}Yao Wang and Wen{-}Chih Peng and Wei Wang and Philip S. Yu}, title = {ShuttleSHAP: {A} Turn-Based Feature Attribution Approach for Analyzing Forecasting Models in Badminton}, journal = {CoRR}, volume = {abs/2312.10942}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10942}, doi = {10.48550/ARXIV.2312.10942}, eprinttype = {arXiv}, eprint = {2312.10942}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11553, author = {Ying{-}Ying Chang and Wei{-}Yao Wang and Wen{-}Chih Peng}, title = {SeGA: Preference-Aware Self-Contrastive Learning with Prompts for Anomalous User Detection on Twitter}, journal = {CoRR}, volume = {abs/2312.11553}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11553}, doi = {10.48550/ARXIV.2312.11553}, eprinttype = {arXiv}, eprint = {2312.11553}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11553.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCCLLN22, author = {Li{-}Xian Chen and Wen{-}Liang Chen and Ming{-}Yao Chiang and Yi{-}Bing Lin and Yun{-}Wei Lin and Fung{-}Ling Ng}, title = {BugTalk: Online Prediction for the Life of Spodoptera Litura (Common Cutworm)}, journal = {{IEEE} Access}, volume = {10}, pages = {87157--87167}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3199072}, doi = {10.1109/ACCESS.2022.3199072}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCCLLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MaCCCCCYL22, author = {Yi{-}Wei Ma and Chun{-}Yao Chang and Yen{-}Neng Chiang and Jiann{-}Liang Chen and Chih{-}Hung Chen and Wen{-}Tsung Chang and Shun{-}Ching Yang and Ying{-}Hsun Lai}, title = {Analysis and Response Strategy of Cross-Community Rumors Using Mixed Multilayer Method for Enterprise Cyber Warriors}, journal = {{IEEE} Access}, volume = {10}, pages = {131386--131393}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3228113}, doi = {10.1109/ACCESS.2022.3228113}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MaCCCCCYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangWZW22, author = {Xianwen Zhang and Wenying Wang and Xuanxuan Zheng and Yao Wei}, title = {Radar Target Recognition by Convolutional Capsule Networks Based on High-Resolution Range Profile}, journal = {{IEEE} Access}, volume = {10}, pages = {128392--128398}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3227404}, doi = {10.1109/ACCESS.2022.3227404}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangWZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aiopen/WeiTWLC22, author = {Tao Wei and Yonghong Tian and Yaowei Wang and Yun Liang and Chang Wen Chen}, title = {Optimized separable convolution: Yet another efficient convolution operator}, journal = {{AI} Open}, volume = {3}, pages = {162--171}, year = {2022}, url = {https://doi.org/10.1016/j.aiopen.2022.10.002}, doi = {10.1016/J.AIOPEN.2022.10.002}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aiopen/WeiTWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/YaoLYFLOFLXCYWW22, author = {Jincao Yao and Zhikai Lei and Wenwen Yue and Bojian Feng and Wei Li and Di Ou and Na Feng and Yidan Lu and Jing Xu and Wencong Chen and Chen Yang and Lijing Wang and Liping Wang and Junping Liu and Peiying Wei and Huixiong Xu and Dong Xu}, title = {DeepThy-Net: {A} Multimodal Deep Learning Method for Predicting Cervical Lymph Node Metastasis in Papillary Thyroid Cancer}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {10}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200100}, doi = {10.1002/AISY.202200100}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/YaoLYFLOFLXCYWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ChenGSZLWWS22, author = {Yaosen Chen and Bing Guo and Yan Shen and Renshuang Zhou and Weichen Lu and Wei Wang and Xuming Wen and Xinhua Suo}, title = {Video summarization with u-shaped transformer}, journal = {Appl. Intell.}, volume = {52}, number = {15}, pages = {17864--17880}, year = {2022}, url = {https://doi.org/10.1007/s10489-022-03451-1}, doi = {10.1007/S10489-022-03451-1}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/ChenGSZLWWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ZhengLLZPL22, author = {Yifeng Zheng and Guohe Li and Ying Li and Wenjie Zhang and Xueling Pan and Yaojin Lin}, title = {An optimization approach with weighted SCiForest and weighted Hausdorff distance for noise data and redundant data}, journal = {Appl. Intell.}, volume = {52}, number = {5}, pages = {4909--4926}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02685-9}, doi = {10.1007/S10489-021-02685-9}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/ZhengLLZPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/CaoYZFWSWLW22, author = {Yi Cao and Zhen{-}Qun Yang and Xu{-}Lu Zhang and Wenqi Fan and Yaowei Wang and Jiajun Shen and Dong{-}Qing Wei and Qing Li and Xiao{-}Yong Wei}, title = {Identifying the kind behind {SMILES} - anatomical therapeutic chemical classification using structure-only representations}, journal = {Briefings Bioinform.}, volume = {23}, number = {5}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac346}, doi = {10.1093/BIB/BBAC346}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/CaoYZFWSWLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/YaoAZTHHXMD22, author = {Xiuzhen Yao and Weiqun Ao and Xiandi Zhu and Shuyuan Tian and Xiaoyu Han and Jinwen Hu and Wenjie Xu and Guoqun Mao and Shuitang Deng}, title = {A novel radiomics based on multi-parametric magnetic resonance imaging for predicting Ki-67 expression in rectal cancer: a multicenter study}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {168}, year = {2022}, url = {https://doi.org/10.1186/s12880-023-01123-1}, doi = {10.1186/S12880-023-01123-1}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/YaoAZTHHXMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/LiDLZWYWH22, author = {Chun Li and Jinxin Dong and Jing Li and Wen Zhu and Peng Wang and Yuhua Yao and Chuanan Wei and Henry Han}, title = {Deciphering landscape dynamics of cell fate decision via a Lyapunov method}, journal = {Comput. Biol. Chem.}, volume = {98}, pages = {107689}, year = {2022}, url = {https://doi.org/10.1016/j.compbiolchem.2022.107689}, doi = {10.1016/J.COMPBIOLCHEM.2022.107689}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/LiDLZWYWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YuQLHYZWYWXZWZZ22, author = {Jianhai Yu and Zhiran Qin and Xuling Liu and Xiaoen He and Jinxiu Yao and Xuan Zhou and Kun Wen and Nan Yu and Qinghua Wu and Weiwei Xiao and Li Zhu and Chengsong Wan and Bao Zhang and Wei Zhao}, title = {High-specificity targets in SARS-CoV-2 {N} protein for serological detection and distinction from SARS-CoV}, journal = {Comput. Biol. Medicine}, volume = {143}, pages = {105272}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105272}, doi = {10.1016/J.COMPBIOMED.2022.105272}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YuQLHYZWYWXZWZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LiuPGZY22, author = {Xu Liu and Wei Peng and Zhiqiang Gong and Weien Zhou and Wen Yao}, title = {Temperature field inversion of heat-source systems via physics-informed neural networks}, journal = {Eng. Appl. Artif. Intell.}, volume = {113}, pages = {104902}, year = {2022}, url = {https://doi.org/10.1016/j.engappai.2022.104902}, doi = {10.1016/J.ENGAPPAI.2022.104902}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/LiuPGZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/HuYWBZ22, author = {Gensheng Hu and Pan Yao and Mingzhu Wan and Wenxia Bao and Weihui Zeng}, title = {Detection and classification of diseased pine trees with different levels of severity from {UAV} remote sensing images}, journal = {Ecol. Informatics}, volume = {72}, pages = {101844}, year = {2022}, url = {https://doi.org/10.1016/j.ecoinf.2022.101844}, doi = {10.1016/J.ECOINF.2022.101844}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/HuYWBZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/BaiYWW22, author = {Dengxuan Bai and Wenpo Yao and Shuwang Wang and Jun Wang}, title = {Multiscale Weighted Permutation Entropy Analysis of Schizophrenia Magnetoencephalograms}, journal = {Entropy}, volume = {24}, number = {3}, pages = {314}, year = {2022}, url = {https://doi.org/10.3390/e24030314}, doi = {10.3390/E24030314}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/BaiYWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/CaoLWZLLSCZWZGG22, author = {Tiancheng Cao and Chen Liu and Weijie Wang and Tantan Zhang and Hock Koon Lee and Ming Hua Li and Wendong Song and Zhixian Chen and Victor Yi{-}Qian Zhuo and Nan Wang and Yao Zhu and Yuan Gao and Wang Ling Goh}, title = {A Non-Idealities Aware Software-Hardware Co-Design Framework for Edge-AI Deep Neural Network Implemented on Memristive Crossbar}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {12}, number = {4}, pages = {934--943}, year = {2022}, url = {https://doi.org/10.1109/JETCAS.2022.3214334}, doi = {10.1109/JETCAS.2022.3214334}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/CaoLWZLLSCZWZGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/XiangPLY22, author = {Zixue Xiang and Wei Peng and Xu Liu and Wen Yao}, title = {Self-adaptive loss balanced Physics-informed neural networks}, journal = {Neurocomputing}, volume = {496}, pages = {11--34}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.05.015}, doi = {10.1016/J.NEUCOM.2022.05.015}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/XiangPLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ZhangXZXWY22, author = {Yao Zhang and Wenchao Xia and Haitao Zhao and Wei Xu and Kai{-}Kit Wong and Longxiang Yang}, title = {Cell-Free IoT Networks With {SWIPT:} Performance Analysis and Power Control}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {15}, pages = {13780--13793}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3143531}, doi = {10.1109/JIOT.2022.3143531}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ZhangXZXWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HanDJDY22, author = {Dong Han and Wenli Du and Yaochu Jin and Wei Du and Guo Yu}, title = {A fuzzy constraint handling technique for decomposition-based constrained multi- and many-objective optimization}, journal = {Inf. Sci.}, volume = {597}, pages = {318--340}, year = {2022}, url = {https://doi.org/10.1016/j.ins.2022.03.030}, doi = {10.1016/J.INS.2022.03.030}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/HanDJDY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/MaDCSLZZY22, author = {Handong Ma and Zhecheng Dong and Mingcheng Chen and Wenbo Sheng and Yao Li and Weinan Zhang and Shaodian Zhang and Yong Yu}, title = {A gradient boosting tree model for multi-department venous thromboembolism risk assessment with imbalanced data}, journal = {J. Biomed. Informatics}, volume = {134}, pages = {104210}, year = {2022}, url = {https://doi.org/10.1016/j.jbi.2022.104210}, doi = {10.1016/J.JBI.2022.104210}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/MaDCSLZZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/DuanLYCJFWLZSD22, author = {Lingyun Duan and Ziyuan Liu and Wen Yu and Wei Chen and Dongyan Jin and Yao Feng and Yu Wang and Jiajia Liu and Han Zhou and Suhua Sun and Ruixi Dai}, title = {The provincial trend of population aging in China - based on population expansion forecast formula}, journal = {J. Comput. Methods Sci. Eng.}, volume = {22}, number = {1}, pages = {349--359}, year = {2022}, url = {https://doi.org/10.3233/JCM-215630}, doi = {10.3233/JCM-215630}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcmse/DuanLYCJFWLZSD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/YaoR22, author = {Wenqi Yao and Weiqing Ren}, title = {Vapor-liquid phase transition in fluctuating hydrodynamics: The most probable transition path and its computation}, journal = {J. Comput. Phys.}, volume = {467}, pages = {111426}, year = {2022}, url = {https://doi.org/10.1016/j.jcp.2022.111426}, doi = {10.1016/J.JCP.2022.111426}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/YaoR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/SunTZYHSYWL22, author = {Shuping Sun and Yaonan Tong and Biqiang Zhang and Bowen Yang and Peiguang He and Wei Song and Wenbo Yang and Yilin Wu and Guangyu Liu}, title = {An adaptive optimization method for estimating the number of components in a Gaussian mixture model}, journal = {J. Comput. Sci.}, volume = {64}, pages = {101874}, year = {2022}, url = {https://doi.org/10.1016/j.jocs.2022.101874}, doi = {10.1016/J.JOCS.2022.101874}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocs/SunTZYHSYWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jtaer/KanLHY22, author = {Daxue Kan and Lianju Lyu and Weichiao Huang and Wenqing Yao}, title = {Digital Economy and the Upgrading of the Global Value Chain of China's Service Industry}, journal = {J. Theor. Appl. Electron. Commer. Res.}, volume = {17}, number = {4}, pages = {1279--1296}, year = {2022}, url = {https://doi.org/10.3390/jtaer17040065}, doi = {10.3390/JTAER17040065}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jtaer/KanLHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/WeiLGYSCKFC22, author = {Zhihao Wei and Xunjian Long and Yingying Gai and Zekun Yang and Xinxin Sui and Xi Chen and Guangyuan Kan and Wenjie Fan and Yaokui Cui}, title = {Evaluating Remote Sensing Precipitation Products Using Double Instrumental Variable Method}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2022.3192644}, doi = {10.1109/LGRS.2022.3192644}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/WeiLGYSCKFC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/YaoLZHX22, author = {Yirong Yao and Wenbo Liu and Gong Zhang and Wen Hu and Wei Xiong}, title = {Fast {SAR} Image Recognition via Hyperdimensional Computing Using Monogenic Mapping}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2022.3177632}, doi = {10.1109/LGRS.2022.3177632}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/YaoLZHX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChenYTJWCCLCLCH22, author = {Yi{-}Gang Chen and Lantian Yao and Yun Tang and Jhih{-}Hua Jhong and Jingting Wan and Jingyue Chang and Shi{-}Dong Cui and Yijun Luo and Xiao{-}Xuan Cai and Wenshuo Li and Qi Chen and Hsi{-}Yuan Huang and Zhuo Wang and Weiming Chen and Tzu{-}Hao Chang and Feng{-}Xiang Wei and Tzong{-}Yi Lee and Hsien{-}Da Huang}, title = {CircNet 2.0: an updated database for exploring circular {RNA} regulatory networks in cancers}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {93--101}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1036}, doi = {10.1093/NAR/GKAB1036}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChenYTJWCCLCLCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangLCHTXBLWZW22, author = {Hsi{-}Yuan Huang and Yang{-}Chi{-}Dung Lin and Shi{-}Dong Cui and Yixian Huang and Yun Tang and Jia{-}Tong Xu and Jiayang Bao and Yulin Li and Jia Wen and Hua{-}Li Zuo and Weijuan Wang and Jing Li and Jie Ni and Yini Ruan and Liping Li and Yidan Chen and Yue{-}Yang Xie and Zihao Zhu and Xiao{-}Xuan Cai and Xin{-}Yi Chen and Lantian Yao and Yi{-}Gang Chen and Yijun Luo and Shupeng Luxu and Mengqi Luo and Chih{-}Min Chiu and Kun Ma and Lizhe Zhu and Gui{-}Juan Cheng and Chen Bai and Ying{-}Chih Chiang and Liping Wang and Feng{-}Xiang Wei and Tzong{-}Yi Lee and Hsien{-}Da Huang}, title = {miRTarBase update 2022: an informative resource for experimentally validated miRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {222--230}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1079}, doi = {10.1093/NAR/GKAB1079}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangLCHTXBLWZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LiLLJLYPWWMYHZC22, author = {Zhongyan Li and Shangfu Li and Mengqi Luo and Jhih{-}Hua Jhong and Wenshuo Li and Lantian Yao and Yuxuan Pang and Zhuo Wang and Rulan Wang and Renfei Ma and Jinhan Yu and Yuqi Huang and Xiaoning Zhu and Qifan Cheng and Hexiang Feng and Jiahong Zhang and Chunxuan Wang and Justin Bo{-}Kai Hsu and Wen{-}Chi Chang and Feng{-}Xiang Wei and Hsien{-}Da Huang and Tzong{-}Yi Lee}, title = {dbPTM in 2022: an updated database for exploring regulatory networks and functional associations of protein post-translational modifications}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {471--479}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1017}, doi = {10.1093/NAR/GKAB1017}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/LiLLJLYPWWMYHZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XiongYLMZWLZZZK22, author = {Zhuang Xiong and Fei Yang and Mengwei Li and Yingke Ma and Wei Zhao and Guoliang Wang and Zhaohua Li and Xinchang Zheng and Dong Zou and Wenting Zong and Hongen Kang and Yaokai Jia and Rujiao Li and Zhang Zhang and Yiming Bao}, title = {{EWAS} Open Platform: integrated data, knowledge and toolkit for epigenome-wide association study}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {1004--1009}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab972}, doi = {10.1093/NAR/GKAB972}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XiongYLMZWLZZZK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LiuZPZY22, author = {Xu Liu and Xiaoya Zhang and Wei Peng and Weien Zhou and Wen Yao}, title = {A novel meta-learning initialization method for physics-informed neural networks}, journal = {Neural Comput. Appl.}, volume = {34}, number = {17}, pages = {14511--14534}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07294-2}, doi = {10.1007/S00521-022-07294-2}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LiuZPZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncs/RenLXWJJZCSZDZD22, author = {Wenhui Ren and Weikang Li and Shibo Xu and Ke Wang and Wenjie Jiang and Feitong Jin and Xuhao Zhu and Jiachen Chen and Zixuan Song and Pengfei Zhang and Hang Dong and Xu Zhang and Jinfeng Deng and Yu Gao and Chuanyu Zhang and Yaozu Wu and Bing Zhang and Qiujiang Guo and Hekang Li and Zhen Wang and Jacob D. Biamonte and Chao Song and Dong{-}Ling Deng and Haohua Wang}, title = {Experimental quantum adversarial learning with programmable superconducting qubits}, journal = {Nat. Comput. Sci.}, volume = {2}, number = {11}, pages = {711--717}, year = {2022}, url = {https://doi.org/10.1038/s43588-022-00351-9}, doi = {10.1038/S43588-022-00351-9}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncs/RenLXWJJZCSZDZD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/YaoCZZZ22, author = {Zhuohui Yao and Wenchi Cheng and Wei Zhang and Tao Zhang and Hailin Zhang}, title = {The Rise of {UAV} Fleet Technologies for Emergency Wireless Communications in Harsh Environments}, journal = {{IEEE} Netw.}, volume = {36}, number = {4}, pages = {28--37}, year = {2022}, url = {https://doi.org/10.1109/MNET.001.2100691}, doi = {10.1109/MNET.001.2100691}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/YaoCZZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/HuangLJGNXWYX22, author = {Hao Huang and Lu Liu and Chengpeng Jiang and Jiangdong Gong and Yao Ni and Zhipeng Xu and Huanhuan Wei and Haiyang Yu and Wentao Xu}, title = {Two-dimensional molybdenum disulfide artificial synapse with high sensitivity}, journal = {Neuromorph. Comput. Eng.}, volume = {2}, number = {1}, pages = {14004}, year = {2022}, url = {https://doi.org/10.1088/2634-4386/ac4338}, doi = {10.1088/2634-4386/AC4338}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/HuangLJGNXWYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/WangYWGLYKLWS22, author = {Jiale Wang and Chenhao Yue and Gang Wang and Yi Gong and Han Li and Wei Yao and Shaolong Kuang and Wenyong Liu and Junchen Wang and Baiquan Su}, title = {Task Autonomous Medical Robot for Both Incision Stapling and Staples Removal}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {3279--3285}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3141452}, doi = {10.1109/LRA.2022.3141452}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/WangYWGLYKLWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/WangDHZFY22, author = {Wenna Wang and Weili Ding and Changchun Hua and Heng Zhang and Haibing Feng and Yao Yao}, title = {A digital twin for 3D path planning of large-span curved-arm gantry robot}, journal = {Robotics Comput. Integr. Manuf.}, volume = {76}, pages = {102330}, year = {2022}, url = {https://doi.org/10.1016/j.rcim.2022.102330}, doi = {10.1016/J.RCIM.2022.102330}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/WangDHZFY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AnZWZDS22, author = {Weining An and Xinqi Zhang and Hang Wu and Wenchang Zhang and Yaohua Du and Jinggong Sun}, title = {{LPIN:} {A} Lightweight Progressive Inpainting Network for Improving the Robustness of Remote Sensing Images Scene Classification}, journal = {Remote. Sens.}, volume = {14}, number = {1}, pages = {53}, year = {2022}, url = {https://doi.org/10.3390/rs14010053}, doi = {10.3390/RS14010053}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/AnZWZDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DuZMZLYZCC22, author = {Yangxingyi Du and Dong Zheng and Ruiyang Ma and Yijun Zhang and Weitao Lyu and Wen Yao and Wenjuan Zhang and Luobu Ciren and Deqing Cuomu}, title = {Thunderstorm Activity over the Qinghai-Tibet Plateau Indicated by the Combined Data of the {FY-2E} Geostationary Satellite and {WWLLN}}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2855}, year = {2022}, url = {https://doi.org/10.3390/rs14122855}, doi = {10.3390/RS14122855}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/DuZMZLYZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HeWLYCZ22, author = {Bing He and Xi Wu and Kang Liu and Yuanzhi Yao and Wenjiang Chen and Wei Zhao}, title = {Trends in Forest Greening and Its Spatial Correlation with Bioclimatic and Environmental Factors in the Greater Mekong Subregion from 2001 to 2020}, journal = {Remote. Sens.}, volume = {14}, number = {23}, pages = {5982}, year = {2022}, url = {https://doi.org/10.3390/rs14235982}, doi = {10.3390/RS14235982}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/HeWLYCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuZZZFLYF22, author = {Xiaojie Liu and Dong Zheng and Yang Zhang and Yijun Zhang and Xiangpeng Fan and Weitao Lyu and Wen Yao and Yanfeng Fan}, title = {Spatiotemporal Correlation between Artificially Triggered and Adjacent Natural Lightning Flashes}, journal = {Remote. Sens.}, volume = {14}, number = {17}, pages = {4214}, year = {2022}, url = {https://doi.org/10.3390/rs14174214}, doi = {10.3390/RS14174214}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuZZZFLYF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AiCWCDL22, author = {Yaohong Ai and Chin{-}Ling Chen and Wei Weng and Mao{-}Lun Chiang and Yong{-}Yuan Deng and Zi{-}Yi Lim}, title = {A Traceable Vaccine Supply Management System}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9670}, year = {2022}, url = {https://doi.org/10.3390/s22249670}, doi = {10.3390/S22249670}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AiCWCDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenIHSLPPDWLC22, author = {Hsing{-}Chung Chen and Bambang Irawan and Pei{-}Yu Hsu and Jhih{-}Sheng Su and Jerry Chun{-}Wei Lin and Prayitno and Karisma Trinanda Putra and Cahya Damarjati and Chien{-}Erh Weng and Yao{-}Hsien Liang and Pi{-}Hsien Chang}, title = {An Implementation of Trust Chain Framework with Hierarchical Content Identifier Mechanism by Using Blockchain Technology}, journal = {Sensors}, volume = {22}, number = {13}, pages = {4831}, year = {2022}, url = {https://doi.org/10.3390/s22134831}, doi = {10.3390/S22134831}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenIHSLPPDWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GaoGLZWYLWD22, author = {Min Gao and Xingfa Gu and Yan Liu and Yulin Zhan and Xiangqin Wei and Haidong Yu and Man Liang and Chenyang Weng and Yaozong Ding}, title = {An Improved Spatiotemporal Data Fusion Method for Snow-Covered Mountain Areas Using Snow Index and Elevation Information}, journal = {Sensors}, volume = {22}, number = {21}, pages = {8524}, year = {2022}, url = {https://doi.org/10.3390/s22218524}, doi = {10.3390/S22218524}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GaoGLZWYLWD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeWCLZL22, author = {Jia{-}Rong He and Jia{-}Wen Wei and Shi{-}Yi Chen and Na Li and Xiu{-}Di Zhong and Yao{-}Qun Li}, title = {Machine Learning-Assisted Synchronous Fluorescence Sensing Approach for Rapid and Simultaneous Quantification of Thiabendazole and Fuberidazole in Red Wine}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9979}, year = {2022}, url = {https://doi.org/10.3390/s22249979}, doi = {10.3390/S22249979}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HeWCLZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HsuGCC22, author = {Wei{-}Wen Hsu and Jing{-}Ming Guo and Chien{-}Yu Chen and Yao{-}Chung Chang}, title = {Fall Detection with the Spatial-Temporal Correlation Encoded by a Sequence-to-Sequence Denoised {GAN}}, journal = {Sensors}, volume = {22}, number = {11}, pages = {4194}, year = {2022}, url = {https://doi.org/10.3390/s22114194}, doi = {10.3390/S22114194}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HsuGCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuWLYDTL22, author = {Meijia Hu and Yantao Wei and Mengsiying Li and Huang Yao and Wei Deng and Mingwen Tong and Qingtang Liu}, title = {Bimodal Learning Engagement Recognition from Videos in the Classroom}, journal = {Sensors}, volume = {22}, number = {16}, pages = {5932}, year = {2022}, url = {https://doi.org/10.3390/s22165932}, doi = {10.3390/S22165932}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuWLYDTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiangYLZZW22, author = {Xiaobei Liang and Jinyong Yao and Lei Luo and Wenzhao Zhu and Weifang Zhang and Yanrong Wang}, title = {A New Proportionate Filtered-x {RLS} Algorithm for Active Noise Control System}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4566}, year = {2022}, url = {https://doi.org/10.3390/s22124566}, doi = {10.3390/S22124566}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiangYLZZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YaoSLSFYGZZ22, author = {Jun{-}Cheng Yao and Bin Shi and Jie Liu and Meng{-}Ya Sun and Ke Fang and Jian Yao and Kai Gu and Wei Zhang and Ji{-}Wen Zhang}, title = {Improvement and Performance Evaluation of a Dual-Probe Heat Pulse Distributed Temperature Sensing Method Used for Soil Moisture Estimation}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7592}, year = {2022}, url = {https://doi.org/10.3390/s22197592}, doi = {10.3390/S22197592}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YaoSLSFYGZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangJLYNZGLW22, author = {Haicheng Zhang and Beibei Jia and Yao Lu and Seung Chul Yoon and Xinzhi Ni and Hong Zhuang and Xiaohuan Guo and Wenxin Le and Wei Wang}, title = {Detection of Aflatoxin {B1} in Single Peanut Kernels by Combining Hyperspectral and Microscopic Imaging Technologies}, journal = {Sensors}, volume = {22}, number = {13}, pages = {4864}, year = {2022}, url = {https://doi.org/10.3390/s22134864}, doi = {10.3390/S22134864}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhangJLYNZGLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenZGTC22, author = {Jianli Chen and Ziran Zhu and Longkun Guo and Yu{-}Wei Tseng and Yao{-}Wen Chang}, title = {Mixed-Cell-Height Placement With Drain-to-Drain Abutment and Region Constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {4}, pages = {1103--1115}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3077586}, doi = {10.1109/TCAD.2021.3077586}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenZGTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TsengHLC22, author = {Wei{-}Hsiang Tseng and Chen{-}Hao Hsu and Wan{-}Hsuan Lin and Yao{-}Wen Chang}, title = {A Bridge-Based Compression Algorithm for Topological Quantum Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5582--5595}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3161597}, doi = {10.1109/TCAD.2022.3161597}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/TsengHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/TuZZADYWGLLF22, author = {Xiaoguang Tu and Yingtian Zou and Jian Zhao and Wenjie Ai and Jian Dong and Yuan Yao and Zhikang Wang and Guodong Guo and Zhifeng Li and Wei Liu and Jiashi Feng}, title = {Image-to-Video Generation via 3D Facial Dynamics}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {32}, number = {4}, pages = {1805--1819}, year = {2022}, url = {https://doi.org/10.1109/TCSVT.2021.3083257}, doi = {10.1109/TCSVT.2021.3083257}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/TuZZADYWGLLF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/0005ZJCY0022, author = {Xin Jin and Dongming Zhou and Qian Jiang and Xing Chu and Shaowen Yao and Keqin Li and Wei Zhou}, title = {How to Analyze the Neurodynamic Characteristics of Pulse-Coupled Neural Networks? {A} Theoretical Analysis and Case Study of Intersecting Cortical Model}, journal = {{IEEE} Trans. Cybern.}, volume = {52}, number = {7}, pages = {6354--6368}, year = {2022}, url = {https://doi.org/10.1109/TCYB.2020.3043233}, doi = {10.1109/TCYB.2020.3043233}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcyb/0005ZJCY0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/DuSTJQ22, author = {Wei Du and Wenjiang Song and Yang Tang and Yaochu Jin and Feng Qian}, title = {Searching for Robustness Intervals in Evolutionary Robust Optimization}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {26}, number = {1}, pages = {58--72}, year = {2022}, url = {https://doi.org/10.1109/TEVC.2021.3092343}, doi = {10.1109/TEVC.2021.3092343}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tec/DuSTJQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/LiuWPY22, author = {Shulei Liu and Handing Wang and Wei Peng and Wen Yao}, title = {A Surrogate-Assisted Evolutionary Feature Selection Algorithm With Parallel Random Grouping for High-Dimensional Classification}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {26}, number = {5}, pages = {1087--1101}, year = {2022}, url = {https://doi.org/10.1109/TEVC.2022.3149601}, doi = {10.1109/TEVC.2022.3149601}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/LiuWPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LinCYLLHCLCTN22, author = {Wei{-}Ting Lin and Hsiang{-}Yun Cheng and Chia{-}Lin Yang and Meng{-}Yao Lin and Kai Lien and Han{-}Wen Hu and Hung{-}Sheng Chang and Hsiang{-}Pang Li and Meng{-}Fan Chang and Yen{-}Ting Tsou and Chin{-}Fu Nien}, title = {{DL-RSIM:} {A} Reliability and Deployment Strategy Simulation Framework for ReRAM-based {CNN} Accelerators}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {3}, pages = {24:1--24:29}, year = {2022}, url = {https://doi.org/10.1145/3507639}, doi = {10.1145/3507639}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LinCYLLHCLCTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/FengJTJLZY22, author = {Jia{-}Nan Feng and Qian Jiang and Ching{-}Hsun Tseng and Xin Jin and Ling Liu and Wei Zhou and Shaowen Yao}, title = {A Deep Multitask Convolutional Neural Network for Remote Sensing Image Super-Resolution and Colorization}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--15}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3154435}, doi = {10.1109/TGRS.2022.3154435}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/FengJTJLZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JiangZWWZYZCC22, author = {Jiale Jiang and Qiaofeng Zhang and Wenhui Wang and Yapeng Wu and Hengbiao Zheng and Xia Yao and Yan Zhu and Weixing Cao and Tao Cheng}, title = {{MACA:} {A} Relative Radiometric Correction Method for Multiflight Unmanned Aerial Vehicle Images Based on Concurrent Satellite Imagery}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--14}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3158644}, doi = {10.1109/TGRS.2022.3158644}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/JiangZWWZYZCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/WangWZW22, author = {Yao{-}Wei Wang and Xiang Wu and Wen{-}An Zhang and Min Wu}, title = {Equivalent-Input-Disturbance-Based Position Synchronization Control of Networked Multiaxis Motion System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {8}, pages = {8317--8324}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3106021}, doi = {10.1109/TIE.2021.3106021}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/WangWZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ZhangWSYWWD22, author = {Jinyang Zhang and Chenyuan Wu and Wenqing Shao and Fuqiang Yao and Jun Wang and Zhenbo Wei and Dongdong Du}, title = {Thickness-Independent Measurement of Grain Moisture Content by Attenuation and Corrected Phase Shift of Microwave Signals at Multiple Optimized Frequencies}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {11}, pages = {11785--11795}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3116582}, doi = {10.1109/TIE.2021.3116582}, timestamp = {Sun, 03 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ZhangWSYWWD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JiangLZJHZY22, author = {Qian Jiang and Shin{-}Jye Lee and Xiao{-}Jun Zeng and Xin Jin and Jingyu Hou and Wei Zhou and Shaowen Yao}, title = {A Multifocus Image Fusion Scheme Based on Similarity Measure of Transformed Isosceles Triangles Between Intuitionistic Fuzzy Sets}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--15}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3169571}, doi = {10.1109/TIM.2022.3169571}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JiangLZJHZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ShiCCWZZZYWFYCL22, author = {Feng Shi and Bojiang Chen and Qiqi Cao and Ying Wei and Qing Zhou and Rui Zhang and Yaojie Zhou and Wenjie Yang and Xiang Wang and Rongrong Fan and Fan Yang and Yanbo Chen and Weimin Li and Yaozong Gao and Dinggang Shen}, title = {Semi-Supervised Deep Transfer Learning for Benign-Malignant Diagnosis of Pulmonary Nodules in Chest {CT} Images}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {4}, pages = {771--781}, year = {2022}, url = {https://doi.org/10.1109/TMI.2021.3123572}, doi = {10.1109/TMI.2021.3123572}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/ShiCCWZZZYWFYCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ZhangBWDHNZVGYX22, author = {Huijuan Zhang and Wei Bo and Depeng Wang and Anthony DiSpirito III and Chuqin Huang and Nikhila Nyayapathi and Emily Zheng and Tri Vu and Yiyang Gong and Junjie Yao and Wenyao Xu and Jun Xia}, title = {Deep-E: {A} Fully-Dense Neural Network for Improving the Elevation Resolution in Linear-Array-Based Photoacoustic Tomography}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {5}, pages = {1279--1288}, year = {2022}, url = {https://doi.org/10.1109/TMI.2021.3137060}, doi = {10.1109/TMI.2021.3137060}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/ZhangBWDHNZVGYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ZhongDFHZLW22, author = {Yaoyao Zhong and Weihong Deng and Han Fang and Jiani Hu and Dongyue Zhao and Xian Li and Dongchao Wen}, title = {Dynamic Training Data Dropout for Robust Deep Face Recognition}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {1186--1197}, year = {2022}, url = {https://doi.org/10.1109/TMM.2021.3123478}, doi = {10.1109/TMM.2021.3123478}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/ZhongDFHZLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/HuangAFCZYW22, author = {Ying Huang and Xiaomeng Ai and Jiakun Fang and Shichang Cui and Runfeng Zhong and Wei Yao and Jinyu Wen}, title = {Holomorphic Embedding Power Flow Algorithm for Isolated {AC} Microgrids With Hierarchical Control}, journal = {{IEEE} Trans. Smart Grid}, volume = {13}, number = {3}, pages = {1679--1690}, year = {2022}, url = {https://doi.org/10.1109/TSG.2021.3139347}, doi = {10.1109/TSG.2021.3139347}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/HuangAFCZYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/QiuYZLYZL22, author = {Wei Qiu and He Yin and Liang Zhang and Xiqian Luo and Wenxuan Yao and Lin Zhu and Yilu Liu}, title = {Pulsar-Calibrated Timing Source for Synchronized Sampling}, journal = {{IEEE} Trans. Smart Grid}, volume = {13}, number = {2}, pages = {1654--1657}, year = {2022}, url = {https://doi.org/10.1109/TSG.2021.3127396}, doi = {10.1109/TSG.2021.3127396}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/QiuYZLYZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhaoYZSJW22, author = {Yifan Zhao and Wei Yao and Chuan{-}Ke Zhang and Xing{-}Chen Shang{-}Guan and Lin Jiang and Jinyu Wen}, title = {Quantifying Resilience of Wide-Area Damping Control Against Cyber Attack Based on Switching System Theory}, journal = {{IEEE} Trans. Smart Grid}, volume = {13}, number = {3}, pages = {2331--2343}, year = {2022}, url = {https://doi.org/10.1109/TSG.2022.3146375}, doi = {10.1109/TSG.2022.3146375}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ZhaoYZSJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiuLYZDYLZS22, author = {Xin Liu and Dayiheng Liu and Baosong Yang and Haibo Zhang and Junwei Ding and Wenqing Yao and Weihua Luo and Haiying Zhang and Jinsong Su}, title = {{KGR4:} Retrieval, Retrospect, Refine and Rethink for Commonsense Generation}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {11029--11037}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i10.21351}, doi = {10.1609/AAAI.V36I10.21351}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiuLYZDYLZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangJSZGZYC22, author = {Donghua Wang and Tingsong Jiang and Jialiang Sun and Weien Zhou and Zhiqiang Gong and Xiaoya Zhang and Wen Yao and Xiaoqian Chen}, title = {{FCA:} Learning a 3D Full-Coverage Vehicle Camouflage for Multi-View Physical Adversarial Attack}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {2414--2422}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i2.20141}, doi = {10.1609/AAAI.V36I2.20141}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangJSZGZYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangP22, author = {Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Amitava Das and Tanmay Chakraborty and Asif Ekbal and Amit P. Sheth}, title = {Team Yao at Factify 2022: Utilizing Pre-trained Models and Co-attention Networks for Multi-Modal Fact Verification (short paper)}, booktitle = {Proceedings of the Workshop on Multi-Modal Fake News and Hate-Speech Detection {(DE-FACTIFY} 2022) co-located with the Thirty-Sixth {AAAI} Conference on Artificial Intelligence {(} {AAAI} 2022), Virtual Event, Vancouver, Canada, February 27, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3199}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3199/paper5.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:15 +0100}, biburl = {https://dblp.org/rec/conf/aaai/WangP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WangSCP22, author = {Wei{-}Yao Wang and Hong{-}Han Shuai and Kai{-}Shiang Chang and Wen{-}Chih Peng}, title = {ShuttleNet: Position-Aware Fusion of Rally Progress and Player Styles for Stroke Forecasting in Badminton}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {4219--4227}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i4.20341}, doi = {10.1609/AAAI.V36I4.20341}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WangSCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/XuY0JCHZWT22, author = {Qi Xu and Liang Yao and Zhengkai Jiang and Guannan Jiang and Wenqing Chu and Wenhui Han and Wei Zhang and Chengjie Wang and Ying Tai}, title = {{DIRL:} Domain-Invariant Representation Learning for Generalizable Semantic Segmentation}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {2884--2892}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i3.20193}, doi = {10.1609/AAAI.V36I3.20193}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/XuY0JCHZWT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/FanCTLHJ22, author = {Caoyun Fan and Wenqing Chen and Jidong Tian and Yitian Li and Hao He and Yaohui Jin}, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {MaxGNR: {A} Dynamic Weight Strategy via Maximizing Gradient-to-Noise Ratio for Multi-task Learning}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13841}, pages = {523--538}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26319-4\_31}, doi = {10.1007/978-3-031-26319-4\_31}, timestamp = {Wed, 08 Mar 2023 08:47:33 +0100}, biburl = {https://dblp.org/rec/conf/accv/FanCTLHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aipr2/LiuXQWGYF22, author = {Wei Liu and Rong{-}Yao Xu and Lang{-}Chao Qiao and Jin{-}Lu Wang and Bao{-}Hong Gao and Xin{-}Gang Yang and Wen{-}Tao Feng}, title = {Express-related Counterfeit Cigarette Crime Prediction with Imbalanced Data-based Machine Learning Techniques}, booktitle = {Proceedings of the 5th International Conference on Artificial Intelligence and Pattern Recognition, {AIPR} 2022, Xiamen, China, September 23-25, 2022}, pages = {57--62}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3573942.3573951}, doi = {10.1145/3573942.3573951}, timestamp = {Sun, 18 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aipr2/LiuXQWGYF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnet/LiuXLSRXLW22, author = {Yuxi Liu and Yao Xin and Wenjun Li and Haoyu Song and Ori Rottenstreich and Gaogang Xie and Weichao Li and Yi Wang}, title = {HybridTSS: {A} Recursive Scheme Combining Coarse- and Fine- Grained Tuples for Packet Classification}, booktitle = {Proceedings of the 6th Asia-Pacific Workshop on Networking, APNet 2022, Fuzhou, China, July 1-2, 2022}, pages = {43--49}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3542637.3542644}, doi = {10.1145/3542637.3542644}, timestamp = {Sat, 02 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnet/LiuXLSRXLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiahaptics/YaoCCZYMB22, author = {Xinwei Yao and Ming Chen and Chuan Cao and Lei Zhang and Wenzhen Yang and Mithun Mukherjee and Hujun Bao}, editor = {Dangxiao Wang and Aiguo Song and Qian Liu and Ki{-}Uk Kyung and Masashi Konyo and Hiroyuki Kajimoto and Lihan Chen and Jee{-}Hwan Ryu}, title = {Multi-modal Sensing-Based Interactive Glove System for Teleoperation and {VR/AR}}, booktitle = {Haptic Interaction - 5th International Conference, AsiaHaptics 2022, Beijing, China, November 12-14, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14063}, pages = {189--207}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-46839-1\_15}, doi = {10.1007/978-3-031-46839-1\_15}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiahaptics/YaoCCZYMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ShiZZLYL22, author = {Gen Shi and Yifan Zhu and Fuquan Zhang and Wenjin Liu and Yuxiang Yao and Xuesong Li}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {Fusion Learning of Multimodal Neuroimaging with Weighted Graph AutoEncoder}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {2467--2473}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995243}, doi = {10.1109/BIBM55620.2022.9995243}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ShiZZLYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YaoZJSH22, author = {Wenjie Yao and Weizhong Zhao and Xingpeng Jiang and Xianjun Shen and Tingting He}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {{MPGNN-DSA:} {A} Meta-path-based Graph Neural Network for drug-side effect association prediction}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {627--632}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995486}, doi = {10.1109/BIBM55620.2022.9995486}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YaoZJSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/TangCWJ22, author = {Wei Tang and Lingling Cao and Yaomin Wen and Sheng{-}Long Jiang}, title = {A bi-level optimization method for integrated production scheduling between continuous casting and hot rolling processes}, booktitle = {18th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2022, Mexico City, Mexico, August 20-24, 2022}, pages = {1080--1085}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CASE49997.2022.9926732}, doi = {10.1109/CASE49997.2022.9926732}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/TangCWJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccbr/LiSLZGW22, author = {Wei Li and Jiwei Song and Yao Liu and Chen Zhong and Li Geng and Wenfeng Wang}, editor = {Weihong Deng and Jianjiang Feng and Di Huang and Meina Kan and Zhenan Sun and Fang Zheng and Wenfeng Wang and Zhaofeng He}, title = {Gait Recognition with Various Data Modalities: {A} Review}, booktitle = {Biometric Recognition - 16th Chinese Conference, {CCBR} 2022, Beijing, China, November 11-13, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13628}, pages = {414--423}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20233-9\_42}, doi = {10.1007/978-3-031-20233-9\_42}, timestamp = {Sun, 20 Nov 2022 17:23:31 +0100}, biburl = {https://dblp.org/rec/conf/ccbr/LiSLZGW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/DuWP22, author = {Wei{-}Wei Du and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Georgios Drakopoulos and Eleanna Kafeza}, title = {Track2Vec: fairness music recommendation with a GPU-free customizable-driven framework}, booktitle = {Proceedings of the {CIKM} 2022 Workshops co-located with 31st {ACM} International Conference on Information and Knowledge Management {(CIKM} 2022), Atlanta, USA, October 17-21, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3318}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3318/short10.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:32 +0100}, biburl = {https://dblp.org/rec/conf/cikm/DuWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/WangDP22, author = {Wei{-}Yao Wang and Wei{-}Wei Du and Wen{-}Chih Peng}, editor = {Georgios Drakopoulos and Eleanna Kafeza}, title = {RecFormer: personalized temporal-aware transformer for fair music recommendation}, booktitle = {Proceedings of the {CIKM} 2022 Workshops co-located with 31st {ACM} International Conference on Information and Knowledge Management {(CIKM} 2022), Atlanta, USA, October 17-21, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3318}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3318/short9.pdf}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/WangDP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChuSHLF0LYC22, author = {Yen{-}Jui Chu and Jheng{-}Wei Su and Kai{-}Wen Hsiao and Chi{-}Yu Lien and Shu{-}Ho Fan and Min{-}Chun Hu and Ruen{-}Rone Lee and Chih{-}Yuan Yao and Hung{-}Kuo Chu}, title = {Sports Field Registration via Keypoints-aware Label Condition}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {3522--3529}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00396}, doi = {10.1109/CVPRW56347.2022.00396}, timestamp = {Mon, 29 Aug 2022 11:44:03 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChuSHLF0LYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HeYQJZWLWL22, author = {Weihua He and Kaichao You and Zhendong Qiao and Xu Jia and Ziyang Zhang and Wenhui Wang and Huchuan Lu and Yaoyuan Wang and Jianxing Liao}, title = {TimeReplayer: Unlocking the Potential of Event Cameras for Video Interpolation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {17783--17792}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01728}, doi = {10.1109/CVPR52688.2022.01728}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/HeYQJZWLWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/0001TYLCTSHWYWC22, author = {Fu{-}Chieh Chang and Yu{-}Wei Tseng and Ya{-}Wen Yu and Ssu{-}Rui Lee and Alexandru Cioba and I{-}Lun Tseng and Da{-}Shan Shiu and Jhih{-}Wei Hsu and Cheng{-}Yuan Wang and Chien{-}Yi Yang and Ren{-}Chu Wang and Yao{-}Wen Chang and Tai{-}Chen Chen and Tung{-}Chieh Chen}, editor = {Rob Oshana}, title = {Flexible chip placement via reinforcement learning: late breaking results}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1392--1393}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530617}, doi = {10.1145/3489517.3530617}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/0001TYLCTSHWYWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengC22, author = {Wei{-}Hsiang Tseng and Yao{-}Wen Chang}, editor = {Rob Oshana}, title = {A bridge-based algorithm for simultaneous primal and dual defects compression on topologically quantum-error-corrected circuits}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {535--540}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530483}, doi = {10.1145/3489517.3530483}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TsengC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/FengLZSZJYLGZWFHHLCDZHLWJJSWLZZZGWRHFH22, author = {Ruicheng Feng and Chongyi Li and Shangchen Zhou and Wenxiu Sun and Qingpeng Zhu and Jun Jiang and Qingyu Yang and Chen Change Loy and Jinwei Gu and Yurui Zhu and Xi Wang and Xueyang Fu and Xiaowei Hu and Jinfan Hu and Xina Liu and Xiangyu Chen and Chao Dong and Dafeng Zhang and Feiyu Huang and Shizhuo Liu and Xiaobing Wang and Zhezhu Jin and Xuhao Jiang and Guangqi Shao and Xiaotao Wang and Lei Lei and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Tao Huang and Zhenxuan Fang and Mengluan Huang and Junwei Xu and Yong Zhang and Yuechi Yang and Qidi Shu and Zhiwen Yang and Shaocong Li and Mingde Yao and Ruikang Xu and Yuanshen Guan and Jie Huang and Zhiwei Xiong and Hangyan Zhu and Ming Liu and Shaohui Liu and Wangmeng Zuo and Zhuang Jia and Binbin Song and Ziqi Song and Guiting Mao and Ben Hou and Zhimou Liu and Yi Ke and Dengpei Ouyang and Dekui Han and Jinghao Zhang and Qi Zhu and Naishan Zheng and Feng Zhao and Wu Jin and Marcos V. Conde and Sabari Nathan and Radu Timofte and Tianyi Xu and Jun Xu and P. S. Hrishikesh and Densen Puthussery and C. V. Jiji and Biao Jiang and Yuhan Ding and WanZhang Li and Xiaoyue Feng and Sijing Chen and Tianheng Zhong and Jiyang Lu and Hongming Chen and Zhentao Fan and Xiang Chen}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {{MIPI} 2022 Challenge on Under-Display Camera Image Restoration: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13805}, pages = {60--77}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25072-9\_5}, doi = {10.1007/978-3-031-25072-9\_5}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/FengLZSZJYLGZWFHHLCDZHLWJJSWLZZZGWRHFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/HuangCCLHTL22, author = {Kuan{-}Wei Huang and Geoff Chih{-}Fan Chen and Po{-}Wen Chang and Sheng{-}Chieh Lin and Chia{-}Jung Hsu and Vishal Thengane and Joshua Yao{-}Yu Lin}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Strong Gravitational Lensing Parameter Estimation with Vision Transformer}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13801}, pages = {143--153}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25056-9\_10}, doi = {10.1007/978-3-031-25056-9\_10}, timestamp = {Mon, 20 Feb 2023 17:49:52 +0100}, biburl = {https://dblp.org/rec/conf/eccv/HuangCCLHTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/TangOWZJWZ22, author = {Chen Tang and Kai Ouyang and Zhi Wang and Yifei Zhu and Wen Ji and Yaowei Wang and Wenwu Zhu}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Mixed-Precision Neural Network Quantization via Learned Layer-Wise Importance}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XI}}, series = {Lecture Notes in Computer Science}, volume = {13671}, pages = {259--275}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20083-0\_16}, doi = {10.1007/978-3-031-20083-0\_16}, timestamp = {Thu, 10 Nov 2022 10:31:50 +0100}, biburl = {https://dblp.org/rec/conf/eccv/TangOWZJWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YeWSHZWHL22, author = {Sheng Ye and Yu{-}Hui Wen and Yanan Sun and Ying He and Ziyang Zhang and Yaoyuan Wang and Weihua He and Yong{-}Jin Liu}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Audio-Driven Stylized Gesture Generation with Flow-Based Model}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13665}, pages = {712--728}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20065-6\_41}, doi = {10.1007/978-3-031-20065-6\_41}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/YeWSHZWHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/QiYLW22, author = {Wenkang Qi and Jieqian Yao and Jialun Li and Weigang Wu}, editor = {Chen Yu and Jiehan Zhou and Xianhua Song and Zeguang Lu}, title = {Performer: {A} Resource Demand Forecasting Method for Data Centers}, booktitle = {Green, Pervasive, and Cloud Computing - 17th International Conference, {GPC} 2022, Chengdu, China, December 2-4, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13744}, pages = {204--214}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26118-3\_16}, doi = {10.1007/978-3-031-26118-3\_16}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gpc/QiYLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/YaoWYWJJLC22, author = {Jiangyuan Yao and Minrui Wang and Weiping Yang and Shuhua Weng and Zheng Jiang and Min Jing and Deshun Li and Xingcan Cao}, editor = {Xingming Sun and Xiaorui Zhang and Zhihua Xia and Elisa Bertino}, title = {Test Traffic Control Based on {REST} {API} for Software-Defined Networking}, booktitle = {Artificial Intelligence and Security - 8th International Conference, {ICAIS} 2022, Qinghai, China, July 15-20, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13339}, pages = {473--486}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06788-4\_40}, doi = {10.1007/978-3-031-06788-4\_40}, timestamp = {Thu, 28 Jul 2022 13:13:16 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/YaoWYWJJLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/YaoHTLFZZYW22, author = {Qiaori Yao and Yuchong Hu and Xinyuan Tu and Patrick P. C. Lee and Dan Feng and Xia Zhu and Xiaoyang Zhang and Zhen Yao and Wenjia Wei}, title = {PivotRepair: Fast Pipelined Repair for Erasure-Coded Hot Storage}, booktitle = {42nd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2022, Bologna, Italy, July 10-13, 2022}, pages = {614--624}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDCS54860.2022.00065}, doi = {10.1109/ICDCS54860.2022.00065}, timestamp = {Thu, 20 Oct 2022 09:13:03 +0200}, biburl = {https://dblp.org/rec/conf/icdcs/YaoHTLFZZYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/0001YCL00L22, author = {Wenxiao Wang and Lu Yao and Long Chen and Binbin Lin and Deng Cai and Xiaofei He and Wei Liu}, title = {CrossFormer: {A} Versatile Vision Transformer Hinging on Cross-scale Attention}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=\_PHymLIxuI}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/0001YCL00L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/LiuJJHZYW22, author = {Shuai Liu and Qian Jiang and Xin Jin and Zhenli He and Wei Zhou and Shaowen Yao and Qiannian Wang}, editor = {Marek Z. Reformat and Du Zhang and Nikolaos G. Bourbakis}, title = {Multiple Feature Mining Based on Local Correlation and Frequency Information for Face Forgery Detection}, booktitle = {34th {IEEE} International Conference on Tools with Artificial Intelligence, {ICTAI} 2022, Macao, China, October 31 - November 2, 2022}, pages = {1347--1354}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTAI56018.2022.00204}, doi = {10.1109/ICTAI56018.2022.00204}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictai/LiuJJHZYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip11-9/ZhangJJDWY022, author = {Ya Zhang and Xin Jin and Qian Jiang and Yunyun Dong and Nan Wu and Shaowen Yao and Wei Zhou}, editor = {Gilbert L. Peterson and Sujeet Shenoi}, title = {Deepfake Detection Using Multiple Feature Fusion}, booktitle = {Advances in Digital Forensics {XVIII} - 18th {IFIP} {WG} 11.9 International Conference, Virtual Event, January 3-4, 2022, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {653}, pages = {123--139}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-10078-9\_7}, doi = {10.1007/978-3-031-10078-9\_7}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip11-9/ZhangJJDWY022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscsic/YanYGZWXW22, author = {Bowen Yan and Yang Yang and Wei Guo and Zhaoguo Zhou and Hongwu Wen and Zhenyuan Xu and Yaobin Wang}, title = {Big Data Storage Index Mechanism Based on Hierarchical Indexing and Concurrent Updating}, booktitle = {6th International Symposium on Computer Science and Intelligent Control, {ISCSIC} 2022, Beijing, China, November 11-13, 2022}, pages = {363--367}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCSIC57216.2022.00081}, doi = {10.1109/ISCSIC57216.2022.00081}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscsic/YanYGZWXW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ist/HsuGLC22, author = {Wei{-}Wen Hsu and Jing{-}Ming Guo and Jia{-}Hao Liu and Yao{-}Chung Chang}, title = {Two-stage Cascaded {CNN} Model for 3D Mitochondria {EM} Segmentation}, booktitle = {{IEEE} International Conference on Imaging Systems and Techniques, {IST} 2022, Kaohsiung, Taiwan, June 21-23, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IST55454.2022.9827756}, doi = {10.1109/IST55454.2022.9827756}, timestamp = {Wed, 27 Jul 2022 16:52:29 +0200}, biburl = {https://dblp.org/rec/conf/ist/HsuGLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kst/LiuCKYY22, author = {Huey{-}Ing Liu and Meng{-}Wei Chen and Wei{-}Chun Kao and Yao{-}Wen Yeh and Cheng{-}Xuan Yang}, title = {{GSAP:} {A} Hybrid {GRU} and Self-Attention Based Model for Dual Medical {NLP} Tasks}, booktitle = {14th International Conference on Knowledge and Smart Technology, {KST} 2022, Chon buri, Thailand, January 26-29, 2022}, pages = {80--85}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/KST53302.2022.9727234}, doi = {10.1109/KST53302.2022.9727234}, timestamp = {Wed, 23 Mar 2022 17:30:11 +0100}, biburl = {https://dblp.org/rec/conf/kst/LiuCKYY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ltedi/WangTDP22, author = {Wei{-}Yao Wang and Yu{-}Chien Tang and Wei{-}Wei Du and Wen{-}Chih Peng}, editor = {Bharathi Raja Chakravarthi and B. Bharathi and John P. McCrae and Manel Zarrouk and Kalika Bali and Paul Buitelaar}, title = {NYCU{\_}TWD@LT-EDI-ACL2022: Ensemble Models with {VADER} and Contrastive Learning for Detecting Signs of Depression from Social Media}, booktitle = {Proceedings of the Second Workshop on Language Technology for Equality, Diversity and Inclusion, {LT-EDI} 2022, Dublin, Ireland, May 27, 2022}, pages = {136--139}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.ltedi-1.15}, doi = {10.18653/V1/2022.LTEDI-1.15}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ltedi/WangTDP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LiHMZLXXWWZWC022, author = {Yue Li and Zilong He and Xiangyuan Ma and Weixiong Zeng and Jialing Liu and Weimin Xu and Zeyuan Xu and Sina Wang and Chanjuan Wen and Hui Zeng and Jiefang Wu and Weiguo Chen and Yao Lu}, editor = {Karen Drukker and Khan M. Iftekharuddin}, title = {Computer-aided detection for architectural distortion: a comparison of digital breast tomosynthesis and digital mammography}, booktitle = {Medical Imaging 2022: Computer-Aided Diagnosis, San Diego, CA, USA, February 20-24, 2022 / online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12033}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2611287}, doi = {10.1117/12.2611287}, timestamp = {Thu, 14 Mar 2024 14:37:17 +0100}, biburl = {https://dblp.org/rec/conf/micad/LiHMZLXXWWZWC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/DiaoTHZLXQ22, author = {Songhui Diao and Luyu Tang and Jiahui He and Hanqing Zhao and Weiren Luo and Yaoqin Xie and Wenjian Qin}, editor = {Wenjian Qin and Nazar Zaki and Fa Zhang and Jia Wu and Fan Yang}, title = {Automatic Computer-Aided Histopathologic Segmentation for Nasopharyngeal Carcinoma Using Transformer Framework}, booktitle = {Computational Mathematics Modeling in Cancer Analysis - First International Workshop, {CMMCA} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13574}, pages = {141--149}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17266-3\_14}, doi = {10.1007/978-3-031-17266-3\_14}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/DiaoTHZLXQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZhangBWDHNZVGYX22, author = {Huijuan Zhang and Wei Bo and Depeng Wang and Anthony DiSpirito III and Chuqin Huang and Nikhila Nyayapathi and Emily Zheng and Tri Vu and Yiyang Gong and Junjie Yao and Wenyao Xu and Jun Xia}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Deep-e: a fully-dense neural network for improving the elevation resolution in linear-array-based photoacoustic tomography}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2610814}, doi = {10.1117/12.2610814}, timestamp = {Thu, 14 Mar 2024 14:38:36 +0100}, biburl = {https://dblp.org/rec/conf/miip/ZhangBWDHNZVGYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ml4cs/YaoWCFWP22, author = {Danyang Yao and Jinyu Wen and Amei Chen and Meie Fang and Xinhua Wei and Zhigeng Pan}, editor = {Yuan Xu and Hongyang Yan and Huang Teng and Jun Cai and Jin Li}, title = {Trimodal Fusion Network Combined Global-Local Feature Extraction Strategy and Spatial-Frequency Fusion Strategy}, booktitle = {Machine Learning for Cyber Security - 4th International Conference, {ML4CS} 2022, Guangzhou, China, December 2-4, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13657}, pages = {212--226}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20102-8\_17}, doi = {10.1007/978-3-031-20102-8\_17}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ml4cs/YaoWCFWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HongZLDYZSW22, author = {Shiyong Hong and Yaobin Zhang and Xu Ling and Weihong Deng and Yunfeng Yin and Yingjie Zhang and Hongzhi Shi and Dongchao Wen}, editor = {Dingwen Zhang and Chaowei Fang and Wu Liu and Xinchen Liu and Jingkuan Song and Hongyuan Zhu and Wenbing Huang and John Smith}, title = {Face Clustering via Adaptive Aggregation of Clean Neighbors}, booktitle = {HCMA@MM 2022: Proceedings of the 3rd International Workshop on Human-Centric Multimedia Analysis, Lisboa, Portugal, October 10, 2022}, pages = {83--92}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3552458.3556448}, doi = {10.1145/3552458.3556448}, timestamp = {Wed, 19 Oct 2022 09:46:22 +0200}, biburl = {https://dblp.org/rec/conf/mm/HongZLDYZSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmbd/CaoPZY22, author = {Zeyu Cao and Wei Peng and Xiaoya Zhang and Wen Yao}, editor = {Antonio J. Tall{\'{o}}n{-}Ballesteros}, title = {Deep Learning Based Thermal Stress and Deformation Analysis of Satellites}, booktitle = {Modern Management based on Big Data {III} - Proceedings of {MMBD} 2022, Virtual Event / Seoul, Korea, 15-18 August 2022}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {352}, pages = {456--465}, publisher = {{IOS} Press}, year = {2022}, url = {https://doi.org/10.3233/FAIA220128}, doi = {10.3233/FAIA220128}, timestamp = {Wed, 17 Aug 2022 12:16:25 +0200}, biburl = {https://dblp.org/rec/conf/mmbd/CaoPZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/TongYLZ22, author = {Qinglin Tong and Wendi Yao and Weiyi Lv and Dan Zeng}, title = {Analysis of Formations and Game Styles in Soccer}, booktitle = {24th {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2022, Shanghai, China, September 26-28, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MMSP55362.2022.9949992}, doi = {10.1109/MMSP55362.2022.9949992}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/TongYLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/WenKL000022, author = {Muning Wen and Jakub Grudzien Kuba and Runji Lin and Weinan Zhang and Ying Wen and Jun Wang and Yaodong Yang}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Multi-Agent Reinforcement Learning is a Sequence Modeling Problem}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/69413f87e5a34897cd010ca698097d0a-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/WenKL000022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YaoHWLX0LXX22, author = {Lewei Yao and Jianhua Han and Youpeng Wen and Xiaodan Liang and Dan Xu and Wei Zhang and Zhenguo Li and Chunjing Xu and Hang Xu}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {DetCLIP: Dictionary-Enriched Visual-Concept Paralleled Pre-training for Open-world Detection}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/3ba960559212691be13fa81d9e5e0047-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/YaoHWLX0LXX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/ChengZYFH22, author = {Taining Cheng and Wei Zhou and Shaowen Yao and Libo Feng and Jing He}, title = {Multi-pipeline HotStuff: {A} High Performance Consensus for Permissioned Blockchain}, booktitle = {{IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2022, Wuhan, China, December 9-11, 2022}, pages = {1008--1019}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/TrustCom56396.2022.00138}, doi = {10.1109/TRUSTCOM56396.2022.00138}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/ChengZYFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/TsengYWP22, author = {Yu{-}Wun Tseng and Hui{-}Kuo Yang and Wei{-}Yao Wang and Wen{-}Chih Peng}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {{KAHAN:} Knowledge-Aware Hierarchical Attention Network for Fake News detection on Social Media}, booktitle = {Companion of The Web Conference 2022, Virtual Event / Lyon, France, April 25 - 29, 2022}, pages = {868--875}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3487553.3524664}, doi = {10.1145/3487553.3524664}, timestamp = {Thu, 18 Aug 2022 09:20:24 +0200}, biburl = {https://dblp.org/rec/conf/www/TsengYWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ACISicis/2022, editor = {Zheng{-}an Yao and Simon Xu and Jixin Ma and Wencai Du and Wei Lu}, title = {22nd {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2022, Zhuhai, China, June 26-28, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIS54925.2022}, doi = {10.1109/ICIS54925.2022}, isbn = {978-1-6654-9463-2}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-06880, author = {Xu Liu and Wei Peng and Zhiqiang Gong and Weien Zhou and Wen Yao}, title = {Temperature Field Inversion of Heat-Source Systems via Physics-Informed Neural Networks}, journal = {CoRR}, volume = {abs/2201.06880}, year = {2022}, url = {https://arxiv.org/abs/2201.06880}, eprinttype = {arXiv}, eprint = {2201.06880}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-06880.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-11664, author = {Wei{-}Yao Wang and Wen{-}Chih Peng}, title = {Team Yao at Factify 2022: Utilizing Pre-trained Models and Co-attention Networks for Multi-Modal Fact Verification}, journal = {CoRR}, volume = {abs/2201.11664}, year = {2022}, url = {https://arxiv.org/abs/2201.11664}, eprinttype = {arXiv}, eprint = {2201.11664}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-11664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-00633, author = {Ming Zhou and Jingxiao Chen and Ying Wen and Weinan Zhang and Yaodong Yang and Yong Yu}, title = {Efficient Policy Space Response Oracles}, journal = {CoRR}, volume = {abs/2202.00633}, year = {2022}, url = {https://arxiv.org/abs/2202.00633}, eprinttype = {arXiv}, eprint = {2202.00633}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-00633.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-03128, author = {Jialiang Sun and Tingsong Jiang and Chao Li and Weien Zhou and Xiaoya Zhang and Wen Yao and Xiaoqian Chen}, title = {Searching for Robust Neural Architectures via Comprehensive and Reliable Evaluation}, journal = {CoRR}, volume = {abs/2203.03128}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.03128}, doi = {10.48550/ARXIV.2203.03128}, eprinttype = {arXiv}, eprint = {2203.03128}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-03128.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-03195, author = {Peipei Zhu and Xiao Wang and Yong Luo and Zhenglong Sun and Wei{-}Shi Zheng and Yaowei Wang and Changwen Chen}, title = {Unpaired Image Captioning by Image-level Weakly-Supervised Visual Concept Recognition}, journal = {CoRR}, volume = {abs/2203.03195}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.03195}, doi = {10.48550/ARXIV.2203.03195}, eprinttype = {arXiv}, eprint = {2203.03195}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-03195.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-08150, author = {Kairui Bao and Wen Yao and Xiaoya Zhang and Wei Peng and Yu Li}, title = {A physics and data co-driven surrogate modeling approach for temperature field prediction on irregular geometric domain}, journal = {CoRR}, volume = {abs/2203.08150}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.08150}, doi = {10.48550/ARXIV.2203.08150}, eprinttype = {arXiv}, eprint = {2203.08150}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-08150.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-08368, author = {Chen Tang and Kai Ouyang and Zhi Wang and Yifei Zhu and Yaowei Wang and Wen Ji and Wenwu Zhu}, title = {Mixed-Precision Neural Network Quantization via Learned Layer-wise Importance}, journal = {CoRR}, volume = {abs/2203.08368}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.08368}, doi = {10.48550/ARXIV.2203.08368}, eprinttype = {arXiv}, eprint = {2203.08368}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-08368.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-13859, author = {Weihua He and Kaichao You and Zhendong Qiao and Xu Jia and Ziyang Zhang and Wenhui Wang and Huchuan Lu and Yaoyuan Wang and Jianxing Liao}, title = {TimeReplayer: Unlocking the Potential of Event Cameras for Video Interpolation}, journal = {CoRR}, volume = {abs/2203.13859}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.13859}, doi = {10.48550/ARXIV.2203.13859}, eprinttype = {arXiv}, eprint = {2203.13859}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-13859.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-01341, author = {Jiawei Zhang and Ning Xu and Chen Li and Md Mamunur Rahaman and Yu{-}Dong Yao and Yu{-}Hao Lin and Jinghua Zhang and Tao Jiang and Wenjun Qin and Marcin Grzegorzek}, title = {An application of Pixel Interval Down-sampling {(PID)} for dense tiny microorganism counting on environmental microorganism images}, journal = {CoRR}, volume = {abs/2204.01341}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.01341}, doi = {10.48550/ARXIV.2204.01341}, eprinttype = {arXiv}, eprint = {2204.01341}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-01341.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-01738, author = {Wenhui Ren and Weikang Li and Shibo Xu and Ke Wang and Wenjie Jiang and Feitong Jin and Xuhao Zhu and Jiachen Chen and Zixuan Song and Pengfei Zhang and Hang Dong and Xu Zhang and Jinfeng Deng and Yu Gao and Chuanyu Zhang and Yaozu Wu and Bing Zhang and Qiujiang Guo and Hekang Li and Zhen Wang and Jacob D. Biamonte and Chao Song and Dong{-}Ling Deng and Haohua Wang}, title = {Experimental quantum adversarial learning with programmable superconducting qubits}, journal = {CoRR}, volume = {abs/2204.01738}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.01738}, doi = {10.48550/ARXIV.2204.01738}, eprinttype = {arXiv}, eprint = {2204.01738}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-01738.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-06407, author = {Fu{-}Chieh Chang and Yu{-}Wei Tseng and Ya{-}Wen Yu and Ssu{-}Rui Lee and Alexandru Cioba and I{-}Lun Tseng and Da{-}Shan Shiu and Jhih{-}Wei Hsu and Cheng{-}Yuan Wang and Chien{-}Yi Yang and Ren{-}Chu Wang and Yao{-}Wen Chang and Tai{-}Chen Chen and Tung{-}Chieh Chen}, title = {Flexible Multiple-Objective Reinforcement Learning for Chip Placement}, journal = {CoRR}, volume = {abs/2204.06407}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.06407}, doi = {10.48550/ARXIV.2204.06407}, eprinttype = {arXiv}, eprint = {2204.06407}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-06407.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-08563, author = {Kang Liao and Xiangyu Xu and Chunyu Lin and Wenqi Ren and Yunchao Wei and Yao Zhao}, title = {Cylin-Painting: Seamless 360{\textdegree} Panoramic Image Outpainting and Beyond with Cylinder-Style Convolutions}, journal = {CoRR}, volume = {abs/2204.08563}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.08563}, doi = {10.48550/ARXIV.2204.08563}, eprinttype = {arXiv}, eprint = {2204.08563}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-08563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01051, author = {Wei Peng and Weien Zhou and Xiaoya Zhang and Wen Yao and Zheliang Liu}, title = {{RANG:} {A} Residual-based Adaptive Node Generation Method for Physics-Informed Neural Networks}, journal = {CoRR}, volume = {abs/2205.01051}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01051}, doi = {10.48550/ARXIV.2205.01051}, eprinttype = {arXiv}, eprint = {2205.01051}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06948, author = {Xu Liu and Wen Yao and Wei Peng and Weien Zhou}, title = {Bayesian Physics-Informed Extreme Learning Machine for Forward and Inverse {PDE} Problems with Noisy Data}, journal = {CoRR}, volume = {abs/2205.06948}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06948}, doi = {10.48550/ARXIV.2205.06948}, eprinttype = {arXiv}, eprint = {2205.06948}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06948.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07812, author = {Jialiang Sun and Xiaohu Zheng and Wen Yao and Xiaoya Zhang and Weien Zhou}, title = {Heat Source Layout Optimization Using Automatic Deep Learning Surrogate Model and Multimodal Neighborhood Search Algorithm}, journal = {CoRR}, volume = {abs/2205.07812}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07812}, doi = {10.48550/ARXIV.2205.07812}, eprinttype = {arXiv}, eprint = {2205.07812}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07812.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12010, author = {Yaoyao Zhong and Weihong Deng and Jiani Hu and Dongyue Zhao and Xian Li and Dongchao Wen}, title = {SFace: Sigmoid-Constrained Hypersphere Loss for Robust Face Recognition}, journal = {CoRR}, volume = {abs/2205.12010}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12010}, doi = {10.48550/ARXIV.2205.12010}, eprinttype = {arXiv}, eprint = {2205.12010}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-13125, author = {Peipei Zhu and Xiao Wang and Lin Zhu and Zhenglong Sun and Wei{-}Shi Zheng and Yaowei Wang and Changwen Chen}, title = {Prompt-based Learning for Unpaired Image Captioning}, journal = {CoRR}, volume = {abs/2205.13125}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.13125}, doi = {10.48550/ARXIV.2205.13125}, eprinttype = {arXiv}, eprint = {2205.13125}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-13125.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14953, author = {Muning Wen and Jakub Grudzien Kuba and Runji Lin and Weinan Zhang and Ying Wen and Jun Wang and Yaodong Yang}, title = {Multi-Agent Reinforcement Learning is a Sequence Modeling Problem}, journal = {CoRR}, volume = {abs/2205.14953}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14953}, doi = {10.48550/ARXIV.2205.14953}, eprinttype = {arXiv}, eprint = {2205.14953}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-06145, author = {Xizhe Zhang and Chunyu Pan and Xinru Wei and Meng Yu and Shuangjie Liu and Jun An and Jieping Yang and Baojun Wei and Wenjun Hao and Yang Yao and Yuyan Zhu and Weixiong Zhang}, title = {Identification of cancer-keeping genes as therapeutic targets by finding network control hubs}, journal = {CoRR}, volume = {abs/2206.06145}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.06145}, doi = {10.48550/ARXIV.2206.06145}, eprinttype = {arXiv}, eprint = {2206.06145}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-06145.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-12138, author = {Guo Yu and Lianbo Ma and Wei Du and Wenli Du and Yaochu Jin}, title = {Towards Fairness-Aware Multi-Objective Optimization}, journal = {CoRR}, volume = {abs/2207.12138}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.12138}, doi = {10.48550/ARXIV.2207.12138}, eprinttype = {arXiv}, eprint = {2207.12138}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-12138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-07059, author = {Yaosen Chen and Qi Yuan and Zhiqiang Li and Yuegen Liu and Wei Wang and Chaoping Xie and Xuming Wen and Qien Yu}, title = {UPST-NeRF: Universal Photorealistic Style Transfer of Neural Radiance Fields for 3D Scene}, journal = {CoRR}, volume = {abs/2208.07059}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.07059}, doi = {10.48550/ARXIV.2208.07059}, eprinttype = {arXiv}, eprint = {2208.07059}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-07059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-01009, author = {Zeyu Cao and Wen Yao and Wei Peng and Xiaoya Zhang and Kairui Bao}, title = {Physics-informed MTA-UNet: Prediction of Thermal Stress and Thermal Deformation of Satellites}, journal = {CoRR}, volume = {abs/2209.01009}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.01009}, doi = {10.48550/ARXIV.2209.01009}, eprinttype = {arXiv}, eprint = {2209.01009}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-01009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-09407, author = {Lewei Yao and Jianhua Han and Youpeng Wen and Xiaodan Liang and Dan Xu and Wei Zhang and Zhenguo Li and Chunjing Xu and Hang Xu}, title = {DetCLIP: Dictionary-Enriched Visual-Concept Paralleled Pre-training for Open-world Detection}, journal = {CoRR}, volume = {abs/2209.09407}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.09407}, doi = {10.48550/ARXIV.2209.09407}, eprinttype = {arXiv}, eprint = {2209.09407}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-09407.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-04143, author = {Kuan{-}Wei Huang and Geoff Chih{-}Fan Chen and Po{-}Wen Chang and Sheng{-}Chieh Lin and Chia{-}Jung Hsu and Vishal Thengane and Joshua Yao{-}Yu Lin}, title = {Strong Gravitational Lensing Parameter Estimation with Vision Transformer}, journal = {CoRR}, volume = {abs/2210.04143}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.04143}, doi = {10.48550/ARXIV.2210.04143}, eprinttype = {arXiv}, eprint = {2210.04143}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-04143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-10391, author = {Zhenpeng Yao and Yanwei Lum and Andrew Johnston and Luis Martin Mejia{-}Mendoza and Xin Zhou and Yonggang Wen and Al{\'{a}}n Aspuru{-}Guzik and Edward H. Sargent and Zhi Wei Seh}, title = {Machine Learning for a Sustainable Energy Future}, journal = {CoRR}, volume = {abs/2210.10391}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.10391}, doi = {10.48550/ARXIV.2210.10391}, eprinttype = {arXiv}, eprint = {2210.10391}, timestamp = {Mon, 12 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-10391.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-10646, author = {Wei Peng and Wen Yao and Weien Zhou and Xiaoya Zhang and Weijie Yao}, title = {Robust Regression with Highly Corrupted Data via Physics Informed Neural Networks}, journal = {CoRR}, volume = {abs/2210.10646}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.10646}, doi = {10.48550/ARXIV.2210.10646}, eprinttype = {arXiv}, eprint = {2210.10646}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-10646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16590, author = {Wei{-}Wei Du and Wei{-}Yao Wang and Wen{-}Chih Peng}, title = {Track2Vec: fairness music recommendation with a GPU-free customizable-driven framework}, journal = {CoRR}, volume = {abs/2210.16590}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16590}, doi = {10.48550/ARXIV.2210.16590}, eprinttype = {arXiv}, eprint = {2210.16590}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16590.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-10060, author = {Wei Luo and Haiming Yao and Wenyong Yu and Xue Wang}, title = {Reference-Based Autoencoder for Surface Defect Detection}, journal = {CoRR}, volume = {abs/2211.10060}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.10060}, doi = {10.48550/ARXIV.2211.10060}, eprinttype = {arXiv}, eprint = {2211.10060}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-10060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-12217, author = {Kai{-}Shiang Chang and Wei{-}Yao Wang and Wen{-}Chih Peng}, title = {Where Will Players Move Next? Dynamic Graphs and Hierarchical Fusion for Movement Forecasting in Badminton}, journal = {CoRR}, volume = {abs/2211.12217}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.12217}, doi = {10.48550/ARXIV.2211.12217}, eprinttype = {arXiv}, eprint = {2211.12217}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-12217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-12234, author = {Li{-}Chun Huang and Nai{-}Zen Hseuh and Yen{-}Che Chien and Wei{-}Yao Wang and Kuang{-}Da Wang and Wen{-}Chih Peng}, title = {A Reinforcement Learning Badminton Environment for Simulating Player Tactics (Student Abstract)}, journal = {CoRR}, volume = {abs/2211.12234}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.12234}, doi = {10.48550/ARXIV.2211.12234}, eprinttype = {arXiv}, eprint = {2211.12234}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-12234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02861, author = {Zixue Xiang and Wei Peng and Wen Yao}, title = {{RBF-MGN:} Solving spatiotemporal PDEs with Physics-informed Graph Neural Network}, journal = {CoRR}, volume = {abs/2212.02861}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02861}, doi = {10.48550/ARXIV.2212.02861}, eprinttype = {arXiv}, eprint = {2212.02861}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02861.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-04749, author = {Yong Liu and Yaojian Chen and Chu Guo and Jiawei Song and Xinmin Shi and Lin Gan and Wenzhao Wu and Wei Wu and Haohuan Fu and Xin Liu and Dexun Chen and Guangwen Yang and Jiangang Gao}, title = {Validating quantum-supremacy experiments with exact and fast tensor network contraction}, journal = {CoRR}, volume = {abs/2212.04749}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.04749}, doi = {10.48550/ARXIV.2212.04749}, eprinttype = {arXiv}, eprint = {2212.04749}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-04749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-12190, author = {Chih{-}Chia Li and Wei{-}Yao Wang and Wei{-}Wei Du and Wen{-}Chih Peng}, title = {Look Around! {A} Neighbor Relation Graph Learning Framework for Real Estate Appraisal}, journal = {CoRR}, volume = {abs/2212.12190}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.12190}, doi = {10.48550/ARXIV.2212.12190}, eprinttype = {arXiv}, eprint = {2212.12190}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-12190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/MaWTT21, author = {Yaobin Ma and Jingbo Wei and Wenchao Tang and Rongxin Tang}, title = {Explicit and stepwise models for spatiotemporal fusion of remote sensing images with deep neural networks}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {105}, pages = {102611}, year = {2021}, url = {https://doi.org/10.1016/j.jag.2021.102611}, doi = {10.1016/J.JAG.2021.102611}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/MaWTT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/ZhaoYWCZHSDCWZG21, author = {Wenyi Zhao and Jingwen Yang and Jingcheng Wu and Guoxing Cai and Yao Zhang and Jeffrey Haltom and Weijia Su and Michael J. Dong and Shuqing Chen and Jian Wu and Zhan Zhou and Xun Gu}, title = {CanDriS: posterior profiling of cancer-driving sites based on two-component evolutionary model}, journal = {Briefings Bioinform.}, volume = {22}, number = {5}, year = {2021}, url = {https://doi.org/10.1093/bib/bbab131}, doi = {10.1093/BIB/BBAB131}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/ZhaoYWCZHSDCWZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenHHT21, author = {Yao{-}Mei Chen and Wei{-}Tai Huang and Wen{-}Hsien Ho and Jinn{-}Tsong Tsai}, title = {Classification of age-related macular degeneration using convolutional-neural-network-based transfer learning}, journal = {{BMC} Bioinform.}, volume = {22-S}, number = {5}, pages = {99}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04001-1}, doi = {10.1186/S12859-021-04001-1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenHHT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/BaiYLYW21, author = {Dengxuan Bai and Wenpo Yao and Zhiwei Lv and Wei Yan and Jun Wang}, title = {Multiscale multidimensional recurrence quantitative analysis for analysing {MEG} signals in patients with schizophrenia}, journal = {Biomed. Signal Process. Control.}, volume = {68}, pages = {102586}, year = {2021}, url = {https://doi.org/10.1016/j.bspc.2021.102586}, doi = {10.1016/J.BSPC.2021.102586}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bspc/BaiYLYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caee/XueCHYZ21, author = {Lin Xue and Yuh{-}Jen Cho and Wei He and LiuJing Yao and WenTong Zou}, title = {Construction and application of the student satisfaction evaluation system for the extracurricular education services in colleges}, journal = {Comput. Appl. Eng. Educ.}, volume = {29}, number = {2}, pages = {370--384}, year = {2021}, url = {https://doi.org/10.1002/cae.22206}, doi = {10.1002/CAE.22206}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caee/XueCHYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/ZhangYSWLS21, author = {Shiwen Zhang and Tingting Yao and Voundi Koe Arthur Sandor and Tien{-}Hsiung Weng and Wei Liang and Jinshu Su}, title = {A novel blockchain-based privacy-preserving framework for online social networks}, journal = {Connect. Sci.}, volume = {33}, number = {3}, pages = {555--575}, year = {2021}, url = {https://doi.org/10.1080/09540091.2020.1854181}, doi = {10.1080/09540091.2020.1854181}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/ZhangYSWLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/NiuPDQZXZXM21, author = {Qiaoli Niu and Xudong Pan and Xiaomeng Duan and Weijie Qi and Ling Zhang and Yao Xu and Wenjin Zeng and Ruidong Xia and Yonggang Min}, title = {Highly efficient polymer light-emitting devices based on sodium compounds electron injection layer}, journal = {Displays}, volume = {68}, pages = {102018}, year = {2021}, url = {https://doi.org/10.1016/j.displa.2021.102018}, doi = {10.1016/J.DISPLA.2021.102018}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/NiuPDQZXZXM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/ZhangWZW21, author = {Xianwen Zhang and Wenying Wang and Xuanxuan Zheng and Yao Wei}, title = {A novel radar target recognition method for open and imbalanced high-resolution range profile}, journal = {Digit. Signal Process.}, volume = {118}, pages = {103212}, year = {2021}, url = {https://doi.org/10.1016/j.dsp.2021.103212}, doi = {10.1016/J.DSP.2021.103212}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/ZhangWZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/FengYZHL21, author = {Wei Feng and Fei Yin and Xu{-}Yao Zhang and Wenhao He and Cheng{-}Lin Liu}, title = {Residual Dual Scale Scene Text Spotting by Fusing Bottom-Up and Top-Down Processing}, journal = {Int. J. Comput. Vis.}, volume = {129}, number = {3}, pages = {619--637}, year = {2021}, url = {https://doi.org/10.1007/s11263-020-01388-x}, doi = {10.1007/S11263-020-01388-X}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcv/FengYZHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/NiuXWPMQLZBZ21, author = {Wenhui Niu and Haoming Xia and Ruimeng Wang and Li Pan and Qingmin Meng and Yaochen Qin and Rumeng Li and Xiaoyang Zhao and Xiqing Bian and Wei Zhao}, title = {Research on Large-Scale Urban Shrinkage and Expansion in the Yellow River Affected Area Using Night Light Data}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {1}, pages = {5}, year = {2021}, url = {https://doi.org/10.3390/ijgi10010005}, doi = {10.3390/IJGI10010005}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/NiuXWPMQLZBZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/HuLHY21, author = {Wei Hu and Huanhao Li and Yawei Hu and Wenhui Yao}, title = {Study on mobile trading mechanism based on blockchain Byzantine consensus algorithm}, journal = {Int. J. Mob. Commun.}, volume = {19}, number = {5}, pages = {547--563}, year = {2021}, url = {https://doi.org/10.1504/IJMC.2021.117378}, doi = {10.1504/IJMC.2021.117378}, timestamp = {Fri, 01 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmc/HuLHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/RenWYHGL21, author = {Weibo Ren and Jingqian Wen and Yan Yan and Yaoguang Hu and Yu Guan and Jinliang Li}, title = {Multi-objective optimisation for energy-aware flexible job-shop scheduling problem with assembly operations}, journal = {Int. J. Prod. Res.}, volume = {59}, number = {23}, pages = {7216--7231}, year = {2021}, url = {https://doi.org/10.1080/00207543.2020.1836421}, doi = {10.1080/00207543.2020.1836421}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/RenWYHGL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiJGDDL21, author = {Yongjun Li and Wenli Ji and Xing Gao and Yao Deng and Wei Dong and Dongxu Li}, title = {Matching user accounts with spatio-temporal awareness across social networks}, journal = {Inf. Sci.}, volume = {570}, pages = {1--15}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2021.04.030}, doi = {10.1016/J.INS.2021.04.030}, timestamp = {Mon, 14 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiJGDDL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/YaoCZZ21, author = {Zhuohui Yao and Wenchi Cheng and Wei Zhang and Hailin Zhang}, title = {Resource Allocation for 5G-UAV-Based Emergency Wireless Communications}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {39}, number = {11}, pages = {3395--3410}, year = {2021}, url = {https://doi.org/10.1109/JSAC.2021.3088684}, doi = {10.1109/JSAC.2021.3088684}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/YaoCZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/XuYWWLYZ21, author = {Yuanyuan Xu and Yu Yin and Jun Wang and Jinmao Wei and Jian Liu and Lina Yao and Wenjie Zhang}, title = {Unsupervised Cross-View Feature Selection on incomplete data}, journal = {Knowl. Based Syst.}, volume = {234}, pages = {107595}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.107595}, doi = {10.1016/J.KNOSYS.2021.107595}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/XuYWWLYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ShiSFSOIZCKLSAL21, author = {Wenyu Shi and Qinglan Sun and Guomei Fan and Hideaki Sugawara and Moriya Ohkuma and Takashi Itoh and Yuguang Zhou and Man Cai and Song{-}Gun Kim and Jung{-}Sook Lee and Ivo Sedlacek and David R. Arahal and Teresa Lucena and Hiroko Kawasaki and Lyudmila Evtushenko and Bevan S. Weir and Sarah Alexander and Dlauchy D{\'{e}}nes and Somboon Tanasupawat and Lily Eurwilaichitr and Supawadee Ingsriswang and Bruno Gomez{-}Gil and Manzour H. Hazb{\'{o}}n and Marco A. Riojas and Chatrudee Suwannachart and Su Yao and Peter Vandamme and Fang Peng and Zenghui Chen and Dongmei Liu and Xiuqiang Sun and Xinjiao Zhang and Yuanchun Zhou and Zhen Meng and Linhuan Wu and Juncai Ma}, title = {gcType: a high-quality type strain genome database for microbial phylogenetic and functional research}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D694--D705}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa957}, doi = {10.1093/NAR/GKAA957}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ShiSFSOIZCKLSAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueB0ZXHZLZCSMZ21, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Shuhui Song and Lina Ma and Dong Zou and Dongmei Tian and Cuiping Li and Junwei Zhu and Zheng Gong and Meili Chen and Anke Wang and Yingke Ma and Mengwei Li and Xufei Teng and Ying Cui and Guangya Duan and Mochen Zhang and Tong Jin and Chengmin Shi and Zhenglin Du and Yadong Zhang and Chuandong Liu and Rujiao Li and Jingyao Zeng and Lili Hao and Shuai Jiang and Hua Chen and Dali Han and Tao Zhang and Wang Kang and Fei Yang and Jing Qu and Weiqi Zhang and Guanghui Liu and Lin Liu and Yang Zhang and Guangyi Niu and Tongtong Zhu and Changrui Feng and Xiaonan Liu and Yuansheng Zhang and Zhao Li and Ruru Chen and Qianpeng Li and Zhongyi Hua and Chao Jiang and Ziyuan Chen and Fangshu He and Yuyang Zhao and Yan Jin and Luqi Huang and Yuan Yuan and Chenfen Zhou and Qingwei Xu and Sheng He and Wei Ye and Ruifang Cao and Pengyu Wang and Yunchao Ling and Xing Yan and Qingzhong Wang and Qiang Du and Wenting Zong and Hongen Kang and Zhuang Xiong and Wendi Huan and Sirui Zhang and Qiguang Xia and Xiaojuan Fan and Zefeng Wang and Xu Chen and Tingting Chen and Sisi Zhang and Bixia Tang and Lili Dong and Zhewen Zhang and Zhonghuang Wang and Hailong Kang and Yanqing Wang and Song Wu and Ming Chen and Chang Liu and Yujia Xiong and Xueying Shao and Yanyan Li and Honghong Zhou and Xiaomin Chen and Yu Zheng and Quan Kang and Di Hao and Lili Zhang and Huaxia Luo and Yajing Hao and Peng Zhang and Zhi Nie and Shuhuan Yu and Jian Sang and Zhaohua Li and Xiangquan Zhang and Qing Zhou and Shuang Zhai and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Jun Yan and Chen Li and Zhennan Wang and Xiangfeng Wang and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Hai{-}Chun Jing and Lianhe Zhao and Jiajia Wang and Tinrui Song and Yi Zhao and Furrukh Mehmood and Shahid Ali and Amjad Ali and Shoaib Saleem and Irfan Hussain and Amir Ali Abbasi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Yiran Tu and Yu Xue and Wanying Wu and Peifeng Ji and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Wanshan Ning and Shaofeng Lin and Teng Liu and An{-}Yuan Guo and Hao Yuan and Yong E. Zhang and Xiaodan Tan and Weizhi Zhang and Yubin Xie and Chenwei Wang and Chun{-}Jie Liu and De{-}Chang Yang and Feng Tian and Ge Gao and Dachao Tang and Lan Yao and Qinghua Cui and Ni A. An and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D18--D28}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1022}, doi = {10.1093/NAR/GKAA1022}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueB0ZXHZLZCSMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ZhangHRJLLWLDH21, author = {Zhao Zhang and Wei Hong and Hang Ruan and Ying Jing and Shengli Li and Yaoming Liu and Jun Wang and Wenbo Li and Lixia Diao and Leng Han}, title = {HeRA: an atlas of enhancer RNAs across human tissues}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D932--D938}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa940}, doi = {10.1093/NAR/GKAA940}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ZhangHRJLLWLDH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BiWNLWHCXZCWCSZ21, author = {Qiuhui Bi and Wenxiao Wang and Na Niu and He Li and Yezhou Wang and Weijie Huang and Kewei Chen and Kai Xu and Junying Zhang and Yaojing Chen and Dongfeng Wei and Ruixue Cui and Ni Shu and Zhanjun Zhang}, title = {Relationship between the disrupted topological efficiency of the structural brain connectome and glucose hypometabolism in normal aging}, journal = {NeuroImage}, volume = {226}, pages = {117591}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2020.117591}, doi = {10.1016/J.NEUROIMAGE.2020.117591}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/BiWNLWHCXZCWCSZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CuiHYWHLWZXLC21, author = {Wei Cui and Xin He and Meng Yao and Ziwei Wang and Yuanjie Hao and Jie Li and Weijie Wu and Huiling Zhao and Cong Xia and Jin Li and Wenqi Cui}, title = {Knowledge and Spatial Pyramid Distance-Based Gated Graph Attention Network for Remote Sensing Semantic Segmentation}, journal = {Remote. Sens.}, volume = {13}, number = {7}, pages = {1312}, year = {2021}, url = {https://doi.org/10.3390/rs13071312}, doi = {10.3390/RS13071312}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/CuiHYWHLWZXLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/GaoGYWY21, author = {Wenliang Gao and Jingxiang Gao and Liu Yang and Mingjun Wang and Wenhao Yao}, title = {A Novel Modeling Strategy of Weighted Mean Temperature in China Using {RNN} and {LSTM}}, journal = {Remote. Sens.}, volume = {13}, number = {15}, pages = {3004}, year = {2021}, url = {https://doi.org/10.3390/rs13153004}, doi = {10.3390/RS13153004}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/GaoGYWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SuMCDDHHHLLLMPP21, author = {Zhongbo Su and Yaoming Ma and Xuelong Chen and Xiaohua Dong and Junping Du and Cunbo Han and Yanbo He and Jan Hofste and Maoshan Li and Mengna Li and Shaoning Lv and Weiqiang Ma and Mar{\'{\i}}a J. Polo and Jian Peng and Hui Qian and Jos{\'{e}} A. Sobrino and Rogier van der Velde and Jun Wen and Binbin Wang and Xin Wang and Lianyu Yu and Pei Zhang and Hong Zhao and Han Zheng and Donghai Zheng and Lei Zhong and Yijian Zeng}, title = {Monitoring Water and Energy Cycles at Climate Scale in the Third Pole Environment {(CLIMATE-TPE)}}, journal = {Remote. Sens.}, volume = {13}, number = {18}, pages = {3661}, year = {2021}, url = {https://doi.org/10.3390/rs13183661}, doi = {10.3390/RS13183661}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SuMCDDHHHLLLMPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WeiJHAHLYZ21, author = {Lehui Wei and Chunhua Jiang and Yaogai Hu and Ercha Aa and Wengeng Huang and Jing Liu and Guobin Yang and Zhengyu Zhao}, title = {Ionosonde Observations of Spread {F} and Spread Es at Low and Middle Latitudes during the Recovery Phase of the 7-9 September 2017 Geomagnetic Storm}, journal = {Remote. Sens.}, volume = {13}, number = {5}, pages = {1010}, year = {2021}, url = {https://doi.org/10.3390/rs13051010}, doi = {10.3390/RS13051010}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WeiJHAHLYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/HuangZYXXZDYL21, author = {Wencheng Huang and Yue Zhang and Yaocheng Yu and Yifei Xu and Minhao Xu and Rui Zhang and Gatesi Jean De Dieu and Dezhi Yin and Zhanru Liu}, title = {Historical data-driven risk assessment of railway dangerous goods transportation system: Comparisons between Entropy Weight Method and Scatter Degree Method}, journal = {Reliab. Eng. Syst. Saf.}, volume = {205}, pages = {107236}, year = {2021}, url = {https://doi.org/10.1016/j.ress.2020.107236}, doi = {10.1016/J.RESS.2020.107236}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/HuangZYXXZDYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChuYLCHY21, author = {Justin Chu and Wen{-}Tse Yang and Wei{-}Ru Lu and Yao{-}Ting Chang and Tung{-}Han Hsieh and Fu{-}Liang Yang}, title = {90{\%} Accuracy for Photoplethysmography-Based Non-Invasive Blood Glucose Prediction by Deep Learning with Cohort Arrangement and Quarterly Measured HbA1c}, journal = {Sensors}, volume = {21}, number = {23}, pages = {7815}, year = {2021}, url = {https://doi.org/10.3390/s21237815}, doi = {10.3390/S21237815}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChuYLCHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangJLYWHCSH21, author = {Xiwei Huang and Hyungkook Jeon and Jixuan Liu and Jiangfan Yao and Maoyu Wei and Wentao Han and Jin Chen and Lingling Sun and Jongyoon Han}, title = {Correction: Huang et al. Deep-Learning Based Label-Free Classification of Activated and Inactivated Neutrophils for Rapid Immune State Monitoring. Sensors 2021, 21, 512}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8360}, year = {2021}, url = {https://doi.org/10.3390/s21248360}, doi = {10.3390/S21248360}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangJLYWHCSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangLXWYHWCXS21, author = {Xiwei Huang and Yangbo Li and Xuefeng Xu and Renjie Wang and Jiangfan Yao and Wentao Han and Maoyu Wei and Jin Chen and Weipeng Xuan and Lingling Sun}, title = {High-Precision Lensless Microscope on a Chip Based on In-Line Holographic Imaging}, journal = {Sensors}, volume = {21}, number = {3}, pages = {720}, year = {2021}, url = {https://doi.org/10.3390/s21030720}, doi = {10.3390/S21030720}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangLXWYHWCXS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangLYWHCS21, author = {Xiwei Huang and Jixuan Liu and Jiangfan Yao and Maoyu Wei and Wentao Han and Jin Chen and Lingling Sun}, title = {Deep-Learning Based Label-Free Classification of Activated and Inactivated Neutrophils for Rapid Immune State Monitoring}, journal = {Sensors}, volume = {21}, number = {2}, pages = {512}, year = {2021}, url = {https://doi.org/10.3390/s21020512}, doi = {10.3390/S21020512}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangLYWHCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiDWWLGYZ21, author = {Wanqiu Li and Jie Dong and Wei Wang and Hanjiang Wen and Huanling Liu and Qiuying Guo and Guobiao Yao and Chuanyin Zhang}, title = {Regional Crustal Vertical Deformation Driven by Terrestrial Water Load Depending on {CORS} Network and Environmental Loading Data: {A} Case Study of Southeast Zhejiang}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7699}, year = {2021}, url = {https://doi.org/10.3390/s21227699}, doi = {10.3390/S21227699}, timestamp = {Mon, 24 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiDWWLGYZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuCTHL21, author = {Hung{-}Wei Liu and Chia{-}Hung Chen and Yao{-}Chuan Tsai and Kuang{-}Wen Hsieh and Hao{-}Ting Lin}, title = {Identifying Images of Dead Chickens with a Chicken Removal System Integrated with a Deep Learning Algorithm}, journal = {Sensors}, volume = {21}, number = {11}, pages = {3579}, year = {2021}, url = {https://doi.org/10.3390/s21113579}, doi = {10.3390/S21113579}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiuCTHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ZhaoYNFAWC21, author = {Yifan Zhao and Wei Yao and Jiajun Nan and Jiakun Fang and Xiaomeng Ai and Jinyu Wen and Shijie Cheng}, title = {Resilient Adaptive Wide-Area Damping Control to Mitigate False Data Injection Attacks}, journal = {{IEEE} Syst. J.}, volume = {15}, number = {4}, pages = {4831--4842}, year = {2021}, url = {https://doi.org/10.1109/JSYST.2020.3020425}, doi = {10.1109/JSYST.2020.3020425}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/ZhaoYNFAWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/CaoZKY21, author = {Le Cao and Wenyan Zhang and Xiu Kan and Wei Yao}, title = {A Novel Adaptive Mutation {PSO} Optimized {SVM} Algorithm for sEMG-Based Gesture Recognition}, journal = {Sci. Program.}, volume = {2021}, pages = {9988823:1--9988823:13}, year = {2021}, url = {https://doi.org/10.1155/2021/9988823}, doi = {10.1155/2021/9988823}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/CaoZKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/AlebeleWYZYTZCC21, author = {Yeshanbele Alebele and Wenhui Wang and Weiguo Yu and Xue Zhang and Xia Yao and Yongchao Tian and Yan Zhu and Weixing Cao and Tao Cheng}, title = {Estimation of Crop Yield From Combined Optical and {SAR} Imagery Using Gaussian Kernel Regression}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {10520--10534}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3118707}, doi = {10.1109/JSTARS.2021.3118707}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/AlebeleWYZYTZCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/WangWZZYZCC21, author = {Wenhui Wang and Yapeng Wu and Qiaofeng Zhang and Hengbiao Zheng and Xia Yao and Yan Zhu and Weixing Cao and Tao Cheng}, title = {{AAVI:} {A} Novel Approach to Estimating Leaf Nitrogen Concentration in Rice From Unmanned Aerial Vehicle Multispectral Imagery at Early and Middle Growth Stages}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {6716--6728}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3086580}, doi = {10.1109/JSTARS.2021.3086580}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/WangWZZYZCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhangASWZD21, author = {Xinqi Zhang and Weining An and Jinggong Sun and Hang Wu and Wenchang Zhang and Yaohua Du}, title = {Best Representation Branch Model for Remote Sensing Image Scene Classification}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {9768--9780}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3114404}, doi = {10.1109/JSTARS.2021.3114404}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ZhangASWZD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/Ding0LGLXH21, author = {Xinmiao Ding and Bing Li and Yangxi Li and Wen Guo and Yao Liu and Weihua Xiong and Weiming Hu}, title = {Web Objectionable Video Recognition Based on Deep Multi-Instance Learning With Representative Prototypes Selection}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {31}, number = {3}, pages = {1222--1233}, year = {2021}, url = {https://doi.org/10.1109/TCSVT.2020.2992276}, doi = {10.1109/TCSVT.2020.2992276}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/Ding0LGLXH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/FanZKZZYXLL21, author = {Xiangpeng Fan and Yijun Zhang and Paul R. Krehbiel and Yang Zhang and Dong Zheng and Wen Yao and Liangtao Xu and Hengyi Liu and Weitao Lyu}, title = {Application of Ensemble Empirical Mode Decomposition in Low-Frequency Lightning Electric Field Signal Analysis and Lightning Location}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {1}, pages = {86--100}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.2991724}, doi = {10.1109/TGRS.2020.2991724}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/FanZKZZYXLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/QiuSYWTL21, author = {Wei Qiu and Kaiqi Sun and Wenxuan Yao and Weikang Wang and Qiu Tang and Yilu Liu}, title = {Hybrid Data-Driven Based HVdc Ancillary Control for Multiple Frequency Data Attacks}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {12}, pages = {8035--8045}, year = {2021}, url = {https://doi.org/10.1109/TII.2021.3063270}, doi = {10.1109/TII.2021.3063270}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/QiuSYWTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/QiuTYQM21, author = {Wei Qiu and Qiu Tang and Wenxuan Yao and Yuhong Qin and Jun Ma}, title = {Probability Analysis for Failure Assessment of Electric Energy Metering Equipment Under Multiple Extreme Stresses}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {6}, pages = {3762--3771}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3025314}, doi = {10.1109/TII.2020.3025314}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/QiuTYQM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangCYSQL21, author = {Weikang Wang and Chang Chen and Wenxuan Yao and Kaiqi Sun and Wei Qiu and Yilu Liu}, title = {Synchrophasor Data Compression Under Disturbance Conditions via Cross-Entropy-Based Singular Value Decomposition}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {4}, pages = {2716--2726}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3005414}, doi = {10.1109/TII.2020.3005414}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/WangCYSQL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/GuoLGCWZW21, author = {Changhao Guo and Min Liu and Tongkun Guan and Weixun Chen and He Wen and Tieyong Zeng and Yaonan Wang}, title = {Crossover Structure Separation With Application to Neuron Tracing in Volumetric Images}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--13}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3072119}, doi = {10.1109/TIM.2021.3072119}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/GuoLGCWZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShenLWCSWW21, author = {Lan Shen and Min Liu and Chao Wang and Weixun Chen and Zhongkai Sun and He Wen and Yaonan Wang}, title = {Efficient Critical Point Detection for Curvilinear Structures Using a Ring-Like Ray-Shooting Model}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--11}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3031980}, doi = {10.1109/TIM.2020.3031980}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShenLWCSWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SunZLWZWT21, author = {Shuguang Sun and Tingting Zhang and Qin Li and Jingqin Wang and Wei Zhang and Zhitao Wen and Yao Tang}, title = {Fault Diagnosis of Conventional Circuit Breaker Contact System Based on Time-Frequency Analysis and Improved AlexNet}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--12}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3045798}, doi = {10.1109/TIM.2020.3045798}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SunZLWZWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/YuLCWWZ21, author = {Fuhao Yu and Min Liu and Weixun Chen and He Wen and Yaonan Wang and Tieyong Zeng}, title = {Automatic Repair of 3-D Neuron Reconstruction Based on Topological Feature Points and an MOST-Based Repairer}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--13}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3033057}, doi = {10.1109/TIM.2020.3033057}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/YuLCWWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ZhongDHZLW21, author = {Yaoyao Zhong and Weihong Deng and Jiani Hu and Dongyue Zhao and Xian Li and Dongchao Wen}, title = {SFace: Sigmoid-Constrained Hypersphere Loss for Robust Face Recognition}, journal = {{IEEE} Trans. Image Process.}, volume = {30}, pages = {2587--2598}, year = {2021}, url = {https://doi.org/10.1109/TIP.2020.3048632}, doi = {10.1109/TIP.2020.3048632}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ZhongDHZLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/CaiLYWM21, author = {Suihua Cai and Wenchao Lin and Xinyuanmeng Yao and Baodian Wei and Xiao Ma}, title = {Systematic Convolutional Low Density Generator Matrix Code}, journal = {{IEEE} Trans. Inf. Theory}, volume = {67}, number = {6}, pages = {3752--3764}, year = {2021}, url = {https://doi.org/10.1109/TIT.2021.3064922}, doi = {10.1109/TIT.2021.3064922}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/CaiLYWM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LiWXMT21, author = {Hongjian Li and Yaojun Wei and Yu Xiong and Enjie Ma and Wenhong Tian}, title = {A frequency-aware and energy-saving strategy based on {DVFS} for Spark}, journal = {J. Supercomput.}, volume = {77}, number = {10}, pages = {11575--11596}, year = {2021}, url = {https://doi.org/10.1007/s11227-021-03740-5}, doi = {10.1007/S11227-021-03740-5}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LiWXMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/GanSGYPZW21, author = {Wei Gan and Mohammad Shahidehpour and Jianbo Guo and Wei Yao and Aleksi Paaso and Liuxi Zhang and Jinyu Wen}, title = {Two-Stage Planning of Network-Constrained Hybrid Energy Supply Stations for Electric and Natural Gas Vehicles}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {3}, pages = {2013--2026}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3039493}, doi = {10.1109/TSG.2020.3039493}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/GanSGYPZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/QiuTZWLY21, author = {Wei Qiu and Qiu Tang and Kunzhi Zhu and Weikang Wang and Yilu Liu and Wenxuan Yao}, title = {Detection of Synchrophasor False Data Injection Attack Using Feature Interactive Network}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {1}, pages = {659--670}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3014311}, doi = {10.1109/TSG.2020.3014311}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/QiuTZWLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/QiuTZYML21, author = {Wei Qiu and Qiu Tang and Kunzhi Zhu and Wenxuan Yao and Jun Ma and Yilu Liu}, title = {Cyber Spoofing Detection for Grid Distributed Synchrophasor Using Dynamic Dual-Kernel {SVM}}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {3}, pages = {2732--2735}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3039411}, doi = {10.1109/TSG.2020.3039411}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/QiuTZYML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/YaoNZFAZWC21, author = {Wei Yao and Jiajun Nan and Yifan Zhao and Jiakun Fang and Xiaomeng Ai and Wenping Zuo and Jinyu Wen and Shijie Cheng}, title = {Resilient Wide-Area Damping Control for Inter-Area Oscillations to Tolerate Deception Attacks}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {5}, pages = {4238--4249}, year = {2021}, url = {https://doi.org/10.1109/TSG.2021.3068390}, doi = {10.1109/TSG.2021.3068390}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/YaoNZFAZWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/WuCCXLMSHYJ21, author = {Nannan Wu and Qianwen Chao and Yanzhen Chen and Weiwei Xu and Chen Liu and Dinesh Manocha and Wenxin Sun and Yi Han and Xinran Yao and Xiaogang Jin}, title = {AgentDress: Realtime Clothing Synthesis for Virtual Agents using Plausible Deformations}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {27}, number = {11}, pages = {4107--4118}, year = {2021}, url = {https://doi.org/10.1109/TVCG.2021.3106429}, doi = {10.1109/TVCG.2021.3106429}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/WuCCXLMSHYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhaoYLLYFSQ21, author = {Mingxiong Zhao and Jun{-}Jie Yu and Wen{-}Tao Li and Di Liu and Shaowen Yao and Wei Feng and Changyang She and Tony Q. S. Quek}, title = {Energy-Aware Task Offloading and Resource Allocation for Time-Sensitive Services in Mobile Edge Computing Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {10}, pages = {10925--10940}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3108508}, doi = {10.1109/TVT.2021.3108508}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ZhaoYLLYFSQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LuoQXCZDYZWCHRL21, author = {Chuan Luo and Bo Qiao and Wenqian Xing and Xin Chen and Pu Zhao and Chao Du and Randolph Yao and Hongyu Zhang and Wei Wu and Shaowei Cai and Bing He and Saravanakumar Rajmohan and Qingwei Lin}, title = {Correlation-Aware Heuristic Search for Intelligent Virtual Machine Provisioning in Cloud Systems}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {12363--12372}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i14.17467}, doi = {10.1609/AAAI.V35I14.17467}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaai/LuoQXCZDYZWCHRL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhangYZZYRC21, author = {Qian{-}Wen Zhang and Zhao Yan and Tianyang Zhao and Shi{-}Wei Zhang and Meng Yao and Meng{-}Liang Rao and Yunbo Cao}, title = {{MMKE:} {A} Multi-Model Knowledge Extraction System from Unstructured Texts}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {16124--16126}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i18.18032}, doi = {10.1609/AAAI.V35I18.18032}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhangYZZYRC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/YaoHWDW21, author = {Yunzhi Yao and Shaohan Huang and Wenhui Wang and Li Dong and Furu Wei}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Adapt-and-Distill: Developing Small, Fast and Effective Pretrained Language Models for Domains}, booktitle = {Findings of the Association for Computational Linguistics: {ACL/IJCNLP} 2021, Online Event, August 1-6, 2021}, series = {Findings of {ACL}}, volume = {{ACL/IJCNLP} 2021}, pages = {460--470}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.findings-acl.40}, doi = {10.18653/V1/2021.FINDINGS-ACL.40}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/YaoHWDW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aivr/HsiaoSHCYC21, author = {Kai{-}Wen Hsiao and Jheng{-}Wei Su and Yu{-}Chih Hung and Kuo{-}Wei Chen and Chih{-}Yuan Yao and Hung{-}Kuo Chu}, title = {A Large-Scale Indoor Layout Reconstruction and Localization System for Spatial-Aware Mobile {AR} Applications}, booktitle = {{IEEE} International Conference on Artificial Intelligence and Virtual Reality, {AIVR} 2021, Taichung, Taiwan, November 15-17, 2021}, pages = {237--241}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AIVR52153.2021.00054}, doi = {10.1109/AIVR52153.2021.00054}, timestamp = {Wed, 29 Dec 2021 14:48:57 +0100}, biburl = {https://dblp.org/rec/conf/aivr/HsiaoSHCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apwcs2/WeiHSW21, author = {Hung{-}Yu Wei and Y.{-}W. Peter Hong and Wen{-}Tron Shay and Tzong{-}Lin Wu}, title = {Bridging the Gap Between Academia and Industry: {MOST} 6G Research Program in Taiwan}, booktitle = {2021 {IEEE} {VTS} 17th Asia Pacific Wireless Communications Symposium (APWCS), Osaka, Japan, August 30-31, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/APWCS50173.2021.9548775}, doi = {10.1109/APWCS50173.2021.9548775}, timestamp = {Wed, 06 Oct 2021 10:43:34 +0200}, biburl = {https://dblp.org/rec/conf/apwcs2/WeiHSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/YuWZLMSFXCZY0LL21, author = {Jifan Yu and Yuquan Wang and Qingyang Zhong and Gan Luo and Yiming Mao and Kai Sun and Wenzheng Feng and Wei Xu and Shulin Cao and Kaisheng Zeng and Zijun Yao and Lei Hou and Yankai Lin and Peng Li and Jie Zhou and Bin Xu and Juanzi Li and Jie Tang and Maosong Sun}, editor = {Gianluca Demartini and Guido Zuccon and J. Shane Culpepper and Zi Huang and Hanghang Tong}, title = {MOOCCubeX: {A} Large Knowledge-centered Repository for Adaptive Learning in MOOCs}, booktitle = {{CIKM} '21: The 30th {ACM} International Conference on Information and Knowledge Management, Virtual Event, Queensland, Australia, November 1 - 5, 2021}, pages = {4643--4652}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3459637.3482010}, doi = {10.1145/3459637.3482010}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/YuWZLMSFXCZY0LL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/complexnetworks/ChenCT21, author = {Po{-}An Chen and Ya{-}Wen Cheng and Yao{-}Wei Tseng}, editor = {Rosa Mar{\'{\i}}a Benito and Chantal Cherifi and Hocine Cherifi and Esteban Moro and Luis M. Rocha and Marta Sales{-}Pardo}, title = {Mixed Integer Programming and {LP} Rounding for Opinion Maximization on Directed Acyclic Graphs}, booktitle = {Complex Networks {\&} Their Applications {X} - Volume 1, Proceedings of the Tenth International Conference on Complex Networks and Their Applications {COMPLEX} {NETWORKS} 2021, Madrid, Spain, November 30 - December 2, 2021}, series = {Studies in Computational Intelligence}, volume = {1015}, pages = {867--878}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93409-5\_71}, doi = {10.1007/978-3-030-93409-5\_71}, timestamp = {Mon, 26 Jun 2023 20:46:27 +0200}, biburl = {https://dblp.org/rec/conf/complexnetworks/ChenCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChouHCC21, author = {Yun Chou and Jhih{-}Wei Hsu and Yao{-}Wen Chang and Tung{-}Chieh Chen}, title = {{VLSI} Structure-aware Placement for Convolutional Neural Network Accelerator Units}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1117--1122}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586294}, doi = {10.1109/DAC18074.2021.9586294}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChouHCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuLTC21, author = {Chen{-}Hao Hsu and Wan{-}Hsuan Lin and Wei{-}Hsiang Tseng and Yao{-}Wen Chang}, title = {A Bridge-based Compression Algorithm for Topological Quantum Circuits}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {457--462}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586322}, doi = {10.1109/DAC18074.2021.9586322}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HsuLTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoWLJLZ21, author = {Jianqi Zhao and Yao Wen and Yuchen Luo and Zhou Jin and Weifeng Liu and Zhenya Zhou}, title = {{SFLU:} Synchronization-Free Sparse {LU} Factorization for Fast Circuit Simulation on GPUs}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {37--42}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586141}, doi = {10.1109/DAC18074.2021.9586141}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoWLJLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/0004WCWLCWSCT21, author = {Weijie Liu and Wenhao Wang and Hongbo Chen and Xiaofeng Wang and Yaosong Lu and Kai Chen and Xinyu Wang and Qintao Shen and Yi Chen and Haixu Tang}, title = {Practical and Efficient in-Enclave Verification of Privacy Compliance}, booktitle = {51st Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2021, Taipei, Taiwan, June 21-24, 2021}, pages = {413--425}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSN48987.2021.00052}, doi = {10.1109/DSN48987.2021.00052}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsn/0004WCWLCWSCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZhangZWLRSXY21, author = {Yi Zhang and Lifu Zhang and Guan Wang and Wenyi Lyu and Yu Ran and Steven W. Su and Peng Xu and Dezhong Yao}, title = {Noise-assisted Multivariate Empirical Mode Decomposition based Causal Decomposition for Detecting Upper Limb Movement in {EEG-EMG} Hybrid Brain Computer Interface}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {6029--6032}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9630384}, doi = {10.1109/EMBC46164.2021.9630384}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ZhangZWLRSXY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ZhangLJLWJY21, author = {Yao Zhang and Hongru Liang and Adam Jatowt and Wenqiang Lei and Xin Wei and Ning Jiang and Zhenglu Yang}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {{GMH:} {A} General Multi-hop Reasoning Model for {KG} Completion}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {3437--3446}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.276}, doi = {10.18653/V1/2021.EMNLP-MAIN.276}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/ZhangLJLWJY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgr/FangDZHZLW21, author = {Han Fang and Weihong Deng and Yaoyao Zhong and Jiani Hu and Dongyue Zhao and Xian Li and Dongchao Wen}, title = {Augmented Face Representation Learning via Transitive Distillation}, booktitle = {16th {IEEE} International Conference on Automatic Face and Gesture Recognition, {FG} 2021, Jodhpur, India, December 15-18, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FG52635.2021.9666949}, doi = {10.1109/FG52635.2021.9666949}, timestamp = {Wed, 11 Jan 2023 13:24:19 +0100}, biburl = {https://dblp.org/rec/conf/fgr/FangDZHZLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/QiuYZLSYYL21, author = {Wei Qiu and He Yin and Liang Zhang and Xiqian Luo and Kaiqi Sun and Wenxuan Yao and Shutang You and Yilu Liu}, title = {Analog Front-end: Circuit of Pulsar-based Timing Synchronization for {WAMS}}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2021, Vancouver, BC, Canada, October 10-14, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IAS48185.2021.9677451}, doi = {10.1109/IAS48185.2021.9677451}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/QiuYZLSYYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinHCWW21, author = {Jai{-}Ming Lin and Wei{-}Fan Huang and Yao{-}Chieh Chen and Yi{-}Ting Wang and Po{-}Wen Wang}, title = {{DAPA:} {A} Dataflow-Aware Analytical Placement Algorithm for Modern Mixed-Size Circuit Designs}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643441}, doi = {10.1109/ICCAD51958.2021.9643441}, timestamp = {Tue, 28 Dec 2021 12:29:05 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinHCWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuCCCC21, author = {Wei{-}Kai Liu and Ming{-}Hung Chen and Chia{-}Ming Chang and Chen{-}Chia Chang and Yao{-}Wen Chang}, title = {Time-Division Multiplexing Based System-Level {FPGA} Routing}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643558}, doi = {10.1109/ICCAD51958.2021.9643558}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiuCCCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icct/QiaoWGYFZLX21, author = {Lang{-}Chao Qiao and Jin{-}Lu Wang and Bao{-}Hong Gao and Xin{-}Gang Yang and Wen{-}Tao Feng and Yu{-}Xiao Zhang and Wei Liu and Rong{-}Yao Xu}, title = {Utilizing Link Prediction Approach to Predict Express-Related Counterfeit Cigarette Crime Cases}, booktitle = {21st International Conference on Communication Technology, {ICCT} 2021, Tianjin, China, October 13-16, 2021}, pages = {328--332}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCT52962.2021.9657960}, doi = {10.1109/ICCT52962.2021.9657960}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icct/QiaoWGYFZLX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LiMLYKWH21, author = {Yao Li and Martin Renqiang Min and Thomas C. M. Lee and Wenchao Yu and Erik Kruus and Wei Wang and Cho{-}Jui Hsieh}, title = {Towards Robustness of Deep Neural Networks via Regularization}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {7476--7485}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00740}, doi = {10.1109/ICCV48922.2021.00740}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LiMLYKWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ZhangDZHLZW21, author = {Yaobin Zhang and Weihong Deng and Yaoyao Zhong and Jiani Hu and Xian Li and Dongyue Zhao and Dongchao Wen}, title = {Adaptive Label Noise Cleaning with Meta-Supervision for Deep Face Recognition}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {15045--15055}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01479}, doi = {10.1109/ICCV48922.2021.01479}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ZhangDZHLZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/WangCYWFP21, author = {Wei{-}Yao Wang and Teng{-}Fong Chan and Hui{-}Kuo Yang and Chih{-}Chuan Wang and Yao{-}Chung Fan and Wen{-}Chih Peng}, editor = {James Bailey and Pauli Miettinen and Yun Sing Koh and Dacheng Tao and Xindong Wu}, title = {Exploring the Long Short-Term Dependencies to Infer Shot Influence in Badminton Matches}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2021, Auckland, New Zealand, December 7-10, 2021}, pages = {1397--1402}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDM51629.2021.00178}, doi = {10.1109/ICDM51629.2021.00178}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/WangCYWFP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceel/ZhanMLSHY21, author = {Zehui Zhan and Shuyao Ma and Weixian Li and Wenyao Shen and Liming Huo and Xiao Yao}, title = {Effect of "6C" instructional design model on students' {STEAM} competency and cultural inheritance literacy in a Dragon Boat {C-STEAM} course}, booktitle = {{ICEEL} 2021: 5th International Conference on Education and E-Learning, Virtual Event, Japan, November 5 - 7, 2021}, pages = {229--236}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3502434.3502436}, doi = {10.1145/3502434.3502436}, timestamp = {Mon, 14 Feb 2022 08:58:38 +0100}, biburl = {https://dblp.org/rec/conf/iceel/ZhanMLSHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icig/DengCL0WL21, author = {Songyun Deng and Lekai Cheng and Wenlin Li and Wei Sun and Yaonan Wang and Qiaokang Liang}, editor = {Yuxin Peng and Shi{-}Min Hu and Moncef Gabbouj and Kun Zhou and Michael Elad and Kun Xu}, title = {Automatic Leaf Diseases Detection System Based on Multi-stage Recognition}, booktitle = {Image and Graphics - 11th International Conference, {ICIG} 2021, Haikou, China, August 6-8, 2021, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12888}, pages = {245--255}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87355-4\_21}, doi = {10.1007/978-3-030-87355-4\_21}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icig/DengCL0WL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YaoWZHDRBL21, author = {Chenglin Yao and Shihe Wang and Jialu Zhang and Wentao He and Heshan Du and Jianfeng Ren and Ruibin Bai and Jiang Liu}, title = {rPPG-Based Spoofing Detection for Face Mask Attack using Efficientnet on Weighted Spatial-Temporal Representation}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {3872--3876}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506276}, doi = {10.1109/ICIP42928.2021.9506276}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/YaoWZHDRBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/WenDYWGQ21, author = {Tiancheng Wen and Zhonggan Ding and Yongqiang Yao and WeiZhang and Yanhao Ge and Xueming Qian}, title = {Towards Efficient Masked-Face Alignment Via Cascaded Regression}, booktitle = {2021 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops, Shenzhen, China, July 5-9, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICMEW53276.2021.9455962}, doi = {10.1109/ICMEW53276.2021.9455962}, timestamp = {Thu, 19 May 2022 21:17:52 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/WenDYWGQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icta3/HanHWWXYCS21, author = {Wentao Han and Xiwei Huang and Maoyu Wei and Renjie Wang and Xuefeng Xu and Jiangfan Yao and Jin Chen and Lingling Sun}, title = {Lensfree on-chip digital holographic microscope with auto-focusing}, booktitle = {2021 {IEEE} International Conference on Integrated Circuits, Technologies and Applications, {ICTA} 2021, Zhuhai, China, November 24-26, 2021}, pages = {16--17}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTA53157.2021.9661767}, doi = {10.1109/ICTA53157.2021.9661767}, timestamp = {Mon, 06 Nov 2023 08:19:56 +0100}, biburl = {https://dblp.org/rec/conf/icta3/HanHWWXYCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ZhengWCCCL21, author = {Ye{-}Jin Zheng and Wei{-}Cheng Wang and Rongshun Chen and Wen{-}Hsin Chiu and Yi{-}Yang Chen and Cheng{-}Yao Lo}, title = {Capacitive Pressure Sensing Unit for Racket Sports}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639249}, doi = {10.1109/SENSORS47087.2021.9639249}, timestamp = {Wed, 14 Dec 2022 15:07:35 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ZhengWCCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LiXDCYYYW21, author = {Biao Li and Qixing Xie and Shaoyi Du and Wenting Cui and Runzhao Yao and Yang Yang and Jing Yang and Lin Wang}, title = {DWG-Reg: Deep Weight Global Registration}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9534365}, doi = {10.1109/IJCNN52387.2021.9534365}, timestamp = {Sun, 03 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LiXDCYYYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/YaoZWZG21, author = {Juan Yao and Jun Zeng and Junhao Wen and Wei Zhou and Min Gao}, title = {Hybrid-TC: {A} Hybrid Teaching-Learning-Based Optimization Algorithm for Service Composition in Cloud Manufacturing}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533977}, doi = {10.1109/IJCNN52387.2021.9533977}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/YaoZWZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChenCWDZWSPTZJK21, author = {Guoguo Chen and Shuzhou Chai and Guan{-}Bo Wang and Jiayu Du and Wei{-}Qiang Zhang and Chao Weng and Dan Su and Daniel Povey and Jan Trmal and Junbo Zhang and Mingjie Jin and Sanjeev Khudanpur and Shinji Watanabe and Shuaijiang Zhao and Wei Zou and Xiangang Li and Xuchen Yao and Yongqing Wang and Zhao You and Zhiyong Yan}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {GigaSpeech: An Evolving, Multi-Domain {ASR} Corpus with 10, 000 Hours of Transcribed Audio}, booktitle = {Interspeech 2021, 22nd Annual Conference of the International Speech Communication Association, Brno, Czechia, 30 August - 3 September 2021}, pages = {3670--3674}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-1965}, doi = {10.21437/INTERSPEECH.2021-1965}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChenCWDZWSPTZJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/YaoLWZL21, author = {Bin Yao and Wen Li and Dongyan Wei and Wenchao Zhang and Xianghong Li}, title = {Topology Construction Based on Indoor Crowdsourcing Data using Manifold Learning: Evaluation of Algorithms and Key Parameters}, booktitle = {International Conference on Indoor Positioning and Indoor Navigation, {IPIN} 2021, Lloret de Mar, Spain, November 29 - Dec. 2, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPIN51156.2021.9662627}, doi = {10.1109/IPIN51156.2021.9662627}, timestamp = {Wed, 12 Jan 2022 09:10:06 +0100}, biburl = {https://dblp.org/rec/conf/ipin/YaoLWZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/HuWYZS21, author = {Yaoyu Hu and Wenshan Wang and Huai Yu and Weikun Zhen and Sebastian A. Scherer}, title = {ORStereo: Occlusion-Aware Recurrent Stereo Matching for 4K-Resolution Images}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {5671--5678}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9635869}, doi = {10.1109/IROS51168.2021.9635869}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/HuWYZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChenSHCYLC21, author = {Kuan{-}Ting Chen and Jheng{-}Wei Su and Kai{-}Wen Hsiao and Kuo{-}Wei Chen and Chih{-}Yuan Yao and Ruen{-}Rone Lee and Hung{-}Kuo Chu}, title = {Mapping 3D road model to 2D street-view video using Image and Semantic Feature Matching}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651097}, doi = {10.1109/ISPACS51563.2021.9651097}, timestamp = {Wed, 12 Jan 2022 09:10:22 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChenSHCYLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LiHMXWZZWQC021, author = {Yue Li and Zilong He and Xiangyuan Ma and Weimin Xu and Chanjuan Wen and Hui Zeng and Weixiong Zeng and Zeqi Wu and Genggeng Qin and Weiguo Chen and Yao Lu}, editor = {Maciej A. Mazurowski and Karen Drukker}, title = {Architectural distortion detection in digital breast tomosynthesis with adaptive receptive field and adaptive convolution kernel shape}, booktitle = {Medical Imaging 2021: Computer-Aided Diagnosis, Online, February 15-20, 2021}, series = {{SPIE} Proceedings}, volume = {11597}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2580836}, doi = {10.1117/12.2580836}, timestamp = {Wed, 06 Mar 2024 16:09:26 +0100}, biburl = {https://dblp.org/rec/conf/micad/LiHMXWZZWQC021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swarm/ZouYWL021, author = {Yao Zou and Wenfu Yang and Zixuan Wang and Keping Long and Wei He}, editor = {Ying Tan and Yuhui Shi}, title = {Non-singular Finite-Time Consensus Tracking Protocols for Second-Order Multi-agent Systems}, booktitle = {Advances in Swarm Intelligence - 12th International Conference, {ICSI} 2021, Qingdao, China, July 17-21, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12690}, pages = {182--192}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78811-7\_18}, doi = {10.1007/978-3-030-78811-7\_18}, timestamp = {Mon, 12 Jul 2021 14:52:43 +0200}, biburl = {https://dblp.org/rec/conf/swarm/ZouYWL021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/WangLHQJPHLZZ21, author = {Wei Wang and Xuesong Lu and Bo Huang and Weining Qian and Cheqing Jin and Peng Pu and Wenxin Hu and Yao Liu and Aoying Zhou and Xuan Zhou}, title = {Shuishan Online: Constructing and Teaching with {A} Data-driven Learning Platform}, booktitle = {2021 {IEEE} International Conference on Engineering, Technology {\&} Education (TALE), Wuhan, Hubei Province, China, December 5-8, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/TALE52509.2021.9678666}, doi = {10.1109/TALE52509.2021.9678666}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tale/WangLHQJPHLZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucet/HanHWXWYCGS21, author = {Wentao Han and Xiwei Huang and Maoyu Wei and Xuefeng Xu and Renjie Wang and Jiangfan Yao and Jin Chen and Jinhong Guo and Lingling Sun}, title = {An Optimized Object Support Region Determination Method in Lensfree On-chip Digital Holographic Microscope}, booktitle = {International Conference on UK-China Emerging Technologies, {UCET} 2021, Chengdu, China, November 4-6, 2021}, pages = {77--80}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/UCET54125.2021.9674954}, doi = {10.1109/UCET54125.2021.9674954}, timestamp = {Wed, 26 Jan 2022 22:30:07 +0100}, biburl = {https://dblp.org/rec/conf/ucet/HanHWXWYCGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-03088, author = {Nannan Wu and Qianwen Chao and Yanzhen Chen and Weiwei Xu and Chen Liu and Dinesh Manocha and Wenxin Sun and Yi Han and Xinran Yao and Xiaogang Jin}, title = {Example-based Real-time Clothing Synthesis for Virtual Agents}, journal = {CoRR}, volume = {abs/2101.03088}, year = {2021}, url = {https://arxiv.org/abs/2101.03088}, eprinttype = {arXiv}, eprint = {2101.03088}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-03088.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-07897, author = {Vikram Sharma Mailthody and James Wei and Nicholas Chen and Mohammad Behnia and Ruihao Yao and Qihao Wang and Vedant Agrawal and Churan He and Lijian Wang and Leihao Chen and Amit Agarwal and Edward Richter and Wen{-}Mei Hwu and Christopher W. Fletcher and Jinjun Xiong and Andrew Miller and Sanjay Patel}, title = {Safer Illinois and RokWall: Privacy Preserving University Health Apps for {COVID-19}}, journal = {CoRR}, volume = {abs/2101.07897}, year = {2021}, url = {https://arxiv.org/abs/2101.07897}, eprinttype = {arXiv}, eprint = {2101.07897}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-07897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07798, author = {Yaoyu Hu and Wenshan Wang and Huai Yu and Weikun Zhen and Sebastian A. Scherer}, title = {ORStereo: Occlusion-Aware Recurrent Stereo Matching for 4K-Resolution Images}, journal = {CoRR}, volume = {abs/2103.07798}, year = {2021}, url = {https://arxiv.org/abs/2103.07798}, eprinttype = {arXiv}, eprint = {2103.07798}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07798.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-11177, author = {Xianqi Chen and Xiaoyu Zhao and Zhiqiang Gong and Jun Zhang and Weien Zhou and Xiaoqian Chen and Wen Yao}, title = {A Deep Neural Network Surrogate Modeling Benchmark for Temperature Field Prediction of Heat Source Layout}, journal = {CoRR}, volume = {abs/2103.11177}, year = {2021}, url = {https://arxiv.org/abs/2103.11177}, eprinttype = {arXiv}, eprint = {2103.11177}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-11177.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-04340, author = {Ji Ge and Yu{-}Yuan Zhang and Kai{-}Li Xu and Ji{-}Shuo Li and Xi{-}Wen Yao and Chun{-}Ying Wu and Shuang{-}Yuan Li and Fang Yan and Jin{-}Jia Zhang and Qing{-}Wei Xu}, title = {Interaction Theory of Hazard-Target System}, journal = {CoRR}, volume = {abs/2105.04340}, year = {2021}, url = {https://arxiv.org/abs/2105.04340}, eprinttype = {arXiv}, eprint = {2105.04340}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-04340.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-14678, author = {Xiaoguang Tu and Yingtian Zou and Jian Zhao and Wenjie Ai and Jian Dong and Yuan Yao and Zhikang Wang and Guodong Guo and Zhifeng Li and Wei Liu and Jiashi Feng}, title = {Image-to-Video Generation via 3D Facial Dynamics}, journal = {CoRR}, volume = {abs/2105.14678}, year = {2021}, url = {https://arxiv.org/abs/2105.14678}, eprinttype = {arXiv}, eprint = {2105.14678}, timestamp = {Tue, 20 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-14678.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-00517, author = {Tianze Zhou and Fubiao Zhang and Kun Shao and Kai Li and Wenhan Huang and Jun Luo and Weixun Wang and Yaodong Yang and Hangyu Mao and Bin Wang and Dong Li and Wulong Liu and Jianye Hao}, title = {Cooperative Multi-Agent Transfer Learning with Level-Adaptive Credit Assignment}, journal = {CoRR}, volume = {abs/2106.00517}, year = {2021}, url = {https://arxiv.org/abs/2106.00517}, eprinttype = {arXiv}, eprint = {2106.00517}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-00517.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06909, author = {Guoguo Chen and Shuzhou Chai and Guanbo Wang and Jiayu Du and Wei{-}Qiang Zhang and Chao Weng and Dan Su and Daniel Povey and Jan Trmal and Junbo Zhang and Mingjie Jin and Sanjeev Khudanpur and Shinji Watanabe and Shuaijiang Zhao and Wei Zou and Xiangang Li and Xuchen Yao and Yongqing Wang and Yujun Wang and Zhao You and Zhiyong Yan}, title = {GigaSpeech: An Evolving, Multi-domain {ASR} Corpus with 10, 000 Hours of Transcribed Audio}, journal = {CoRR}, volume = {abs/2106.06909}, year = {2021}, url = {https://arxiv.org/abs/2106.06909}, eprinttype = {arXiv}, eprint = {2106.06909}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07551, author = {Ming Zhou and Ziyu Wan and Hanjing Wang and Muning Wen and Runzhe Wu and Ying Wen and Yaodong Yang and Weinan Zhang and Jun Wang}, title = {MALib: {A} Parallel Framework for Population-based Multi-agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/2106.07551}, year = {2021}, url = {https://arxiv.org/abs/2106.07551}, eprinttype = {arXiv}, eprint = {2106.07551}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07551.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-11929, author = {Zhiqiang Gong and Weien Zhou and Jun Zhang and Wei Peng and Wen Yao}, title = {Physics-Informed Deep Reversible Regression Model for Temperature Field Reconstruction of Heat-Source Systems}, journal = {CoRR}, volume = {abs/2106.11929}, year = {2021}, url = {https://arxiv.org/abs/2106.11929}, eprinttype = {arXiv}, eprint = {2106.11929}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-11929.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-13474, author = {Yunzhi Yao and Shaohan Huang and Wenhui Wang and Li Dong and Furu Wei}, title = {Adapt-and-Distill: Developing Small, Fast and Effective Pretrained Language Models for Domains}, journal = {CoRR}, volume = {abs/2106.13474}, year = {2021}, url = {https://arxiv.org/abs/2106.13474}, eprinttype = {arXiv}, eprint = {2106.13474}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-13474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04320, author = {Wei Peng and Jun Zhang and Weien Zhou and Xiaoyu Zhao and Wen Yao and Xiaoqian Chen}, title = {IDRLnet: {A} Physics-Informed Neural Network Library}, journal = {CoRR}, volume = {abs/2107.04320}, year = {2021}, url = {https://arxiv.org/abs/2107.04320}, eprinttype = {arXiv}, eprint = {2107.04320}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04320.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-08766, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Ali Al{-}Ali and Amr Mohamed and Bakour Imene and Bin Dong and Binyu Zhang and Bouchali Hadia Nesma and Chenfeng Xu and Chenzhen Duan and Ciro Castiello and Corrado Mencar and Dingkang Liang and Florian Kr{\"{u}}ger and Gennaro Vessio and Giovanna Castellano and Jieru Wang and Junyu Gao and Khalid Abualsaud and Laihui Ding and Lei Zhao and Marco Cianciotta and Muhammad Saqib and Noor Almaadeed and Omar Elharrouss and Pei Lyu and Qi Wang and Shidong Liu and Shuang Qiu and Siyang Pan and Somaya Al{-}M{\'{a}}adeed and Sultan Daud Khan and Tamer Khattab and Tao Han and Thomas Golda and Wei Xu and Xiang Bai and Xiaoqing Xu and Xuelong Li and Yanyun Zhao and Ye Tian and Yingnan Lin and Yongchao Xu and Yuehan Yao and Zhenyu Xu and Zhijian Zhao and Zhipeng Luo and Zhiwei Wei and Zhiyuan Zhao}, title = {VisDrone-CC2020: The Vision Meets Drone Crowd Counting Challenge Results}, journal = {CoRR}, volume = {abs/2107.08766}, year = {2021}, url = {https://arxiv.org/abs/2107.08766}, eprinttype = {arXiv}, eprint = {2107.08766}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-08766.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-10991, author = {Xu Liu and Xiaoya Zhang and Wei Peng and Weien Zhou and Wen Yao}, title = {A novel meta-learning initialization method for physics-informed neural networks}, journal = {CoRR}, volume = {abs/2107.10991}, year = {2021}, url = {https://arxiv.org/abs/2107.10991}, eprinttype = {arXiv}, eprint = {2107.10991}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-10991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-12545, author = {Hang Shuai and Xiaomeng Ai and Jiakun Fang and Wei Yao and Jinyu Wen}, title = {Double Deep Q-learning Based Real-Time Optimization Strategy for Microgrids}, journal = {CoRR}, volume = {abs/2107.12545}, year = {2021}, url = {https://arxiv.org/abs/2107.12545}, eprinttype = {arXiv}, eprint = {2107.12545}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-12545.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-00154, author = {Wenxiao Wang and Lu Yao and Long Chen and Deng Cai and Xiaofei He and Wei Liu}, title = {CrossFormer: {A} Versatile Vision Transformer Based on Cross-scale Attention}, journal = {CoRR}, volume = {abs/2108.00154}, year = {2021}, url = {https://arxiv.org/abs/2108.00154}, eprinttype = {arXiv}, eprint = {2108.00154}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-00154.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-08298, author = {Xiaoqian Chen and Zhiqiang Gong and Xiaoyu Zhao and Weien Zhou and Wen Yao}, title = {{TFRD:} {A} Benchmark Dataset for Research on Temperature Field Reconstruction of Heat-Source Systems}, journal = {CoRR}, volume = {abs/2108.08298}, year = {2021}, url = {https://arxiv.org/abs/2108.08298}, eprinttype = {arXiv}, eprint = {2108.08298}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-08298.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06397, author = {Xiangcheng Liu and Jian Cao and Hongyi Yao and Wenyu Sun and Yuan Zhang}, title = {AdaPruner: Adaptive Channel Pruning and Effective Weights Inheritance}, journal = {CoRR}, volume = {abs/2109.06397}, year = {2021}, url = {https://arxiv.org/abs/2109.06397}, eprinttype = {arXiv}, eprint = {2109.06397}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06397.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-06431, author = {Wei{-}Yao Wang and Teng{-}Fong Chan and Hui{-}Kuo Yang and Chih{-}Chuan Wang and Yao{-}Chung Fan and Wen{-}Chih Peng}, title = {Exploring the Long Short-Term Dependencies to Infer Shot Influence in Badminton Matches}, journal = {CoRR}, volume = {abs/2109.06431}, year = {2021}, url = {https://arxiv.org/abs/2109.06431}, eprinttype = {arXiv}, eprint = {2109.06431}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-06431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-07193, author = {Donghua Wang and Tingsong Jiang and Jialiang Sun and Weien Zhou and Xiaoya Zhang and Zhiqiang Gong and Wen Yao and Xiaoqian Chen}, title = {{FCA:} Learning a 3D Full-coverage Vehicle Camouflage for Multi-view Physical Adversarial Attack}, journal = {CoRR}, volume = {abs/2109.07193}, year = {2021}, url = {https://arxiv.org/abs/2109.07193}, eprinttype = {arXiv}, eprint = {2109.07193}, timestamp = {Mon, 11 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-07193.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-01044, author = {Wei{-}Yao Wang and Hong{-}Han Shuai and Kai{-}Shiang Chang and Wen{-}Chih Peng}, title = {ShuttleNet: Position-aware Fusion of Rally Progress and Player Styles for Stroke Forecasting in Badminton}, journal = {CoRR}, volume = {abs/2112.01044}, year = {2021}, url = {https://arxiv.org/abs/2112.01044}, eprinttype = {arXiv}, eprint = {2112.01044}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-01044.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-02845, author = {Linghui Meng and Muning Wen and Yaodong Yang and Chenyang Le and Xiyun Li and Weinan Zhang and Ying Wen and Haifeng Zhang and Jun Wang and Bo Xu}, title = {Offline Pre-trained Multi-Agent Decision Transformer: One Big Sequence Model Tackles All {SMAC} Tasks}, journal = {CoRR}, volume = {abs/2112.02845}, year = {2021}, url = {https://arxiv.org/abs/2112.02845}, eprinttype = {arXiv}, eprint = {2112.02845}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-02845.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-06467, author = {Xin Li and Qiao Liu and Wenjie Pei and Qiuhong Shen and Yaowei Wang and Huchuan Lu and Ming{-}Hsuan Yang}, title = {An Informative Tracking Benchmark}, journal = {CoRR}, volume = {abs/2112.06467}, year = {2021}, url = {https://arxiv.org/abs/2112.06467}, eprinttype = {arXiv}, eprint = {2112.06467}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-06467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-08266, author = {Xin Liu and Dayiheng Liu and Baosong Yang and Haibo Zhang and Junwei Ding and Wenqing Yao and Weihua Luo and Haiying Zhang and Jinsong Su}, title = {{KGR4:} Retrieval, Retrospect, Refine and Rethink for Commonsense Generation}, journal = {CoRR}, volume = {abs/2112.08266}, year = {2021}, url = {https://arxiv.org/abs/2112.08266}, eprinttype = {arXiv}, eprint = {2112.08266}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-08266.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FanYZXZKZLLCX20, author = {Xiangpeng Fan and Wen Yao and Yang Zhang and Liangtao Xu and Yijun Zhang and Paul R. Krehbiel and Dong Zheng and Hengyi Liu and Weitao Lyu and Shaodong Chen and Zhengshuai Xie}, title = {Parametric Reconstruction Method for the Long Time-Series Return-Stroke Current of Triggered Lightning Based on the Particle Swarm Optimization Algorithm}, journal = {{IEEE} Access}, volume = {8}, pages = {115133--115147}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004202}, doi = {10.1109/ACCESS.2020.3004202}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/FanYZXZKZLLCX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LaiLWLLTMCXWZJ20, author = {Yaoming Lai and Guangming Li and Dongmei Wu and Wanmin Lian and Cheng Li and Junzhang Tian and Xiaofen Ma and Hui Chen and Wen Xu and Jun Wei and Yaqin Zhang and Guihua Jiang}, title = {2019 Novel Coronavirus-Infected Pneumonia on {CT:} {A} Feasibility Study of Few-Shot Learning for Computerized Diagnosis of Emergency Diseases}, journal = {{IEEE} Access}, volume = {8}, pages = {194158--194165}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033069}, doi = {10.1109/ACCESS.2020.3033069}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LaiLWLLTMCXWZJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangFSYHE20, author = {Lei Wang and Wenxiao Fang and Wei{-}Heng Shao and Bin Yao and Yun Huang and Yunfei En}, title = {Broadband Circularly Polarized Cross-Dipole Antenna With Multiple Modes}, journal = {{IEEE} Access}, volume = {8}, pages = {66489--66494}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2981050}, doi = {10.1109/ACCESS.2020.2981050}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangFSYHE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangJCY20, author = {Wei Wang and Yao Jia and Kewei Cai and Wen Yu}, title = {An Aquaponics System Design for Computational Intelligence Teaching}, journal = {{IEEE} Access}, volume = {8}, pages = {42364--42371}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2976956}, doi = {10.1109/ACCESS.2020.2976956}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangJCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuHWKTHHL20, author = {Chieh{-}Chen Wu and Wen{-}Ding Hsu and Yao{-}Chin Wang and Woon{-}Man Kung and I{-}Shiang Tzeng and Chih{-}Wei Huang and Chu{-}Ya Huang and Yu{-}Chuan Li}, title = {An Innovative Scoring System for Predicting Major Adverse Cardiac Events in Patients With Chest Pain Based on Machine Learning}, journal = {{IEEE} Access}, volume = {8}, pages = {124076--124083}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3004405}, doi = {10.1109/ACCESS.2020.3004405}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WuHWKTHHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehCYLC20, author = {Chien{-}Hung Yeh and Jhao{-}Ren Chen and Wei{-}Yao You and Wen{-}Piao Lin and Chi{-}Wai Chow}, title = {Rayleigh Backscattering Noise Alleviation in Long-Reach Ring-Based {WDM} Access Communication}, journal = {{IEEE} Access}, volume = {8}, pages = {105065--105070}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2999929}, doi = {10.1109/ACCESS.2020.2999929}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehCYLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehCYLC20a, author = {Chien{-}Hung Yeh and Jhao{-}Ren Chen and Wei{-}Yao You and Wen{-}Piao Lin and Chi{-}Wai Chow}, title = {Free Space Optical Communication in Long-Reach Unidirectional Ring-Architecture Fiber Network}, journal = {{IEEE} Access}, volume = {8}, pages = {159574--159580}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020822}, doi = {10.1109/ACCESS.2020.3020822}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehCYLC20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehYCLC20, author = {Chien{-}Hung Yeh and Wei{-}Yao You and Jhao{-}Ren Chen and Wen{-}Piao Lin and Chi{-}Wai Chow}, title = {Feedback-Injected Erbium Fiber Laser With Selectable Tunability and Constant Single-Longitudinal-Mode Characteristic}, journal = {{IEEE} Access}, volume = {8}, pages = {187858--187863}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030837}, doi = {10.1109/ACCESS.2020.3030837}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YehYCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/LiZWZYTZCCC20, author = {Penglei Li and Xiao Zhang and Wenhui Wang and Hengbiao Zheng and Xia Yao and Yongchao Tian and Yan Zhu and Weixing Cao and Qi Chen and Tao Cheng}, title = {Estimating aboveground and organ biomass of plant canopies across the entire season of rice growth with terrestrial laser scanning}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {91}, pages = {102132}, year = {2020}, url = {https://doi.org/10.1016/j.jag.2020.102132}, doi = {10.1016/J.JAG.2020.102132}, timestamp = {Fri, 10 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/LiZWZYTZCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/HanXGNMYWGZX20, author = {Hong Han and Zhipeng Xu and Kexin Guo and Yao Ni and Mingxue Ma and Haiyang Yu and Huanhuan Wei and Jiangdong Gong and Shuo Zhang and Wentao Xu}, title = {Tunable Synaptic Plasticity in Crystallized Conjugated Polymer Nanowire Artificial Synapses}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {3}, pages = {1900176}, year = {2020}, url = {https://doi.org/10.1002/aisy.201900176}, doi = {10.1002/AISY.201900176}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/HanXGNMYWGZX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/ZhangZQCCWYL20, author = {Lihua Zhang and Yaoyao Zhou and Wenhai Qi and Jinde Cao and Jun Cheng and Yunliang Wei and Xiaoyu Yan and Shaowu Li}, title = {Non-fragile observer-based H{\(\infty\)} finite-time sliding mode control}, journal = {Appl. Math. Comput.}, volume = {375}, pages = {125069}, year = {2020}, url = {https://doi.org/10.1016/j.amc.2020.125069}, doi = {10.1016/J.AMC.2020.125069}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/ZhangZQCCWYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/ChenYZWYSLRXWLW20, author = {Kelie Chen and Dexin Yang and Fan Zhao and Shengchao Wang and Yao Ye and Wenjie Sun and Haohua Lu and Zhi Ruan and Jinming Xu and Tianru Wang and Guang Lu and Liming Wang and Yu Shi and Honghe Zhang and Han Wu and Weiguo Lu and Han{-}Ming Shen and Dajing Xia and Yihua Wu}, title = {Autophagy and Tumor Database: ATdb, a novel database connecting autophagy and tumor}, journal = {Database J. Biol. Databases Curation}, volume = {2020}, year = {2020}, url = {https://doi.org/10.1093/database/baaa052}, doi = {10.1093/DATABASE/BAAA052}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/ChenYZWYSLRXWLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/YaoLLLYWCL20, author = {Kun Yao and Haipeng Liu and Pengyu Liu and Wenbin Liu and Jie Yang and Qingyun Wei and Peng Cao and Yisheng Lai}, title = {Molecular modeling studies to discover novel mIDH2 inhibitors with high selectivity for the primary and secondary mutants}, journal = {Comput. Biol. Chem.}, volume = {86}, pages = {107261}, year = {2020}, url = {https://doi.org/10.1016/j.compbiolchem.2020.107261}, doi = {10.1016/J.COMPBIOLCHEM.2020.107261}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/YaoLLLYWCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/RenWHL20, author = {Weibo Ren and Jingqian Wen and Yaoguang Hu and Jinliang Li}, title = {Maintenance service network redesign for geographically distributed moving assets using {NSGA-II} in agriculture}, journal = {Comput. Electron. Agric.}, volume = {169}, pages = {105170}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2019.105170}, doi = {10.1016/J.COMPAG.2019.105170}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/RenWHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/TangZCXDDXYWL20, author = {Qing Tang and Ruirui Zhang and Liping Chen and Gang Xu and Wei Deng and Chenchen Ding and Min Xu and Tongchuan Yi and Yao Wen and Longlong Li}, title = {High-accuracy, high-resolution downwash flow field measurements of an unmanned helicopter for precision agriculture}, journal = {Comput. Electron. Agric.}, volume = {173}, pages = {105390}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2020.105390}, doi = {10.1016/J.COMPAG.2020.105390}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/TangZCXDDXYWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/RaoYCLWYWZZ20, author = {Fan Rao and Bao Yang and Yen{-}Wei Chen and Jingsong Li and Hongkai Wang and Hongwei Ye and Yaofa Wang and Kui Zhao and Wentao Zhu}, title = {A novel supervised learning method to generate {CT} images for attenuation correction in delayed pet scans}, journal = {Comput. Methods Programs Biomed.}, volume = {197}, pages = {105764}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105764}, doi = {10.1016/J.CMPB.2020.105764}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/RaoYCLWYWZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/XuLL20, author = {Yao Xu and Yanzhen Li and Wenxue Li}, title = {Adaptive finite-time synchronization control for fractional-order complex-valued dynamical networks with multiple weights}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {85}, pages = {105239}, year = {2020}, url = {https://doi.org/10.1016/j.cnsns.2020.105239}, doi = {10.1016/J.CNSNS.2020.105239}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/XuLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhouYFW20, author = {Wenju Zhou and Fulong Yao and Wei Feng and Haikuan Wang}, title = {Real-Time Height Measurement for Moving Pedestrians}, journal = {Complex.}, volume = {2020}, pages = {5708593:1--5708593:15}, year = {2020}, url = {https://doi.org/10.1155/2020/5708593}, doi = {10.1155/2020/5708593}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/ZhouYFW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/LiYYYSW20, author = {Xiaolu Li and Lirong Yin and Li Yao and Wenping Yu and Xiaojun She and Wei Wei}, title = {Seismic spatiotemporal characteristics in the Alpide Himalayan Seismic Belt}, journal = {Earth Sci. Informatics}, volume = {13}, number = {3}, pages = {883--892}, year = {2020}, url = {https://doi.org/10.1007/s12145-020-00468-3}, doi = {10.1007/S12145-020-00468-3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esi/LiYYYSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/YaoZYYWZWXL20, author = {Guocai Yao and Wenliang Zhang and Minglei Yang and Huan Yang and Jianbo Wang and Haiyue Zhang and Lai Wei and Zhi Xie and Weizhong Li}, title = {MicroPhenoDB Associates Metagenomic Data with Pathogenic Microbes, Microbial Core Genes, and Human Disease Phenotypes}, journal = {Genom. Proteom. Bioinform.}, volume = {18}, number = {6}, pages = {760--772}, year = {2020}, url = {https://doi.org/10.1016/j.gpb.2020.11.001}, doi = {10.1016/J.GPB.2020.11.001}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/YaoZYYWZWXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeejas/WuYWZ20, author = {Qi Wu and Li Yu and Yao{-}Wei Wang and Wen{-}An Zhang}, title = {LESO-based position synchronization control for networked multi-axis servo systems with time-varying delay}, journal = {{IEEE} {CAA} J. Autom. Sinica}, volume = {7}, number = {4}, pages = {1116--1123}, year = {2020}, url = {https://doi.org/10.1109/JAS.2020.1003264}, doi = {10.1109/JAS.2020.1003264}, timestamp = {Wed, 24 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeejas/WuYWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/WangGZYZXG20, author = {Xiaojia Wang and Wenqing Gong and Keyu Zhu and Lushi Yao and Shanshan Zhang and Weiqun Xu and Yuxiang Guan}, title = {Sequential Prediction of Glycosylated Hemoglobin Based on Long Short-Term Memory with Self-Attention Mechanism}, journal = {Int. J. Comput. Intell. Syst.}, volume = {13}, number = {1}, pages = {1578--1589}, year = {2020}, url = {https://doi.org/10.2991/ijcis.d.200915.001}, doi = {10.2991/IJCIS.D.200915.001}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcisys/WangGZYZXG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/CuiHYWLHWZCC20, author = {Wenqi Cui and Xin He and Meng Yao and Ziwei Wang and Jie Li and Yuanjie Hao and Weijie Wu and Huiling Zhao and Xianfeng Chen and Wei Cui}, title = {Landslide Image Captioning Method Based on Semantic Gate and Bi-Temporal {LSTM}}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {9}, number = {4}, pages = {194}, year = {2020}, url = {https://doi.org/10.3390/ijgi9040194}, doi = {10.3390/IJGI9040194}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/CuiHYWLHWZCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ZhangWQLZCSLZHO20, author = {Yang Zhang and Weicheng Wu and Yaozu Qin and Ziyu Lin and Guiliang Zhang and Renxiang Chen and Yong Song and Tao Lang and Xiaoting Zhou and Wenchao Huangfu and Penghui Ou and Lifeng Xie and Xiaolan Huang and Shanling Peng and Chongjian Shao}, title = {Mapping Landslide Hazard Risk Using Random Forest Algorithm in Guixi, Jiangxi, China}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {9}, number = {11}, pages = {695}, year = {2020}, url = {https://doi.org/10.3390/ijgi9110695}, doi = {10.3390/IJGI9110695}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/ZhangWQLZCSLZHO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HanYSXZY20, author = {Tingting Han and Hongxun Yao and Xiaoshuai Sun and Wenlong Xie and Sicheng Zhao and Wei Yu}, title = {Actionness-pooled Deep-convolutional Descriptor for fine-grained action recognition}, journal = {Neurocomputing}, volume = {398}, pages = {442--452}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2019.03.099}, doi = {10.1016/J.NEUCOM.2019.03.099}, timestamp = {Mon, 10 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HanYSXZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HuLZLY20, author = {Wenyu Hu and Shenghao Li and Weidong Zheng and Yao Lu and Gaohang Yu}, title = {Robust sequential subspace clustering via {\(\mathscr{l}\)}\({}_{\mbox{1}}\)-norm temporal graph}, journal = {Neurocomputing}, volume = {383}, pages = {380--395}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2019.12.019}, doi = {10.1016/J.NEUCOM.2019.12.019}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HuLZLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ChiWYX20, author = {Ronghu Chi and Yangchun Wei and Wenlong Yao and Jianmin Xing}, title = {Observer-based data-driven iterative learning control}, journal = {Int. J. Syst. Sci.}, volume = {51}, number = {13}, pages = {2343--2359}, year = {2020}, url = {https://doi.org/10.1080/00207721.2020.1793427}, doi = {10.1080/00207721.2020.1793427}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsysc/ChiWYX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChiuSPWWC20, author = {Te{-}Chuan Chiu and Yuan{-}Yao Shih and Ai{-}Chun Pang and Chieh{-}Sheng Wang and Wei Weng and Chun{-}Ting Chou}, title = {Semisupervised Distributed Learning With Non-IID Data for AIoT Service Platform}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {10}, pages = {9266--9277}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2995162}, doi = {10.1109/JIOT.2020.2995162}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChiuSPWWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinHTTHCHHCGFRL20, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Ching{-}Fang Chen and Wen{-}Hung Huang and Chi{-}Wei Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7-nm 4-GHz Arm{\({^1}\)}-Core-Based CoWoS{\({^1}\)} Chiplet Design for High-Performance Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {956--966}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960207}, doi = {10.1109/JSSC.2019.2960207}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinHTTHCHHCGFRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangLLHSHTCJYX20, author = {Hsi{-}Yuan Huang and Yang{-}Chi{-}Dung Lin and Jing Li and Kai{-}Yao Huang and Sirjana Shrestha and Hsiao{-}Chin Hong and Yun Tang and Yi{-}Gang Chen and Chen{-}Nan Jin and Yuan Yu and Jia{-}Tong Xu and Yue{-}Ming Li and Xiao{-}Xuan Cai and Zhen{-}Yu Zhou and Xiao{-}Hang Chen and Yuan{-}Yuan Pei and Liang Hu and Jin{-}Jiang Su and Shi{-}Dong Cui and Fei Wang and Yue{-}Yang Xie and Si{-}Yuan Ding and Meng{-}Fan Luo and Chih{-}Hung Chou and Nai{-}Wen Chang and Kai{-}Wen Chen and Yu{-}Hsiang Cheng and Xin{-}Hong Wan and Wen{-}Lian Hsu and Tzong{-}Yi Lee and Feng{-}Xiang Wei and Hsien{-}Da Huang}, title = {miRTarBase 2020: updates to the experimentally validated microRNA?target interaction database}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D148--D154}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz896}, doi = {10.1093/NAR/GKZ896}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangLLHSHTCJYX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AlebeleZWYYZZCC20, author = {Yeshanbele Alebele and Xue Zhang and Wenhui Wang and Gaoxiang Yang and Xia Yao and Hengbiao Zheng and Yan Zhu and Weixing Cao and Tao Cheng}, title = {Estimation of Canopy Biomass Components in Paddy Rice from Combined Optical and {SAR} Data Using Multi-Target Gaussian Regressor Stacking}, journal = {Remote. Sens.}, volume = {12}, number = {16}, pages = {2564}, year = {2020}, url = {https://doi.org/10.3390/rs12162564}, doi = {10.3390/RS12162564}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AlebeleZWYYZZCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XieWHOLZSLHZZFL20, author = {Lifeng Xie and Weicheng Wu and Xiaolan Huang and Penghui Ou and Ziyu Lin and Wang Zhiling and Yong Song and Tao Lang and Wenchao Huangfu and Yang Zhang and Xiaoting Zhou and Xiao Fu and Jie Li and Jingheng Jiang and Ming Zhang and Zhenjiang Zhang and Yaozu Qin and Shanling Peng and Chongjian Shao and Yonghui Bai}, title = {Mining and Restoration Monitoring of Rare Earth Element {(REE)} Exploitation by New Remote Sensing Indicators in Southern Jiangxi, China}, journal = {Remote. Sens.}, volume = {12}, number = {21}, pages = {3558}, year = {2020}, url = {https://doi.org/10.3390/rs12213558}, doi = {10.3390/RS12213558}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/XieWHOLZSLHZZFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XuSWWYHWLZC20, author = {Wenting Xu and Qian Shen and Xuelei Wang and Qian Wang and Yue Yao and Wei Huang and Mingxiu Wang and Junsheng Li and Fangfang Zhang and Xiaoyong Chen}, title = {Sensing Images for Assessing the Minimum Ecological Flux by Automatically Extracting River Surface Width}, journal = {Remote. Sens.}, volume = {12}, number = {18}, pages = {2899}, year = {2020}, url = {https://doi.org/10.3390/rs12182899}, doi = {10.3390/RS12182899}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/XuSWWYHWLZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YueQSYZYMY20, author = {Chong Yue and Chunyan Qu and Xinjian Shan and Wei Yan and Jing Zhao and Huaizhong Yu and Weiyu Ma and Qi Yao}, title = {Numerical Simulation Study on the Influence of Branching Structure of Longmen Shan Thrust Belt on the Nucleation of Mw7.9 Wenchuan Earthquake}, journal = {Remote. Sens.}, volume = {12}, number = {24}, pages = {4031}, year = {2020}, url = {https://doi.org/10.3390/rs12244031}, doi = {10.3390/RS12244031}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YueQSYZYMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangZKXWY20, author = {Peng Wang and Nong Zhang and Jiaguang Kan and Zhengzheng Xie and Qun Wei and Wenhao Yao}, title = {Fiber Bragg Grating Monitoring of Full-bolt Axial Force of the Bolt in the Deep Strong Mining Roadway}, journal = {Sensors}, volume = {20}, number = {15}, pages = {4242}, year = {2020}, url = {https://doi.org/10.3390/s20154242}, doi = {10.3390/S20154242}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangZKXWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WenHLCYC20, author = {Hsin{-}Yi Wen and Chun{-}Wei Huang and Yu{-}Le Li and Jing{-}Luen Chen and Yao{-}Tsung Yeh and Chia{-}Chin Chiang}, title = {A Lamping U-Shaped Fiber Biosensor Detector for MicroRNA}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1509}, year = {2020}, url = {https://doi.org/10.3390/s20051509}, doi = {10.3390/S20051509}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WenHLCYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangHBYCYC20, author = {Yuxin Zhang and Pari Delir Haghighi and Frada Burstein and Lim Wei Yap and Wenlong Cheng and Lina Yao and Flavia M. Cicuttini}, title = {Electronic Skin Wearable Sensors for Detecting Lumbar-Pelvic Movements}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1510}, year = {2020}, url = {https://doi.org/10.3390/s20051510}, doi = {10.3390/S20051510}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangHBYCYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/HaoPZL20, author = {Wenyu Hao and Shanmin Pang and Jihua Zhu and Yaochen Li}, title = {Self-Weighting and Hypergraph Regularization for Multi-view Spectral Clustering}, journal = {{IEEE} Signal Process. Lett.}, volume = {27}, pages = {1325--1329}, year = {2020}, url = {https://doi.org/10.1109/LSP.2020.3011599}, doi = {10.1109/LSP.2020.3011599}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/HaoPZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ZhaoZYDXY20, author = {Juanping Zhao and Zenghui Zhang and Wei Yao and Mihai Datcu and Huilin Xiong and Wenxian Yu}, title = {OpenSARUrban: {A} Sentinel-1 {SAR} Image Dataset for Urban Interpretation}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {13}, pages = {187--203}, year = {2020}, url = {https://doi.org/10.1109/JSTARS.2019.2954850}, doi = {10.1109/JSTARS.2019.2954850}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ZhaoZYDXY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KangWCKH20, author = {Yao{-}Wen Kang and Chun{-}Feng Wu and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Shu{-}Yin Ho}, title = {On Minimizing Analog Variation Errors to Resolve the Scalability Issue of ReRAM-Based Crossbar Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {3856--3867}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3012250}, doi = {10.1109/TCAD.2020.3012250}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/KangWCKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WengHJLBY20, author = {Jiayi Weng and Tsung{-}Yi Ho and Weiqing Ji and Peng Liu and Mengdi Bao and Hailong Yao}, title = {{URBER:} Ultrafast Rule-Based Escape Routing Method for Large-Scale Sample Delivery Biochips}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {1}, pages = {157--170}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2018.2883908}, doi = {10.1109/TCAD.2018.2883908}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WengHJLBY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YeZL20, author = {Yaoyao Ye and Wenfei Zhang and Weichen Liu}, title = {Thermal-Aware Design and Simulation Approach for Optical NoCs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2384--2395}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2935407}, doi = {10.1109/TCAD.2019.2935407}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YeZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcns/WangZY20, author = {Yao{-}Wei Wang and Wen{-}an Zhang and Li Yu}, title = {A Linear Active Disturbance Rejection Control Approach to Position Synchronization Control for Networked Interconnected Motion System}, journal = {{IEEE} Trans. Control. Netw. Syst.}, volume = {7}, number = {4}, pages = {1746--1756}, year = {2020}, url = {https://doi.org/10.1109/TCNS.2020.2999305}, doi = {10.1109/TCNS.2020.2999305}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcns/WangZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/ZhangZCYC20, author = {Jun Zhang and Weien Zhou and Xianqi Chen and Wen Yao and Lu Cao}, title = {Multisource Selective Transfer Framework in Multiobjective Optimization Problems}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {24}, number = {3}, pages = {424--438}, year = {2020}, url = {https://doi.org/10.1109/TEVC.2019.2926107}, doi = {10.1109/TEVC.2019.2926107}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/ZhangZCYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/QiuTLY20, author = {Wei Qiu and Qiu Tang and Jie Liu and Wenxuan Yao}, title = {An Automatic Identification Framework for Complex Power Quality Disturbances Based on Multifusion Convolutional Neural Network}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {5}, pages = {3233--3241}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2920689}, doi = {10.1109/TII.2019.2920689}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/QiuTLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/WangZY20, author = {Yao{-}Wei Wang and Wen{-}An Zhang and Li Yu}, title = {GESO-Based Position Synchronization Control of Networked Multiaxis Motion System}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {16}, number = {1}, pages = {248--257}, year = {2020}, url = {https://doi.org/10.1109/TII.2019.2915321}, doi = {10.1109/TII.2019.2915321}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/WangZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JinJCLYLZ20, author = {Xin Jin and Qian Jiang and Xing Chu and Xun Lang and Shaowen Yao and Keqin Li and Wei Zhou}, title = {Brain Medical Image Fusion Using L2-Norm-Based Features and Fuzzy-Weighted Measurements in 2-D Littlewood-Paley {EWT} Domain}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {69}, number = {8}, pages = {5900--5913}, year = {2020}, url = {https://doi.org/10.1109/TIM.2019.2962849}, doi = {10.1109/TIM.2019.2962849}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JinJCLYLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/ChenWL020, author = {Yaogang Chen and Jiwei Wen and Xiaoli Luan and Fei Liu}, title = {Robust control for Markov jump linear systems with unknown transition probabilities - an online temporal differences approach}, journal = {Trans. Inst. Meas. Control}, volume = {42}, number = {15}, pages = {3043--3051}, year = {2020}, url = {https://doi.org/10.1177/0142331220940208}, doi = {10.1177/0142331220940208}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tinstmc/ChenWL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/GanSYGYPZW20, author = {Wei Gan and Mohammad Shahidehpour and Mingyu Yan and Jianbo Guo and Wei Yao and Aleksi Paaso and Liuxi Zhang and Jinyu Wen}, title = {Coordinated Planning of Transportation and Electric Power Networks With the Proliferation of Electric Vehicles}, journal = {{IEEE} Trans. Smart Grid}, volume = {11}, number = {5}, pages = {4005--4016}, year = {2020}, url = {https://doi.org/10.1109/TSG.2020.2989751}, doi = {10.1109/TSG.2020.2989751}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/GanSYGYPZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/QiuTWZLY20, author = {Wei Qiu and Qiu Tang and Yajun Wang and Lingwei Zhan and Yilu Liu and Wenxuan Yao}, title = {Multi-View Convolutional Neural Network for Data Spoofing Cyber-Attack Detection in Distribution Synchrophasors}, journal = {{IEEE} Trans. Smart Grid}, volume = {11}, number = {4}, pages = {3457--3468}, year = {2020}, url = {https://doi.org/10.1109/TSG.2020.2971148}, doi = {10.1109/TSG.2020.2971148}, timestamp = {Tue, 01 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/QiuTWZLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/WangYCTYDL20, author = {Weikang Wang and He Yin and Chang Chen and Abigail Till and Wenxuan Yao and Xianda Deng and Yilu Liu}, title = {Frequency Disturbance Event Detection Based on Synchrophasors and Deep Learning}, journal = {{IEEE} Trans. Smart Grid}, volume = {11}, number = {4}, pages = {3593--3605}, year = {2020}, url = {https://doi.org/10.1109/TSG.2020.2971909}, doi = {10.1109/TSG.2020.2971909}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/WangYCTYDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/YaoYWDLZL20, author = {Wenxuan Yao and Shutang You and Weikang Wang and Xianda Deng and Yicheng Li and Lingwei Zhan and Yilu Liu}, title = {A Fast Load Control System Based on Mobile Distribution-Level Phasor Measurement Unit}, journal = {{IEEE} Trans. Smart Grid}, volume = {11}, number = {1}, pages = {895--904}, year = {2020}, url = {https://doi.org/10.1109/TSG.2019.2926205}, doi = {10.1109/TSG.2019.2926205}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/YaoYWDLZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/HuangPLWL20, author = {Jinge Huang and Wenjie Pan and Yao Liu and Xiaohan Wang and Wei Liu}, editor = {Evangelos Markopoulos and Ravindra S. Goonetilleke and Amic G. Ho and Yan Luximon}, title = {Engineering Design Thinking and Making: Online Transdisciplinary Teaching and Learning in a Covid-19 Context}, booktitle = {Advances in Creativity, Innovation, Entrepreneurship and Communication of Design - Proceedings of the {AHFE} 2020 Virtual Conferences on Creativity, Innovation and Entrepreneurship, and Human Factors in Communication of Design, July 16-20, 2020, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {1218}, pages = {159--166}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-51626-0\_19}, doi = {10.1007/978-3-030-51626-0\_19}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/HuangPLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/LiWLCS20, author = {Wenmin Li and Manhua Wang and Wei Li and Beibei Cai and Yaoxi Shi}, editor = {Tareq Z. Ahram and Christianne Falc{\~{a}}o}, title = {An Improvement on the Progress Bar: Make It a Story, Make It a Game}, booktitle = {Advances in Usability, User Experience, Wearable and Assistive Technology - Proceedings of the {AHFE} 2020 Virtual Conferences on Usability and User Experience, Human Factors and Assistive Technology, Human Factors and Wearable Technologies, and Virtual Environments and Game Design, July 16-20, 2020, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {1217}, pages = {394--401}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-51828-8\_51}, doi = {10.1007/978-3-030-51828-8\_51}, timestamp = {Thu, 14 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/LiWLCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/YaoHXHX20, author = {Huaxiong Yao and Jiabei Hu and Wenqi Xie and Yang Huang and Wei Xie}, editor = {Xintao Wu and Chris Jermaine and Li Xiong and Xiaohua Hu and Olivera Kotevska and Siyuan Lu and Weija Xu and Srinivas Aluru and Chengxiang Zhai and Eyhab Al{-}Masri and Zhiyuan Chen and Jeff Saltz}, title = {Session-Based Recommendation Model Based on Multiple Neural Networks Hybrid Extraction Feature}, booktitle = {2020 {IEEE} International Conference on Big Data {(IEEE} BigData 2020), Atlanta, GA, USA, December 10-13, 2020}, pages = {5315--5322}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigData50022.2020.9378381}, doi = {10.1109/BIGDATA50022.2020.9378381}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/YaoHXHX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/ZhouLVYRM0AFCHW20, author = {Ming Zhou and Jun Luo and Julian Villela and Yaodong Yang and David Rusu and Jiayu Miao and Weinan Zhang and Montgomery Alban and Iman Fadakar and Zheng Chen and Chongxi Huang and Ying Wen and Kimia Hassanzadeh and Daniel Graves and Zhengbang Zhu and Yihan Ni and Nhat M. Nguyen and Mohamed Elsayed and Haitham Ammar and Alexander I. Cowen{-}Rivers and Sanjeevan Ahilan and Zheng Tian and Daniel Palenicek and Kasra Rezaee and Peyman Yadmellat and Kun Shao and Dong Chen and Baokuan Zhang and Hongbo Zhang and Jianye Hao and Wulong Liu and Jun Wang}, editor = {Jens Kober and Fabio Ramos and Claire J. Tomlin}, title = {{SMARTS:} An Open-Source Scalable Multi-Agent {RL} Training School for Autonomous Driving}, booktitle = {4th Conference on Robot Learning, CoRL 2020, 16-18 November 2020, Virtual Event / Cambridge, MA, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {155}, pages = {264--285}, publisher = {{PMLR}}, year = {2020}, url = {https://proceedings.mlr.press/v155/zhou21a.html}, timestamp = {Wed, 11 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/corl/ZhouLVYRM0AFCHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangDWHLZW20, author = {Yaobin Zhang and Weihong Deng and Mei Wang and Jiani Hu and Xian Li and Dongyue Zhao and Dongchao Wen}, title = {Global-Local {GCN:} Large-Scale Label Noise Cleansing for Face Recognition}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {7728--7737}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Zhang\_Global-Local\_GCN\_Large-Scale\_Label\_Noise\_Cleansing\_for\_Face\_Recognition\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00775}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangDWHLZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangGTSDZYGJYK20, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2045--2057}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Zhang\_NTIRE\_2020\_Challenge\_on\_Perceptual\_Extreme\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00254}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangGTSDZYGJYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChuangTWL20, author = {Po{-}Yao Chuang and Pai{-}Yu Tan and Cheng{-}Wen Wu and Juin{-}Ming Lu}, title = {A 90nm 103.14 {TOPS/W} Binary-Weight Spiking Neural Network {CMOS} {ASIC} for Real-Time Object Classification}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218714}, doi = {10.1109/DAC18072.2020.9218714}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChuangTWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPAMIDZN20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Ali Al{-}Ali and Amr Mohamed and Bakour Imene and Bin Dong and Binyu Zhang and Bouchali Hadia Nesma and Chenfeng Xu and Chenzhen Duan and Ciro Castiello and Corrado Mencar and Dingkang Liang and Florian Kr{\"{u}}ger and Gennaro Vessio and Giovanna Castellano and Jieru Wang and Junyu Gao and Khalid Abualsaud and Laihui Ding and Lei Zhao and Marco Cianciotta and Muhammad Saqib and Noor Almaadeed and Omar Elharrouss and Pei Lyu and Qi Wang and Shidong Liu and Shuang Qiu and Siyang Pan and Somaya Al{-}M{\'{a}}adeed and Sultan Daud Khan and Tamer Khattab and Tao Han and Thomas Golda and Wei Xu and Xiang Bai and Xiaoqing Xu and Xuelong Li and Yanyun Zhao and Ye Tian and Yingnan Lin and Yongchao Xu and Yuehan Yao and Zhenyu Xu and Zhijian Zhao and Zhipeng Luo and Zhiwei Wei and Zhiyuan Zhao}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-CC2020: The Vision Meets Drone Crowd Counting Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {675--691}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_41}, doi = {10.1007/978-3-030-66823-5\_41}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPAMIDZN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {692--712}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/FanDWZHLSPSDSXB20, author = {Heng Fan and Dawei Du and Longyin Wen and Pengfei Zhu and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Arne Schumann and Bin Dong and Daniel Stadler and Duo Xu and Filiz Bunyak and Guna Seetharaman and Guizhong Liu and V. Haritha and Hrishikesh P. S and Jie Han and Kannappan Palaniappan and Kaojin Zhu and Lars Wilko Sommer and Libo Zhang and Linu Shine and Min Yao and Noor M. Al{-}Shakarji and Shengwen Li and Ting Sun and Wang Sai and Wentao Yu and Xi Wu and Xiaopeng Hong and Xing Wei and Xingjie Zhao and Yanyun Zhao and Yihong Gong and Yuehan Yao and Yuhang He and Zhaoze Zhao and Zhen Xie and Zheng Yang and Zhenyu Xu and Zhipeng Luo and Zhizhao Duan}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-MOT2020: The Vision Meets Drone Multiple Object Tracking Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {713--727}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_43}, doi = {10.1007/978-3-030-66823-5\_43}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/FanDWZHLSPSDSXB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKDZL20, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Linbo He and Yushan Zhang and Song Yan and Jinyu Yang and Gustavo Fern{\'{a}}ndez and Alexander G. Hauptmann and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andreas Robinson and Anton Varfolomieiev and Awet Haileslassie Gebrehiwot and Bedirhan Uzun and Bin Yan and Bing Li and Chen Qian and Chi{-}Yi Tsai and Christian Micheloni and Dong Wang and Fei Wang and Fei Xie and Felix J{\"{a}}remo Lawin and Fredrik Gustafsson and Gian Luca Foresti and Goutam Bhat and Guangqi Chen and Haibin Ling and Haitao Zhang and Hakan Cevikalp and Haojie Zhao and Haoran Bai and Hari Chandana Kuchibhotla and Hasan Saribas and Heng Fan and Hossein Ghanei{-}Yakhdan and Houqiang Li and Houwen Peng and Huchuan Lu and Hui Li and Javad Khaghani and Jes{\'{u}}s Besc{\'{o}}s and Jianhua Li and Jianlong Fu and Jiaqian Yu and Jingtao Xu and Josef Kittler and Jun Yin and Junhyun Lee and Kaicheng Yu and Kaiwen Liu and Kang Yang and Kenan Dai and Li Cheng and Li Zhang and Lijun Wang and Linyuan Wang and Luc Van Gool and Luca Bertinetto and Matteo Dunnhofer and Miao Cheng and Mohana Murali Dasari and Ning Wang and Pengyu Zhang and Philip H. S. Torr and Qiang Wang and Radu Timofte and Rama Krishna Sai Subrahmanyam Gorthi and Seokeon Choi and Seyed Mojtaba Marvasti{-}Zadeh and Shao{-}Chuan Zhao and Shohreh Kasaei and Shoumeng Qiu and Shuhao Chen and Thomas B. Sch{\"{o}}n and Tianyang Xu and Wei Lu and Weiming Hu and Wengang Zhou and Xi Qiu and Xiao Ke and Xiao{-}Jun Wu and Xiaolin Zhang and Xiaoyun Yang and Xuefeng Zhu and Yingjie Jiang and Yingming Wang and Yiwei Chen and Yu Ye and Yuezhou Li and Yuncon Yao and Yunsung Lee and Yuzhang Gu and Zezhou Wang and Zhangyong Tang and Zhen{-}Hua Feng and Zhijun Mai and Zhipeng Zhang and Zhirong Wu and Ziang Ma}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {The Eighth Visual Object Tracking {VOT2020} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, pages = {547--601}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5\_39}, doi = {10.1007/978-3-030-68238-5\_39}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKDZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WeiLTLZPLXFZLHD20, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {392--422}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_24}, doi = {10.1007/978-3-030-67070-2\_24}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WeiLTLZPLXFZLHD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/QiuYWLYZFK20, author = {Wei Qiu and He Yin and Weikang Wang and Yilu Liu and Wenxuan Yao and Liangwei Zhan and Peter L. Fuhr and Thomas J. King}, title = {Pulsar Based Timing for Grid Synchronization}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2020, Detroit, MI, USA, October 10-16, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IAS44978.2020.9334812}, doi = {10.1109/IAS44978.2020.9334812}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iasam/QiuYWLYZFK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/QiuZTTYDL20, author = {Wei Qiu and Kunzhi Zhu and Zhaosheng Teng and Qiu Tang and Wenxuan Yao and Yuqing Dong and Yilu Liu}, title = {Cyber-Attack Identification of Synchrophasor Data Via {VMD} and Multi-fusion {SVM}}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2020, Detroit, MI, USA, October 10-16, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IAS44978.2020.9334870}, doi = {10.1109/IAS44978.2020.9334870}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/QiuZTTYDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic4e/ZhongWYDWT20, author = {Ling Zhong and Yantao Wei and Huang Yao and Wei Deng and Zhifeng Wang and Mingwen Tong}, title = {Review of Deep Learning-Based Personalized Learning Recommendation}, booktitle = {{IC4E} 2020: The 11th International Conference on E-Education, E-Business, E-Management, and E-Learning, Osaka, Japan, January, 2020}, pages = {145--149}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3377571.3377587}, doi = {10.1145/3377571.3377587}, timestamp = {Tue, 24 May 2022 15:13:07 +0200}, biburl = {https://dblp.org/rec/conf/ic4e/ZhongWYDWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SharmaYWZTWHLG20, author = {Eva Sharma and Guoli Ye and Wenning Wei and Rui Zhao and Yao Tian and Jian Wu and Lei He and Ed Lin and Yifan Gong}, title = {Adaptation of {RNN} Transducer with Text-To-Speech Technology for Keyword Spotting}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {7484--7488}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053191}, doi = {10.1109/ICASSP40776.2020.9053191}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SharmaYWZTWHLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/GengLLZXYZLH20, author = {Yangli{-}ao Geng and Qingyong Li and Tianyang Lin and Jing Zhang and Liangtao Xu and Wen Yao and Dong Zheng and Weitao Lyu and Heng Huang}, editor = {Claudia Plant and Haixun Wang and Alfredo Cuzzocrea and Carlo Zaniolo and Xindong Wu}, title = {A Heterogeneous Spatiotemporal Network for Lightning Prediction}, booktitle = {20th {IEEE} International Conference on Data Mining, {ICDM} 2020, Sorrento, Italy, November 17-20, 2020}, pages = {1034--1039}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICDM50108.2020.00121}, doi = {10.1109/ICDM50108.2020.00121}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/GengLLZXYZLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/YangW0CSM020, author = {Yaodong Yang and Ying Wen and Jun Wang and Liheng Chen and Kun Shao and David Mguni and Weinan Zhang}, title = {Multi-Agent Determinantal Q-Learning}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {10757--10766}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/yang20i.html}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/YangW0CSM020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/CaoYX20, author = {Weihao Cao and Zhixiang Yao and Wenjie Xia}, title = {Discrimination of {FM} Signal Types Based on Time-Frequency Analysis}, booktitle = {{IEEE} International Conference on Signal Processing, Communications and Computing, {ICSPCC} 2020, Macau, SAR, China, August 21-24, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSPCC50002.2020.9259464}, doi = {10.1109/ICSPCC50002.2020.9259464}, timestamp = {Fri, 05 Feb 2021 11:26:13 +0100}, biburl = {https://dblp.org/rec/conf/icspcc/CaoYX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChenCWZGL20, author = {Xi Chen and Yaokui Cui and Changjun Wen and Mingxuan Zheng and Yuan Gao and Jing Li}, title = {Flood Mapping with {SAR} and Multi-Spectral Remote Sensing Images Based on Weighted Evidential Fusion}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {2519--2522}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324158}, doi = {10.1109/IGARSS39084.2020.9324158}, timestamp = {Mon, 22 Feb 2021 16:46:47 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ChenCWZGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/Chen0Y00Z20, author = {Xiaocong Chen and Chaoran Huang and Lina Yao and Xianzhi Wang and Wei Liu and Wenjie Zhang}, title = {Knowledge-guided Deep Reinforcement Learning for Interactive Recommendation}, booktitle = {2020 International Joint Conference on Neural Networks, {IJCNN} 2020, Glasgow, United Kingdom, July 19-24, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IJCNN48605.2020.9207010}, doi = {10.1109/IJCNN48605.2020.9207010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/Chen0Y00Z20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LoYCLWLCCLL20, author = {Chieh Roger Lo and Teng{-}Hao Yeh and Wei{-}Chen Chen and Hang{-}Ting Lue and Keh{-}Chung Wang and Chih{-}Yuan Lu and Yao{-}Wen Chang and Yung{-}Hsiang Chen and Chu{-}Yung Liu}, title = {Study of the Walk-Out Effect of Junction Breakdown Instability of the High-Voltage Depletion-Mode N-Channel {MOSFET} for {NAND} Flash Peripheral Device and an Efficient Layout Solution}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129216}, doi = {10.1109/IRPS45951.2020.9129216}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LoYCLWLCCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/WangLYLDTCH0Y20, author = {Tongxin Wang and Weijia Lu and Fan Yang and Li Liu and Zhongyi Dong and Weimin Tang and Jia Chang and Wenjing Huan and Kun Huang and Jianhua Yao}, title = {Microsatellite Instability Prediction of Uterine Corpus Endometrial Carcinoma Based on H{\&}E Histology Whole-Slide Imaging}, booktitle = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, pages = {1289--1292}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISBI45749.2020.9098647}, doi = {10.1109/ISBI45749.2020.9098647}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/WangLYLDTCH0Y20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/Lu0020, author = {Yaosong Lu and Weijie Liu and Wenhao Wang}, editor = {Jia Hu and Geyong Min and Nektarios Georgalas and Zhiwei Zhao and Fei Hao and Wang Miao}, title = {Atomic Restriction: Hardware Atomization to Defend Against Preemption Attacks}, booktitle = {{IEEE} International Conference on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2020, Exeter, United Kingdom, December 17-19, 2020}, pages = {363--370}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISPA-BDCloud-SocialCom-SustainCom51426.2020.00071}, doi = {10.1109/ISPA-BDCLOUD-SOCIALCOM-SUSTAINCOM51426.2020.00071}, timestamp = {Tue, 15 Mar 2022 15:49:18 +0100}, biburl = {https://dblp.org/rec/conf/ispa/Lu0020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Liu0YWCPZLXCTWC20, author = {Qi Liu and Bin Gao and Peng Yao and Dong Wu and Junren Chen and Yachuan Pang and Wenqiang Zhang and Yan Liao and Cheng{-}Xin Xue and Wei{-}Hao Chen and Jianshi Tang and Yu Wang and Meng{-}Fan Chang and He Qian and Huaqiang Wu}, title = {33.2 {A} Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel {MAC} Computing}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {500--502}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062953}, doi = {10.1109/ISSCC19947.2020.9062953}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/Liu0YWCPZLXCTWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XueHLCKWLWHWCHC20, author = {Cheng{-}Xin Xue and Tsung{-}Yuan Huang and Je{-}Syu Liu and Ting{-}Wei Chang and Hui{-}Yao Kao and Jing{-}Hong Wang and Ta{-}Wei Liu and Shih{-}Ying Wei and Sheng{-}Po Huang and Wei{-}Chen Wei and Yi{-}Ren Chen and Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Yun{-}Chen Lo and Tai{-}Hsing Wen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {15.4 {A} 22nm 2Mb ReRAM Compute-in-Memory Macro with 121-28TOPS/W for Multibit {MAC} Computing for Tiny {AI} Edge Devices}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {244--246}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063078}, doi = {10.1109/ISSCC19947.2020.9063078}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/XueHLCKWLWHWCHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/JiangLGXSX020, author = {Nan Jiang and Yao Liu and Tian Guo and Wenyao Xu and Viswanathan Swaminathan and Lisong Xu and Sheng Wei}, editor = {Laura Toni and Ali C. Begen and {\"{O}}zg{\"{u}} Alay and Christian Timmerer}, title = {QuRate: power-efficient mobile immersive video streaming}, booktitle = {Proceedings of the 11th {ACM} Multimedia Systems Conference, MMSys 2020, Istanbul, Turkey, June 8-11, 2020}, pages = {99--111}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3339825.3391863}, doi = {10.1145/3339825.3391863}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsys/JiangLGXSX020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/QiWWWTYY20, author = {Nan Qi and Mei Wang and Wei Wang and Wen{-}Jing Wang and Theodoros A. Tsiftsis and Rugui Yao and Guanghua Yang}, title = {Energy-efficient Two-Way Full-duplex {UAV} Relaying Networks With Imperfect Channel State Information\({}^{\mbox{*}}\)}, booktitle = {92nd {IEEE} Vehicular Technology Conference, {VTC} Fall 2020, Victoria, BC, Canada, November 18 - December 16, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VTC2020-Fall49728.2020.9348704}, doi = {10.1109/VTC2020-FALL49728.2020.9348704}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/QiWWWTYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YuJSWDW20, author = {Yaojia Yu and Wenyi Jiang and Bin Sun and Qingqing Wang and Jianwen Ding and Wei Wang}, title = {Reliability Optimization of End-to-End Access Process of Railway Mission Critical Video Service}, booktitle = {92nd {IEEE} Vehicular Technology Conference, {VTC} Fall 2020, Victoria, BC, Canada, November 18 - December 16, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VTC2020-Fall49728.2020.9348552}, doi = {10.1109/VTC2020-FALL49728.2020.9348552}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/YuJSWDW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/YuZLLY020, author = {Jun{-}Jie Yu and Mingxiong Zhao and Wen{-}Tao Li and Di Liu and Shaowen Yao and Wei Feng}, title = {Joint Offloading and Resource Allocation for Time-Sensitive Multi-Access Edge Computing Network}, booktitle = {2020 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2020, Seoul, Korea (South), May 25-28, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WCNC45663.2020.9120620}, doi = {10.1109/WCNC45663.2020.9120620}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/YuZLLY020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ZhangZCGHHX20, author = {Han Zhang and Wenhao Zheng and Charley Chen and Kevin Gao and Yao Hu and Ling Huang and Wei Xu}, editor = {Yennun Huang and Irwin King and Tie{-}Yan Liu and Maarten van Steen}, title = {Modeling Heterogeneous Statistical Patterns in High-dimensional Data by Adversarial Distributions: An Unsupervised Generative Framework}, booktitle = {{WWW} '20: The Web Conference 2020, Taipei, Taiwan, April 20-24, 2020}, pages = {1389--1399}, publisher = {{ACM} / {IW3C2}}, year = {2020}, url = {https://doi.org/10.1145/3366423.3380213}, doi = {10.1145/3366423.3380213}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ZhangZCGHHX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/lncs/GuoYKTCDY20, author = {Xiawei Guo and Quanming Yao and James T. Kwok and Wei{-}Wei Tu and Yuqiang Chen and Wenyuan Dai and Qiang Yang}, editor = {Qiang Yang and Lixin Fan and Han Yu}, title = {Privacy-Preserving Stacking with Application to Cross-organizational Diabetes Prediction}, booktitle = {Federated Learning - Privacy and Incentive}, series = {Lecture Notes in Computer Science}, volume = {12500}, pages = {269--283}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-63076-8\_19}, doi = {10.1007/978-3-030-63076-8\_19}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/lncs/GuoYKTCDY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-02854, author = {Suihua Cai and Wenchao Lin and Xinyuanmeng Yao and Baodian Wei and Xiao Ma}, title = {Systematic Convolutional Low Density Generator Matrix Code}, journal = {CoRR}, volume = {abs/2001.02854}, year = {2020}, url = {http://arxiv.org/abs/2001.02854}, eprinttype = {arXiv}, eprint = {2001.02854}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-02854.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-06310, author = {Pai{-}Yu Tan and Po{-}Yao Chuang and Yen{-}Ting Lin and Cheng{-}Wen Wu and Juin{-}Ming Lu}, title = {A Power-Efficient Binary-Weight Spiking Neural Network Architecture for Real-Time Object Classification}, journal = {CoRR}, volume = {abs/2003.06310}, year = {2020}, url = {https://arxiv.org/abs/2003.06310}, eprinttype = {arXiv}, eprint = {2003.06310}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-06310.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-12719, author = {Mingxiong Zhao and Jun{-}Jie Yu and Wen{-}Tao Li and Di Liu and Shaowen Yao and Wei Feng and Changyang She and Tony Q. S. Quek}, title = {Energy-Aware Offloading in Time-Sensitive Networks with Mobile Edge Computing}, journal = {CoRR}, volume = {abs/2003.12719}, year = {2020}, url = {https://arxiv.org/abs/2003.12719}, eprinttype = {arXiv}, eprint = {2003.12719}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-12719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-08068, author = {Xiaocong Chen and Chaoran Huang and Lina Yao and Xianzhi Wang and Wei Liu and Wenjie Zhang}, title = {Knowledge-guided Deep Reinforcement Learning for Interactive Recommendation}, journal = {CoRR}, volume = {abs/2004.08068}, year = {2020}, url = {https://arxiv.org/abs/2004.08068}, eprinttype = {arXiv}, eprint = {2004.08068}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-08068.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-08151, author = {Wei Peng and Weien Zhou and Jun Zhang and Wen Yao}, title = {Accelerating Physics-Informed Neural Network Training with Prior Dictionaries}, journal = {CoRR}, volume = {abs/2004.08151}, year = {2020}, url = {https://arxiv.org/abs/2004.08151}, eprinttype = {arXiv}, eprint = {2004.08151}, timestamp = {Wed, 16 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-08151.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01056, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01056}, year = {2020}, url = {https://arxiv.org/abs/2005.01056}, eprinttype = {arXiv}, eprint = {2005.01056}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-01482, author = {Yaodong Yang and Ying Wen and Liheng Chen and Jun Wang and Kun Shao and David Mguni and Weinan Zhang}, title = {Multi-Agent Determinantal Q-Learning}, journal = {CoRR}, volume = {abs/2006.01482}, year = {2020}, url = {https://arxiv.org/abs/2006.01482}, eprinttype = {arXiv}, eprint = {2006.01482}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-01482.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-10513, author = {Weijie Liu and Wenhao Wang and XiaoFeng Wang and Xiaozhu Meng and Yaosong Lu and Hongbo Chen and Xinyu Wang and Qingtao Shen and Kai Chen and Haixu Tang and Yi Chen and Luyi Xing}, title = {Confidential Attestation: Efficient in-Enclave Verification of Privacy Policy Compliance}, journal = {CoRR}, volume = {abs/2007.10513}, year = {2020}, url = {https://arxiv.org/abs/2007.10513}, eprinttype = {arXiv}, eprint = {2007.10513}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-10513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-15610, author = {He Huang and Yuanwei Chen and Wei Tang and Wenhao Zheng and Qing{-}Guo Chen and Yao Hu and Philip S. Yu}, title = {Multi-label Zero-shot Classification by Learning to Transfer from External Knowledge}, journal = {CoRR}, volume = {abs/2007.15610}, year = {2020}, url = {https://arxiv.org/abs/2007.15610}, eprinttype = {arXiv}, eprint = {2007.15610}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-15610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12072, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.12072}, year = {2020}, url = {https://arxiv.org/abs/2009.12072}, eprinttype = {arXiv}, eprint = {2009.12072}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-09776, author = {Ming Zhou and Jun Luo and Julian Villela and Yaodong Yang and David Rusu and Jiayu Miao and Weinan Zhang and Montgomery Alban and Iman Fadakar and Zheng Chen and Aurora Chongxi Huang and Ying Wen and Kimia Hassanzadeh and Daniel Graves and Dong Chen and Zhengbang Zhu and Nhat M. Nguyen and Mohamed Elsayed and Kun Shao and Sanjeevan Ahilan and Baokuan Zhang and Jiannan Wu and Zhengang Fu and Kasra Rezaee and Peyman Yadmellat and Mohsen Rohani and Nicolas Perez Nieves and Yihan Ni and Seyedershad Banijamali and Alexander I. Cowen{-}Rivers and Zheng Tian and Daniel Palenicek and Haitham Bou{-}Ammar and Hongbo Zhang and Wulong Liu and Jianye Hao and Jun Wang}, title = {{SMARTS:} Scalable Multi-Agent Reinforcement Learning Training School for Autonomous Driving}, journal = {CoRR}, volume = {abs/2010.09776}, year = {2020}, url = {https://arxiv.org/abs/2010.09776}, eprinttype = {arXiv}, eprint = {2010.09776}, timestamp = {Wed, 11 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-09776.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11724, author = {Yunchao Wei and Shuai Zheng and Ming{-}Ming Cheng and Hang Zhao and Liwei Wang and Errui Ding and Yi Yang and Antonio Torralba and Ting Liu and Guolei Sun and Wenguan Wang and Luc Van Gool and Wonho Bae and Junhyug Noh and Jinhwan Seo and Gunhee Kim and Hao Zhao and Ming Lu and Anbang Yao and Yiwen Guo and Yurong Chen and Li Zhang and Chuangchuang Tan and Tao Ruan and Guanghua Gu and Shikui Wei and Yao Zhao and Mariia Dobko and Ostap Viniavskyi and Oles Dobosevych and Zhendong Wang and Zhenyuan Chen and Chen Gong and Huanqing Yan and Jun He}, title = {{LID} 2020: The Learning from Imperfect Data Challenge Results}, journal = {CoRR}, volume = {abs/2010.11724}, year = {2020}, url = {https://arxiv.org/abs/2010.11724}, eprinttype = {arXiv}, eprint = {2010.11724}, timestamp = {Sun, 23 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11724.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-08153, author = {Han Zhang and Wenhao Zheng and Charley Chen and Kevin Gao and Yao Hu and Ling Huang and Wei Xu}, title = {Modeling Heterogeneous Statistical Patterns in High-dimensional Data by Adversarial Distributions: An Unsupervised Generative Framework}, journal = {CoRR}, volume = {abs/2012.08153}, year = {2020}, url = {https://arxiv.org/abs/2012.08153}, eprinttype = {arXiv}, eprint = {2012.08153}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-08153.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-14672, author = {Xiu{-}Shen Wei and Yu{-}Yan Xu and Yazhou Yao and Jia Wei and Si Xi and Wenyuan Xu and Weidong Zhang and Xiaoxin Lv and Dengpan Fu and Qing Li and Baoying Chen and Haojie Guo and Taolue Xue and Haipeng Jing and Zhiheng Wang and Tianming Zhang and Mingwen Zhang}, title = {Tips and Tricks for Webly-Supervised Fine-Grained Recognition: Learning from the WebFG 2020 Challenge}, journal = {CoRR}, volume = {abs/2012.14672}, year = {2020}, url = {https://arxiv.org/abs/2012.14672}, eprinttype = {arXiv}, eprint = {2012.14672}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-14672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuHYL19, author = {Wei Hu and Yawei Hu and Wenhui Yao and Huanhao Li}, title = {A Blockchain-Based Byzantine Consensus Algorithm for Information Authentication of the Internet of Vehicles}, journal = {{IEEE} Access}, volume = {7}, pages = {139703--139711}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2941507}, doi = {10.1109/ACCESS.2019.2941507}, timestamp = {Tue, 15 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuHYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuYHL19, author = {Wei Hu and Wenhui Yao and Yawei Hu and Huanhao Li}, title = {Collaborative Optimization of Distributed Scheduling Based on Blockchain Consensus Mechanism Considering Battery-Swap Stations of Electric Vehicles}, journal = {{IEEE} Access}, volume = {7}, pages = {137959--137967}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2941516}, doi = {10.1109/ACCESS.2019.2941516}, timestamp = {Tue, 15 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuYHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinLGJXZYLZ19, author = {Tianyang Lin and Qingyong Li and Yangli{-}ao Geng and Lei Jiang and Liangtao Xu and Dong Zheng and Wen Yao and Weitao Lyu and Yijun Zhang}, title = {Attention-Based Dual-Source Spatiotemporal Neural Network for Lightning Forecast}, journal = {{IEEE} Access}, volume = {7}, pages = {158296--158307}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2950328}, doi = {10.1109/ACCESS.2019.2950328}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinLGJXZYLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/QiZTQXYQ19, author = {Lin Qi and Haoran Zhang and Wenjun Tan and Shouliang Qi and Lisheng Xu and Yudong Yao and Wei Qian}, title = {Cascaded Conditional Generative Adversarial Networks With Multi-Scale Attention Fusion for Automated Bi-Ventricle Segmentation in Cardiac {MRI}}, journal = {{IEEE} Access}, volume = {7}, pages = {172305--172320}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2956210}, doi = {10.1109/ACCESS.2019.2956210}, timestamp = {Sat, 15 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/QiZTQXYQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangFEHSY19, author = {Lei Wang and Wenxiao Fang and Yunfei En and Yun Huang and Wei{-}Heng Shao and Bin Yao}, title = {Wideband Circularly Polarized Cross-Dipole Antenna With Parasitic Elements}, journal = {{IEEE} Access}, volume = {7}, pages = {35097--35102}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2904658}, doi = {10.1109/ACCESS.2019.2904658}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangFEHSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangWWLNPQ19, author = {Jun Wang and Jiawei Wang and Yaofeng Wen and Hongbing Lu and Tianye Niu and Jiangfeng Pan and Dahong Qian}, title = {Pulmonary Nodule Detection in Volumetric Chest {CT} Scans Using CNNs-Based Nodule-Size-Adaptive Detection and Classification}, journal = {{IEEE} Access}, volume = {7}, pages = {46033--46044}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2908195}, doi = {10.1109/ACCESS.2019.2908195}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangWWLNPQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WeiLALJW19, author = {Yaoguang Wei and Wenshu Li and Dong An and Daoliang Li and Yisha Jiao and Qiong Wei}, title = {Equipment and Intelligent Control System in Aquaponics: {A} Review}, journal = {{IEEE} Access}, volume = {7}, pages = {169306--169326}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2953491}, doi = {10.1109/ACCESS.2019.2953491}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WeiLALJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YaoSW19, author = {Wenbin Yao and Yue Shen and Dongbin Wang}, title = {A Weighted PageRank-Based Algorithm for Virtual Machine Placement in Cloud Computing}, journal = {{IEEE} Access}, volume = {7}, pages = {176369--176381}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2957772}, doi = {10.1109/ACCESS.2019.2957772}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YaoSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangLHYZ19, author = {Hang Zhang and Lin Li and Wei Hu and Wenjing Yao and Haihong Zhu}, title = {Visualization of Location-Referenced Web Textual Information Based on Map Mashups}, journal = {{IEEE} Access}, volume = {7}, pages = {40475--40487}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2907570}, doi = {10.1109/ACCESS.2019.2907570}, timestamp = {Tue, 16 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangLHYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangMZGL19, author = {Weiwei Zhang and Zeyang Mi and Yaocheng Zheng and Qiaoming Gao and Wenjing Li}, title = {Road Marking Segmentation Based on Siamese Attention Module and Maximum Stable External Region}, journal = {{IEEE} Access}, volume = {7}, pages = {143710--143720}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2944993}, doi = {10.1109/ACCESS.2019.2944993}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangMZGL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhuYZW19, author = {Wenhao Zhu and Tengjun Yao and Wu Zhang and Baogang Wei}, title = {Part-of-Speech-Based Long Short-Term Memory Network for Learning Sentence Representations}, journal = {{IEEE} Access}, volume = {7}, pages = {51810--51816}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2911983}, doi = {10.1109/ACCESS.2019.2911983}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhuYZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/YangZYZL19, author = {Minglei Yang and Wenliang Zhang and Guocai Yao and Haiyue Zhang and Weizhong Li}, title = {Combined alignments of sequences and domains characterize unknown proteins with remotely related protein search PSISearch2D}, journal = {Database J. Biol. Databases Curation}, volume = {2019}, pages = {baz092}, year = {2019}, url = {https://doi.org/10.1093/database/baz092}, doi = {10.1093/DATABASE/BAZ092}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biodb/YangZYZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/CuiGLZAW19, author = {Yongjin Cui and Wenzhang Ge and Jia Li and Junwen Zhang and Dong An and Yaoguang Wei}, title = {Screening of maize haploid kernels based on near infrared spectroscopy quantitative analysis}, journal = {Comput. Electron. Agric.}, volume = {158}, pages = {358--368}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.01.038}, doi = {10.1016/J.COMPAG.2019.01.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/CuiGLZAW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/DuGLY19, author = {Yongping Du and Wenyang Guo and Jingxuan Liu and Changqing Yao}, title = {Classification by multi-semantic meta path and active weight learning in heterogeneous information networks}, journal = {Expert Syst. Appl.}, volume = {123}, pages = {227--236}, year = {2019}, url = {https://doi.org/10.1016/j.eswa.2019.01.044}, doi = {10.1016/J.ESWA.2019.01.044}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/DuGLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijccc/HuLYH19, author = {Wei Hu and Huanhao Li and Wenhui Yao and Yawei Hu}, title = {Energy Optimization for {WSN} in Ubiquitous Power Internet of Things}, journal = {Int. J. Comput. Commun. Control}, volume = {14}, number = {4}, pages = {503--517}, year = {2019}, url = {https://doi.org/10.15837/ijccc.2019.4.3572}, doi = {10.15837/IJCCC.2019.4.3572}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijccc/HuLYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijccc/HuYHL19, author = {Wei Hu and Wenhui Yao and Yawei Hu and Huanhao Li}, title = {Selection of Cluster Heads for Wireless Sensor Network in Ubiquitous Power Internet of Things}, journal = {Int. J. Comput. Commun. Control}, volume = {14}, number = {3}, pages = {344--358}, year = {2019}, url = {https://doi.org/10.15837/ijccc.2019.3.3573}, doi = {10.15837/IJCCC.2019.3.3573}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijccc/HuYHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/CuiZHYWHLWCH19, author = {Wei Cui and Dongyou Zhang and Xin He and Meng Yao and Ziwei Wang and Yuanjie Hao and Jie Li and Weijie Wu and Wenqi Cui and Jiejun Huang}, title = {Multi-Scale Remote Sensing Semantic Analysis Based on a Global Perspective}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {8}, number = {9}, pages = {417}, year = {2019}, url = {https://doi.org/10.3390/ijgi8090417}, doi = {10.3390/IJGI8090417}, timestamp = {Tue, 06 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/CuiZHYWHLWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/WangZDY19, author = {Yao{-}Wei Wang and Wen{-}An Zhang and Hui Dong and Li Yu}, title = {A {GESO} based {MPC} approach to contour error control of networked motion control system}, journal = {Int. J. Syst. Sci.}, volume = {50}, number = {11}, pages = {2216--2225}, year = {2019}, url = {https://doi.org/10.1080/00207721.2019.1648705}, doi = {10.1080/00207721.2019.1648705}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/WangZDY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwmip/ShiWPDYCZTL19, author = {Yafei Shi and Yantao Wei and Donghui Pan and Wei Deng and Huang Yao and Tiantian Chen and Gang Zhao and Mingwen Tong and Qingtang Liu}, title = {Student body gesture recognition based on Fisher broad learning system}, journal = {Int. J. Wavelets Multiresolution Inf. Process.}, volume = {17}, number = {1}, pages = {1950001:1--1950001:16}, year = {2019}, url = {https://doi.org/10.1142/S0219691319500012}, doi = {10.1142/S0219691319500012}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwmip/ShiWPDYCZTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenLLCLNCLWCY19, author = {Wen{-}Liang Chen and Yi{-}Bing Lin and Yun{-}Wei Lin and Robert Chen and Jyun{-}Kai Liao and Fung{-}Ling Ng and Yuan{-}Yao Chan and You{-}Cheng Liu and Chin{-}Cheng Wang and Cheng{-}Hsun Chiu and Tai{-}Hsiang Yen}, title = {AgriTalk: IoT for Precision Soil Farming of Turmeric Cultivation}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {3}, pages = {5209--5223}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2899128}, doi = {10.1109/JIOT.2019.2899128}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChenLLCLNCLWCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HanDDJW19, author = {Dong Han and Wenli Du and Wei Du and Yaochu Jin and Chunping Wu}, title = {An adaptive decomposition-based evolutionary algorithm for many-objective optimization}, journal = {Inf. Sci.}, volume = {491}, pages = {204--222}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2019.03.062}, doi = {10.1016/J.INS.2019.03.062}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HanDDJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ChenCWSH19, author = {Dar{-}Ren Chen and Yao{-}Wen Chang and Hwa{-}Koon Wu and Wei{-}Chung Shia and Yu{-}Len Huang}, title = {Multiview Contouring for Breast Tumor on Magnetic Resonance Imaging}, journal = {J. Digit. Imaging}, volume = {32}, number = {5}, pages = {713--727}, year = {2019}, url = {https://doi.org/10.1007/s10278-019-00190-7}, doi = {10.1007/S10278-019-00190-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ChenCWSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ChenHCCL19, author = {Yao{-}Mei Chen and Wen{-}Hsien Ho and Yenming J. Chen and Kuan{-}Shan Chen and Wei{-}Hsiu Liu}, title = {Disease severity assessment and ordering of patients in {ICU} by using a Bayesian network}, journal = {J. Intell. Fuzzy Syst.}, volume = {36}, number = {2}, pages = {1049--1055}, year = {2019}, url = {https://doi.org/10.3233/JIFS-169880}, doi = {10.3233/JIFS-169880}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ChenHCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/FangQZYW19, author = {Jinghui Fang and Weijie Qian and Zhijun Zhao and Yiyang Yao and Zhen Wen}, title = {Adaptively feature learning for effective power defense}, journal = {J. Vis. Commun. Image Represent.}, volume = {60}, pages = {33--37}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2019.01.003}, doi = {10.1016/J.JVCIR.2019.01.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/FangQZYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WeiLRD19, author = {Wenchuan Wei and Yao Lu and Eric Rhoden and Sujit Dey}, title = {User performance evaluation and real-time guidance in cloud-based physical therapy monitoring and guidance system}, journal = {Multim. Tools Appl.}, volume = {78}, number = {7}, pages = {9051--9081}, year = {2019}, url = {https://doi.org/10.1007/s11042-017-5278-5}, doi = {10.1007/S11042-017-5278-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WeiLRD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LiCZSJLLYWLYL19, author = {Hechun Li and Weifang Cao and Xingxing Zhang and Bo Sun and Sisi Jiang and Jianfu Li and Chang Liu and Wenjie Yin and Yu Wu and Tiejun Liu and Dezhong Yao and Cheng Luo}, title = {BOLD-fMRI reveals the association between renal oxygenation and functional connectivity in the aging brain}, journal = {NeuroImage}, volume = {186}, pages = {510--517}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2018.11.030}, doi = {10.1016/J.NEUROIMAGE.2018.11.030}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LiCZSJLLYWLYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/LiGWA19, author = {Jia Li and Wenzhang Ge and Yaoguang Wei and Dong An}, title = {Supervised discriminative manifold learning with subsidiary-view information for near infrared spectroscopic classification of crop seeds}, journal = {Pattern Recognit. Lett.}, volume = {125}, pages = {381--388}, year = {2019}, url = {https://doi.org/10.1016/j.patrec.2019.05.016}, doi = {10.1016/J.PATREC.2019.05.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/LiGWA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rairo/AnWHL19, author = {Qingxian An and Yao Wen and Junhua Hu and Xiyang Lei}, title = {A common-weight {DEA} model for multi-criteria {ABC} inventory classification with quantitative and qualitative criteria}, journal = {{RAIRO} Oper. Res.}, volume = {53}, number = {5}, pages = {1775--1789}, year = {2019}, url = {https://doi.org/10.1051/ro/2018105}, doi = {10.1051/RO/2018105}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rairo/AnWHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuLZHZZ19, author = {Wenxuan Liu and Yidong Lou and Weixing Zhang and Jinfang Huang and Yaozong Zhou and Haoshan Zhang}, title = {On the Study of Influences of Different Factors on the Rapid Tropospheric Tomography}, journal = {Remote. Sens.}, volume = {11}, number = {13}, pages = {1545}, year = {2019}, url = {https://doi.org/10.3390/rs11131545}, doi = {10.3390/RS11131545}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuLZHZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SuSCZYWHZ19, author = {Wei Su and Zhongping Sun and Wen{-}Hua Chen and Xiaodong Zhang and Chan Yao and Jiayu Wu and Jianxi Huang and Dehai Zhu}, title = {Joint Retrieval of Growing Season Corn Canopy {LAI} and Leaf Chlorophyll Content by Fusing Sentinel-2 and {MODIS} Images}, journal = {Remote. Sens.}, volume = {11}, number = {20}, pages = {2409}, year = {2019}, url = {https://doi.org/10.3390/rs11202409}, doi = {10.3390/RS11202409}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SuSCZYWHZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhangZLHWJYC19, author = {Weiyu Zhang and Xiaotong Zhang and Wenhong Li and Ning Hou and Yu Wei and Kun Jia and Yunjun Yao and Jie Cheng}, title = {Evaluation of Bayesian Multimodel Estimation in Surface Incident Shortwave Radiation Simulation over High Latitude Areas}, journal = {Remote. Sens.}, volume = {11}, number = {15}, pages = {1776}, year = {2019}, url = {https://doi.org/10.3390/rs11151776}, doi = {10.3390/RS11151776}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhangZLHWJYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/XuLLGYZ19, author = {Yundou Xu and Ling Lu and Wenlan Liu and Jinwei Guo and Jiantao Yao and Yongsheng Zhao}, title = {Principle of Force Analysis of Overconstrained Parallel Mechanisms Considering Link Weight}, journal = {Robotica}, volume = {37}, number = {9}, pages = {1533--1544}, year = {2019}, url = {https://doi.org/10.1017/S0263574719000109}, doi = {10.1017/S0263574719000109}, timestamp = {Wed, 04 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/XuLLGYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/LuoXTL19, author = {Wenchang Luo and Yao Xu and Weitian Tong and Guohui Lin}, title = {Single-machine scheduling with job-dependent machine deterioration}, journal = {J. Sched.}, volume = {22}, number = {6}, pages = {691--707}, year = {2019}, url = {https://doi.org/10.1007/s10951-019-00622-w}, doi = {10.1007/S10951-019-00622-W}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scheduling/LuoXTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WeiJALLW19, author = {Yaoguang Wei and Yisha Jiao and Dong An and Daoliang Li and Wenshu Li and Qiong Wei}, title = {Review of Dissolved Oxygen Detection Technology: From Laboratory Analysis to Online Intelligent Detection}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3995}, year = {2019}, url = {https://doi.org/10.3390/s19183995}, doi = {10.3390/S19183995}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WeiJALLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YaoCQWWGY19, author = {Jia{-}Lin Yao and Yu{-}Xuan Chen and Wen{-}Jiang Qiang and Xi{-}Zi Wang and Hao Wei and Bo{-}Hang Gao and Xing Yang}, title = {A Simple, Low-Cost Micro-Coating Method for Accuracy Improvement and Its Application in Pressure Sensors}, journal = {Sensors}, volume = {19}, number = {20}, pages = {4601}, year = {2019}, url = {https://doi.org/10.3390/s19204601}, doi = {10.3390/S19204601}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YaoCQWWGY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhouLTYWLJ19, author = {Mengde Zhou and Wei Liu and Linlin Tang and Zhuang Yao and Zhengquan Wen and Bing Liang and Zhenyuan Jia}, title = {Multidimensional Vibration Suppression Method with Piezoelectric Control for Wind Tunnel Models}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3998}, year = {2019}, url = {https://doi.org/10.3390/s19183998}, doi = {10.3390/S19183998}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhouLTYWLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/WangWLZC19, author = {Yan{-}Wu Wang and Yao{-}Wen Wei and Xiao{-}Kang Liu and Nan Zhou and Christos G. Cassandras}, title = {Optimal Persistent Monitoring Using Second-Order Agents With Physical Constraints}, journal = {{IEEE} Trans. Autom. Control.}, volume = {64}, number = {8}, pages = {3239--3252}, year = {2019}, url = {https://doi.org/10.1109/TAC.2018.2879946}, doi = {10.1109/TAC.2018.2879946}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/WangWLZC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/XuLXYSZH19, author = {Xiaowei Xu and Feng Lin and Wenyao Xu and Xinwei Yao and Yiyu Shi and Dewen Zeng and Yu Hu}, title = {{MDA:} {A} Reconfigurable Memristor-Based Distance Accelerator for Time Series Mining on Data Centers}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {5}, pages = {785--797}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2834431}, doi = {10.1109/TCAD.2018.2834431}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/XuLXYSZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WeiYWYM19, author = {Xiangyi Wei and Wenwu Yu and He Wang and Yiyang Yao and Feng Mei}, title = {An Observer-Based Fixed-Time Consensus Control for Second-Order Multi-Agent Systems With Disturbances}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {2}, pages = {247--251}, year = {2019}, url = {https://doi.org/10.1109/TCSII.2018.2831922}, doi = {10.1109/TCSII.2018.2831922}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WeiYWYM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhangWLYJHJWMZL19, author = {Xiaotong Zhang and Dongdong Wang and Qiang Liu and Yunjun Yao and Kun Jia and Tao He and Bo Jiang and Yu Wei and Han Ma and Xiang Zhao and Wenhong Li and Shunlin Liang}, title = {An Operational Approach for Generating the Global Land Surface Downward Shortwave Radiation Product From {MODIS} Data}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {57}, number = {7}, pages = {4636--4650}, year = {2019}, url = {https://doi.org/10.1109/TGRS.2019.2891945}, doi = {10.1109/TGRS.2019.2891945}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ZhangWLYJHJWMZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/DuZTDJ19, author = {Wei Du and Weimin Zhong and Yang Tang and Wenli Du and Yaochu Jin}, title = {High-Dimensional Robust Multi-Objective Optimization for Order Scheduling: {A} Decision Variable Classification Approach}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {15}, number = {1}, pages = {293--304}, year = {2019}, url = {https://doi.org/10.1109/TII.2018.2836189}, doi = {10.1109/TII.2018.2836189}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/DuZTDJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LaiLYYWC19, author = {Jingang Lai and Xiaoqing Lu and Xinghuo Yu and Wei Yao and Jinyu Wen and Shijie Cheng}, title = {Distributed Multi-DER Cooperative Control for Master-Slave-Organized Microgrid Networks With Limited Communication Bandwidth}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {15}, number = {6}, pages = {3443--3456}, year = {2019}, url = {https://doi.org/10.1109/TII.2018.2876358}, doi = {10.1109/TII.2018.2876358}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LaiLYYWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/WeiZY0019, author = {Longhui Wei and Shiliang Zhang and Hantao Yao and Wen Gao and Qi Tian}, title = {{GLAD:} Global-Local-Alignment Descriptor for Scalable Person Re-Identification}, journal = {{IEEE} Trans. Multim.}, volume = {21}, number = {4}, pages = {986--999}, year = {2019}, url = {https://doi.org/10.1109/TMM.2018.2870522}, doi = {10.1109/TMM.2018.2870522}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/WeiZY0019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/XiaoZBLY19, author = {Wenhua Xiao and Xiaomin Zhu and Weidong Bao and Ling Liu and Jian Yao}, title = {Cooperative Data Sharing for Mobile Cloudlets Under Heterogeneous Environments}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {16}, number = {2}, pages = {430--444}, year = {2019}, url = {https://doi.org/10.1109/TNSM.2019.2907526}, doi = {10.1109/TNSM.2019.2907526}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/XiaoZBLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ShenYWHJ19, author = {Yu Shen and Wei Yao and Jinyu Wen and Haibo He and Lin Jiang}, title = {Resilient Wide-Area Damping Control Using GrHDP to Tolerate Communication Failures}, journal = {{IEEE} Trans. Smart Grid}, volume = {10}, number = {3}, pages = {2547--2557}, year = {2019}, url = {https://doi.org/10.1109/TSG.2018.2803822}, doi = {10.1109/TSG.2018.2803822}, timestamp = {Wed, 02 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/ShenYWHJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AliotoAABBCCCCC19, author = {Massimo Alioto and Magdy S. Abadir and Tughrul Arslan and Chirn Chye Boon and Andreas Burg and Chip{-}Hong Chang and Meng{-}Fan Chang and Yao{-}Wen Chang and Poki Chen and Pasquale Corsonello and Paolo Crovetti and Shiro Dosho and Rolf Drechsler and Ibrahim Abe M. Elfadel and Ruonan Han and Masanori Hashimoto and Chun{-}Huat Heng and Deukhyoun Heo and Tsung{-}Yi Ho and Houman Homayoun and Yuh{-}Shyan Hwang and Ajay Joshi and Rajiv V. Joshi and Tanay Karnik and Chulwoo Kim and Tony Tae{-}Hyoung Kim and Jaydeep Kulkarni and Volkan Kursun and Yoonmyung Lee and Hai Helen Li and Huawei Li and Prabhat Mishra and Baker Mohammad and Mehran Mozaffari Kermani and Makoto Nagata and Koji Nii and Partha Pratim Pande and Bipul C. Paul and Vasilis F. Pavlidis and Jos{\'{e}} Pineda de Gyvez and Ioannis Savidis and Patrick Schaumont and Fabio Sebastiano and Anirban Sengupta and Mingoo Seok and Mircea R. Stan and Mark M. Tehranipoor and Aida Todri{-}Sanial and Marian Verhelst and Valerio Vignoli and Xiaoqing Wen and Jiang Xu and Wei Zhang and Zhengya Zhang and Jun Zhou and Mark Zwolinski and Stacey Weber}, title = {Editorial {TVLSI} Positioning - Continuing and Accelerating an Upward Trajectory}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {2}, pages = {253--280}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2018.2886389}, doi = {10.1109/TVLSI.2018.2886389}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AliotoAABBCCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acirs/ChangLHH19, author = {Wen{-}Yang Chang and Sheng{-}You Lin and Jia{-}Wei Hsu and Bo{-}Yao Hsu}, title = {Automatic Path Planning of Robot for Intelligent Manufacturing Based on Network Remoted Controlling and Simulation}, booktitle = {4th Asia-Pacific Conference on Intelligent Robot Systems, {ACIRS} 2019, Nagoya, Japan, July 13-15, 2019}, pages = {164--168}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ACIRS.2019.8935967}, doi = {10.1109/ACIRS.2019.8935967}, timestamp = {Fri, 10 Jan 2020 15:46:08 +0100}, biburl = {https://dblp.org/rec/conf/acirs/ChangLHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ZhouLYWTLLJ19, author = {Mengde Zhou and Wei Liu and Zhuang Yao and Zhengquan Wen and Linlin Tang and Bing Liang and Xiao Li and Zhenyuan Jia}, title = {Active Vibration Suppression of the Cantilever Sting for Wind Tunnel Models with Piezoelectric Control}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2019, Hong Kong, SAR, China, July 8-12, 2019}, pages = {1323--1328}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AIM.2019.8868557}, doi = {10.1109/AIM.2019.8868557}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ZhouLYWTLLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/YangYLW19, author = {Hai{-}bin Yang and Wu{-}jun Yao and Wen{-}chao Liu and Bin Wei}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {Efficiency Analysis of {TFHE} Fully Homomorphic Encryption Software Library Based on {GPU}}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {927}, pages = {93--102}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15035-8\_9}, doi = {10.1007/978-3-030-15035-8\_9}, timestamp = {Fri, 29 Mar 2019 10:44:54 +0100}, biburl = {https://dblp.org/rec/conf/aina/YangYLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiuYWLZ19, author = {Lei Liu and Yao Yao and Meng{-}Qi Wen and Yue Li and David Wei Zhang}, title = {A pn-Coupled Superjunction {IGBT} for High Switching Speed}, booktitle = {13th {IEEE} International Conference on ASIC, {ASICON} 2019, Chongqing, China, October 29 - November 1, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASICON47005.2019.8983431}, doi = {10.1109/ASICON47005.2019.8983431}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiuYWLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/WangCXHLC19, author = {Yan Wang and Jun Cheng and Wenjuan Xiong and Yao Huang and Wei Li and Jianchao Chen}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Drug mining based on association rules for post-stroke depression}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1262--1264}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983007}, doi = {10.1109/BIBM47256.2019.8983007}, timestamp = {Fri, 09 Apr 2021 17:11:15 +0200}, biburl = {https://dblp.org/rec/conf/bibm/WangCXHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/ShengYCDLL19, author = {Zhengyan Sheng and Xiao Yao and Ruixuan Chen and Wenyu Diao and Weiliang Li and Yao Li}, title = {Analysis Motion Imagination {EEG} Signal in Spatiotemporal-energy domain}, booktitle = {{CSAI} 2019: 2019 3rd International Conference on Computer Science and Artificial Intelligence, Beijing, China, December 6-8, 2019}, pages = {268--272}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3374587.3374639}, doi = {10.1145/3374587.3374639}, timestamp = {Fri, 20 Mar 2020 13:48:57 +0100}, biburl = {https://dblp.org/rec/conf/csai/ShengYCDLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoLZLLHWW19, author = {Huiyu Mo and Leibo Liu and Wenping Zhu and Qiang Li and Hong Liu and Wenjing Hu and Yao Wang and Shaojun Wei}, title = {A 1.17 TOPS/W, 150fps Accelerator for Multi-Face Detection and Alignment}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {80}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317736}, doi = {10.1145/3316781.3317736}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MoLZLLHWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dai2/ZhouCWYS0ZW19, author = {Ming Zhou and Yong Chen and Ying Wen and Yaodong Yang and Yufeng Su and Weinan Zhang and Dell Zhang and Jun Wang}, title = {Factorized Q-learning for large-scale multi-agent systems}, booktitle = {Proceedings of the First International Conference on Distributed Artificial Intelligence, {DAI} 2019, Beijing, China, October 13-15, 2019}, pages = {7:1--7:7}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3356464.3357707}, doi = {10.1145/3356464.3357707}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dai2/ZhouCWYS0ZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HuangSCYACS19, author = {Yao Huang and Rong Song and Wenhui Chen and Hairong Yu and Ahmadreza Argha and Branko G. Celler and Steven W. Su}, title = {The effects of different tracking tasks on muscle synergy through visual feedback}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {417--420}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8856967}, doi = {10.1109/EMBC.2019.8856967}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/HuangSCYACS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/LeeWY19, author = {Wei{-}Tsong Lee and Hsin{-}Wen Wei and Yao{-}Chiang Yang}, title = {A Workload Balance Control Mechanism on {DOCSIS} Downstream Multiple Channels}, booktitle = {{IEEE} 8th Global Conference on Consumer Electronics, {GCCE} 2019, Osaka, Japan, October 15-18, 2019}, pages = {192--194}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GCCE46687.2019.9015290}, doi = {10.1109/GCCE46687.2019.9015290}, timestamp = {Sun, 08 Mar 2020 16:12:27 +0100}, biburl = {https://dblp.org/rec/conf/gcce/LeeWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LiaoYLCKCW19, author = {Yi{-}Lun Liao and Yao{-}Cheng Yang and Yuan{-}Fang Lin and Pin{-}Jung Chen and Chia{-}Wen Kuo and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {Learning Pose-aware 3D Reconstruction via 2D-3D Self-consistency}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {3857--3861}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682813}, doi = {10.1109/ICASSP.2019.8682813}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LiaoYLCKCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccpr/WangZNY19, author = {Ruilin Wang and Wei Zhang and Wenbo Nie and Yao Yu}, title = {Gastric Polyps Detection by Improved Faster {R-CNN}}, booktitle = {{ICCPR} '19: 8th International Conference on Computing and Pattern Recognition, Beijing, China, October 23-25, 2019}, pages = {128--133}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3373509.3373524}, doi = {10.1145/3373509.3373524}, timestamp = {Tue, 07 Apr 2020 15:34:43 +0200}, biburl = {https://dblp.org/rec/conf/iccpr/WangZNY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/FengHYZL19, author = {Wei Feng and Wenhao He and Fei Yin and Xu{-}Yao Zhang and Cheng{-}Lin Liu}, title = {TextDragon: An End-to-End Framework for Arbitrary Shaped Text Spotting}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {9075--9084}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00917}, doi = {10.1109/ICCV.2019.00917}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/FengHYZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/WenZBSZJDLLZWZN19, author = {Longyin Wen and Yue Zhang and Liefeng Bo and Hailin Shi and Rui Zhu and Ajit Jadhav and Bing Dong and Brejesh Lall and Chang Liu and Chunhui Zhang and Dong Wang and Pengfei Zhu and Feng Ni and Filiz Bunyak and Gaoang Wang and Guizhong Liu and Guna Seetharaman and Guorong Li and H{\aa}kan Ard{\"{o}} and Haotian Zhang and Hongyang Yu and Huchuan Lu and Dawei Du and Jenq{-}Neng Hwang and Jiatong Mu and Jinrong Hu and Kannappan Palaniappan and Long Chen and Lu Ding and Martin Lauer and Mikael G. Nilsson and Noor M. Al{-}Shakarji and Prerana Mukherjee and Xiao Bian and Qingming Huang and Robert Lagani{\`{e}}re and Shuhao Chen and Siyang Pan and Vinay Kaushik and Wei Shi and Wei Tian and Weiqiang Li and Xin Chen and Xinyu Zhang and Haibin Ling and Yanting Zhang and Yanyun Zhao and Yong Wang and Yuduo Song and Yuehan Yao and Zhaotang Chen and Zhenyu Xu and Zhibin Xiao and Zhihang Tong and Zhipeng Luo and Qinghua Hu and Zhuojin Sun and Jiayu Zheng and Tao Peng and Xinyao Wang}, title = {VisDrone-MOT2019: The Vision Meets Drone Multiple Object Tracking Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {189--198}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00028}, doi = {10.1109/ICCVW.2019.00028}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/WenZBSZJDLLZWZN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/ZhuZBSZDPNGLXDG19, author = {Pengfei Zhu and Yue Zhang and Liefeng Bo and Hailin Shi and Rui Zhu and Bing Dong and Dheeraj Reddy Pailla and Feng Ni and Guangyu Gao and Guizhong Liu and Haitao Xiong and Dawei Du and Jing Ge and Jingkai Zhou and Jinrong Hu and Lin Sun and Long Chen and Martin Lauer and Qiong Liu and Sai Saketh Chennamsetty and Ting Sun and Tong Wu and Longyin Wen and Varghese Alex Kollerathu and Wei Tian and Weida Qin and Xier Chen and Xingjie Zhao and Yanchao Lian and Yinan Wu and Ying Li and Yingping Li and Yiwen Wang and Xiao Bian and Yuduo Song and Yuehan Yao and Yunfeng Zhang and Zhaoliang Pi and Zhaotang Chen and Zhenyu Xu and Zhibin Xiao and Zhipeng Luo and Ziming Liu and Haibin Ling and Qinghua Hu and Tao Peng and Jiayu Zheng and Xinyao Wang}, title = {VisDrone-VID2019: The Vision Meets Drone Object Detection in Video Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {227--235}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00031}, doi = {10.1109/ICCVW.2019.00031}, timestamp = {Mon, 29 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/ZhuZBSZDPNGLXDG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/WenYLWP19, author = {Ying Wen and Yaodong Yang and Rui Luo and Jun Wang and Wei Pan}, title = {Probabilistic Recursive Reasoning for Multi-Agent Reinforcement Learning}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=rkl6As0cF7}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/WenYLWP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/YangJYXWJ19, author = {Yikun Yang and Qian Jiang and Shaowen Yao and Gang Xue and Liwen Wu and Xin Jin}, editor = {Yong Liu and Lipo Wang and Liang Zhao and Zhengtao Yu}, title = {A Spatial Fusion Scheme of Multi-focus Image Combining SVM-Based Classification and PCA-Based Weight}, booktitle = {Advances in Natural Computation, Fuzzy Systems and Knowledge Discovery - Proceedings of the 15th International Conference on Natural Computation, Fuzzy Systems and Knowledge Discovery {(ICNC-FSKD} 2019), Kunming, China, July 20-22, 2019 - Volume 1}, series = {Advances in Intelligent Systems and Computing}, volume = {1074}, pages = {385--398}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32456-8\_42}, doi = {10.1007/978-3-030-32456-8\_42}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/YangJYXWJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiZWCHF19, author = {Huan Li and Chao Zeng and Wei Wan and Yaokui Cui and Yang Hong and Wenjie Fan}, title = {A Remote Sensing-based Vacancy Area Index for Estimating Housing Vacancy and Ghost Cities in China}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {7518--7521}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898197}, doi = {10.1109/IGARSS.2019.8898197}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/LiZWCHF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/YaoGKTCD019, author = {Quanming Yao and Xiawei Guo and James T. Kwok and Wei{-}Wei Tu and Yuqiang Chen and Wenyuan Dai and Qiang Yang}, editor = {Sarit Kraus}, title = {Privacy-Preserving Stacking with Application to Cross-organizational Diabetes Prediction}, booktitle = {Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, {IJCAI} 2019, Macao, China, August 10-16, 2019}, pages = {4114--4120}, publisher = {ijcai.org}, year = {2019}, url = {https://doi.org/10.24963/ijcai.2019/571}, doi = {10.24963/IJCAI.2019/571}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/YaoGKTCD019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LanKOLHW19, author = {Po{-}Chao Lan and Wei{-}Ling Kung and Yao{-}Lun Ou and Chun{-}Yueh Lin and Wen{-}Cheng Hu and Yi{-}Hsien Wang}, title = {Machine learning model with technical analysis for stock price prediction: Empirical study of Semiconductor Company in Taiwan}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986293}, doi = {10.1109/ISPACS48206.2019.8986293}, timestamp = {Wed, 19 Feb 2020 17:11:42 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/LanKOLHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XuMRMWSHSWXSXWY19, author = {Chen Xu and Yaowu Mo and Guanjing Ren and Weijian Ma and Xin Wang and Wenjie Shi and Jinjian Hou and Ke Shao and Haojie Wang and Pengge Xiao and Zexu Shao and Xiao Xie and Xiaoyong Wang and Chris Yiu}, title = {A Stacked Global-Shutter {CMOS} Imager with SC-Type Hybrid-GS Pixel and Self-Knee Point Calibration Single Frame {HDR} and On-Chip Binarization Algorithm for Smart Vision Applications}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {94--96}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662441}, doi = {10.1109/ISSCC.2019.8662441}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/XuMRMWSHSWXSXWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/GengLLJXZYLZ19, author = {Yangli{-}ao Geng and Qingyong Li and Tianyang Lin and Lei Jiang and Liangtao Xu and Dong Zheng and Wen Yao and Weitao Lyu and Yijun Zhang}, editor = {Ankur Teredesai and Vipin Kumar and Ying Li and R{\'{o}}mer Rosales and Evimaria Terzi and George Karypis}, title = {LightNet: {A} Dual Spatiotemporal Encoder Network Model for Lightning Prediction}, booktitle = {Proceedings of the 25th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2019, Anchorage, AK, USA, August 4-8, 2019}, pages = {2439--2447}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3292500.3330717}, doi = {10.1145/3292500.3330717}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/GengLLJXZYLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LuoWZYTCDY19, author = {Yuanfei Luo and Mengshuo Wang and Hao Zhou and Quanming Yao and Wei{-}Wei Tu and Yuqiang Chen and Wenyuan Dai and Qiang Yang}, editor = {Ankur Teredesai and Vipin Kumar and Ying Li and R{\'{o}}mer Rosales and Evimaria Terzi and George Karypis}, title = {AutoCross: Automatic Feature Crossing for Tabular Data in Real-World Applications}, booktitle = {Proceedings of the 25th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2019, Anchorage, AK, USA, August 4-8, 2019}, pages = {1936--1945}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3292500.3330679}, doi = {10.1145/3292500.3330679}, timestamp = {Wed, 07 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/LuoWZYTCDY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/ZhangSWJKSC19, author = {Ji Zhang and Ting Shen and Wenlu Wang and Xunfei Jiang and Wei{-}Shinn Ku and Min{-}Te Sun and Yao{-}Yi Chiang}, title = {A {VLOS} Compliance Solution to Ground/Aerial Parcel Delivery Problem}, booktitle = {20th {IEEE} International Conference on Mobile Data Management, {MDM} 2019, Hong Kong, SAR, China, June 10-13, 2019}, pages = {201--209}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MDM.2019.00-56}, doi = {10.1109/MDM.2019.00-56}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mdm/ZhangSWJKSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/TsaiXHLCCLW19, author = {Tsung{-}Hung Tsai and Zhen{-}Xiong Xie and Ping{-}Yao Huang and Cheng{-}Xi Li and Wen{-}Huang Chen and Chao{-}Wei Chen and Chun{-}Ting Lin and Chia{-}Chien Wei}, title = {Simple Down-Conversion by Power Detector in a 2{\texttimes}2 {MIMO} V-Band Optical/Wireless System with Mitigation of Beating Interference}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8818099}, doi = {10.23919/PS.2019.8818099}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ps/TsaiXHLCCLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HongYCCFC19, author = {Sheng{-}Yi Hong and Li{-}Hung Yao and Wen{-}Ting Cheah and Wei{-}Der Chang and Li{-}Chen Fu and Yu{-}Ling Chang}, title = {A Novel Screening System for Alzheimer's Disease Based on Speech Transcripts Using Neural Network}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2440--2445}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914628}, doi = {10.1109/SMC.2019.8914628}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/HongYCCFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-09207, author = {Ying Wen and Yaodong Yang and Rui Luo and Jun Wang and Wei Pan}, title = {Probabilistic Recursive Reasoning for Multi-Agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/1901.09207}, year = {2019}, url = {http://arxiv.org/abs/1901.09207}, eprinttype = {arXiv}, eprint = {1901.09207}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-09207.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-12857, author = {Yuanfei Luo and Mengshuo Wang and Hao Zhou and Quanming Yao and Wei{-}Wei Tu and Yuqiang Chen and Qiang Yang and Wenyuan Dai}, title = {AutoCross: Automatic Feature Crossing for Tabular Data in Real-World Applications}, journal = {CoRR}, volume = {abs/1904.12857}, year = {2019}, url = {http://arxiv.org/abs/1904.12857}, eprinttype = {arXiv}, eprint = {1904.12857}, timestamp = {Fri, 03 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-12857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-01304, author = {Tao Yao and Xiangwei Kong and Lianshan Yan and Wenjing Tang and Qi Tian}, title = {Efficient Discrete Supervised Hashing for Large-scale Cross-modal Retrieval}, journal = {CoRR}, volume = {abs/1905.01304}, year = {2019}, url = {http://arxiv.org/abs/1905.01304}, eprinttype = {arXiv}, eprint = {1905.01304}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-01304.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-08494, author = {Xiao{-}ke Zhu and Qi Zhang and Ling Liu and Taining Cheng and Shaowen Yao and Wei Zhou and Jing He}, title = {{DLB:} Deep Learning Based Load Balancing}, journal = {CoRR}, volume = {abs/1910.08494}, year = {2019}, url = {http://arxiv.org/abs/1910.08494}, eprinttype = {arXiv}, eprint = {1910.08494}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-08494.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/LuoXGTGL18, author = {Wenchang Luo and Yao Xu and Boyuan Gu and Weitian Tong and Randy Goebel and Guohui Lin}, title = {Algorithms for Communication Scheduling in Data Gathering Network with Data Compression}, journal = {Algorithmica}, volume = {80}, number = {11}, pages = {3158--3176}, year = {2018}, url = {https://doi.org/10.1007/s00453-017-0373-6}, doi = {10.1007/S00453-017-0373-6}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/LuoXGTGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenKSCHLL18, author = {Shu{-}Hwa Chen and Wen{-}Yu Kuo and Sheng{-}Yao Su and Wei{-}Chun Chung and Jen{-}Ming Ho and Henry Horng{-}Shing Lu and Chung{-}Yen Lin}, title = {A gene profiling deconvolution approach to estimating immune cell composition from complex tissues}, journal = {{BMC} Bioinform.}, volume = {19-S}, number = {4}, pages = {15--23}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2069-6}, doi = {10.1186/S12859-018-2069-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenKSCHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ShahenGSETZWBFZ18, author = {Mohamed Shahen and Zihu Guo and Akhtar Hussain Shar and Reham Ebaid and Qin Tao and Wenjuan Zhang and Ziyin Wu and Yaofei Bai and Yingxue Fu and Chunli Zheng and He Wang and Piar Ali Shar and Jianling Liu and Zhenzhong Wang and Wei Xiao and Yonghua Wang}, title = {Dengue virus causes changes of MicroRNA-genes regulatory network revealing potential targets for antiviral drugs}, journal = {{BMC} Syst. Biol.}, volume = {12}, number = {1}, pages = {2:1--2:13}, year = {2018}, url = {https://doi.org/10.1186/s12918-017-0518-x}, doi = {10.1186/S12918-017-0518-X}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcsb/ShahenGSETZWBFZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ShahenGSETZWBFZ18a, author = {Mohamed Shahen and Zihu Guo and Akhtar Hussain Shar and Reham Ebaid and Qin Tao and Wenjuan Zhang and Ziyin Wu and Yaofei Bai and Yingxue Fu and Chunli Zheng and He Wang and Piar Ali Shar and Jianling Liu and Zhenzhong Wang and Wei Xiao and Yonghua Wang}, title = {Correction to: dengue virus causes changes of MicroRNA-genes regulatory network revealing potential targets for antiviral drugs}, journal = {{BMC} Syst. Biol.}, volume = {12}, number = {1}, pages = {21:1}, year = {2018}, url = {https://doi.org/10.1186/s12918-018-0536-3}, doi = {10.1186/S12918-018-0536-3}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcsb/ShahenGSETZWBFZ18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ZhuHSZBW18, author = {Jiaxing Zhu and Lin Hao and Yaozhou Sun and Bo Zhang and Wenshuai Bai and Hongyuan Wei}, title = {A sustainable process design to produce diethyl oxalate considering NO\({}_{\mbox{x}}\) elimination}, journal = {Comput. Chem. Eng.}, volume = {115}, pages = {198--212}, year = {2018}, url = {https://doi.org/10.1016/j.compchemeng.2018.04.002}, doi = {10.1016/J.COMPCHEMENG.2018.04.002}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/ZhuHSZBW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/elektrik/FangZLYXX18, author = {Weiwei Fang and Wenchen Zhou and Yangyang Li and Xuening Yao and Feng Xue and Naixue Xiong}, title = {A distributed {ADMM} approach for energy-efficient resource allocation in mobile edge computing}, journal = {Turkish J. Electr. Eng. Comput. Sci.}, volume = {26}, number = {6}, pages = {3336--3345}, year = {2018}, url = {https://doi.org/10.3906/elk-1806-112}, doi = {10.3906/ELK-1806-112}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/elektrik/FangZLYXX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/SongWLXYX18, author = {Chen Song and Aosen Wang and Feng Lin and Jian Xiao and Xinwei Yao and Wenyao Xu}, title = {Selective {CS:} An Energy-Efficient Sensing Architecture for Wireless Implantable Neural Decoding}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {2}, pages = {201--210}, year = {2018}, url = {https://doi.org/10.1109/JETCAS.2018.2809906}, doi = {10.1109/JETCAS.2018.2809906}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/SongWLXYX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiWLYCYZ18, author = {Yiming Li and Baogang Wei and Yonghuai Liu and Liang Yao and Hui Chen and Jifang Yu and Wenhao Zhu}, title = {Incorporating knowledge into neural network for text representation}, journal = {Expert Syst. Appl.}, volume = {96}, pages = {103--114}, year = {2018}, url = {https://doi.org/10.1016/j.eswa.2017.11.037}, doi = {10.1016/J.ESWA.2017.11.037}, timestamp = {Tue, 23 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LiWLYCYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YanJZSW18, author = {Shuxia Yan and Xiaoyi Jin and Yaoqian Zhang and Weiguang Shi and Jia Wen}, title = {Accurate large-signal modeling using neuro-space mapping for power transistors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180342}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180342}, doi = {10.1587/ELEX.15.20180342}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YanJZSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-spr/0002FNZYY18, author = {Wenpeng Zhang and Yaowen Fu and Lei Nie and Guanhua Zhao and Wei Yang and Jin Yang}, title = {Parameter estimation of micro-motion targets for high-range-resolution radar using high-order difference sequence}, journal = {{IET} Signal Process.}, volume = {12}, number = {1}, pages = {1--11}, year = {2018}, url = {https://doi.org/10.1049/iet-spr.2016.0504}, doi = {10.1049/IET-SPR.2016.0504}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-spr/0002FNZYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/SunYSSZS18, author = {Wei Sun and Wenxing Yuan and Yu Shao and Zongyao Sun and Junsheng Zhao and Qun Sun}, title = {Adaptive Fuzzy Control of Strict-Feedback Nonlinear Time-Delay Systems with Full-State Constraints}, journal = {Int. J. Fuzzy Syst.}, volume = {20}, number = {8}, pages = {2556--2565}, year = {2018}, url = {https://doi.org/10.1007/s40815-018-0545-9}, doi = {10.1007/S40815-018-0545-9}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/SunYSSZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiLLWSW18, author = {Yuwen Li and Yaojin Lin and Jinghua Liu and Wei Weng and Zhenkun Shi and Shunxiang Wu}, title = {Feature selection for multi-label learning based on kernelized fuzzy rough sets}, journal = {Neurocomputing}, volume = {318}, pages = {271--286}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2018.08.065}, doi = {10.1016/J.NEUCOM.2018.08.065}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LiLLWSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/WengLWLK18, author = {Wei Weng and Yaojin Lin and Shunxiang Wu and Yuwen Li and Yun Kang}, title = {Multi-label learning based on label-specific features and local pairwise label correlation}, journal = {Neurocomputing}, volume = {273}, pages = {385--394}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2017.07.044}, doi = {10.1016/J.NEUCOM.2017.07.044}, timestamp = {Fri, 15 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/WengLWLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LuCHCLWCFCMFLT18, author = {Tsung{-}Chien Lu and Yi Chen and Te{-}Wei Ho and Yao{-}Ting Chang and Yi{-}Ting Lee and Yu{-}Siang Wang and Yen{-}Pin Chen and Chia{-}Ming Fu and Wen{-}Chu Chiang and Matthew Huei{-}Ming Ma and Cheng{-}Chung Fang and Feipei Lai and Anne M. Turner}, title = {A novel depth estimation algorithm of chest compression for feedback of high-quality cardiopulmonary resuscitation based on a smartwatch}, journal = {J. Biomed. Informatics}, volume = {87}, pages = {60--65}, year = {2018}, url = {https://doi.org/10.1016/j.jbi.2018.09.014}, doi = {10.1016/J.JBI.2018.09.014}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/LuCHCLWCFCMFLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/WenAXC18, author = {Yao Wen and Qingxian An and Xuanhua Xu and Ya Chen}, title = {Selection of Six Sigma project with interval data: common weight {DEA} model}, journal = {Kybernetes}, volume = {47}, number = {7}, pages = {1307--1324}, year = {2018}, url = {https://doi.org/10.1108/K-07-2017-0250}, doi = {10.1108/K-07-2017-0250}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kybernetes/WenAXC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/DingGLCRLW18, author = {Weichao Ding and Chunhua Gu and Fei Luo and Yaohui Chang and Ulysse Rugwiro and Xiaoke Li and Geng Wen}, title = {{DFA-VMP:} An efficient and secure virtual machine placement strategy under cloud environment}, journal = {Peer-to-Peer Netw. Appl.}, volume = {11}, number = {2}, pages = {318--333}, year = {2018}, url = {https://doi.org/10.1007/s12083-016-0502-z}, doi = {10.1007/S12083-016-0502-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/DingGLCRLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LiuLLWW18, author = {Jinghua Liu and Yaojin Lin and Yuwen Li and Wei Weng and Shunxiang Wu}, title = {Online multi-label streaming feature selection based on neighborhood rough set}, journal = {Pattern Recognit.}, volume = {84}, pages = {273--287}, year = {2018}, url = {https://doi.org/10.1016/j.patcog.2018.07.021}, doi = {10.1016/J.PATCOG.2018.07.021}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LiuLLWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YeLYTXZDHSSZCNZ18, author = {Sijing Ye and Diyou Liu and Xiaochuang Yao and Huaizhi Tang and Quan Xiong and Wen Zhuo and Zhenbo Du and Jianxi Huang and Wei Su and Shi Shen and Zuliang Zhao and Shaolong Cui and Lixin Ning and Dehai Zhu and Changxiu Cheng and Changqing Song}, title = {{RDCRMG:} {A} Raster Dataset Clean {\&} Reconstitution Multi-Grid Architecture for Remote Sensing Monitoring of Vegetation Dryness}, journal = {Remote. Sens.}, volume = {10}, number = {9}, pages = {1376}, year = {2018}, url = {https://doi.org/10.3390/rs10091376}, doi = {10.3390/RS10091376}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/YeLYTXZDHSSZCNZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuZLYZWWZ18, author = {Zhi{-}Fa Wu and Chuan{-}Tao Zheng and Zhi{-}Wei Liu and Dan Yao and Wen{-}Xue Zheng and Yi{-}Ding Wang and Fei Wang and Daming Zhang}, title = {Investigation of {A} Slow-Light Enhanced Near-Infrared Absorption Spectroscopic Gas Sensor, Based on Hollow-Core Photonic Band-Gap Fiber}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2192}, year = {2018}, url = {https://doi.org/10.3390/s18072192}, doi = {10.3390/S18072192}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WuZLYZWWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangLLYCCCCB18, author = {Chau{-}Chin Huang and Hsin{-}Ying Lee and Bo{-}Qiao Lin and Sheng{-}Wei Yang and Chin{-}Hao Chang and Szu{-}To Chen and Yao{-}Wen Chang and Tung{-}Chieh Chen and Ismail Bustany}, title = {NTUplace4dr: {A} Detailed-Routing-Driven Placer for Mixed-Size Circuit Designs With Technology and Region Constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {3}, pages = {669--681}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2712665}, doi = {10.1109/TCAD.2017.2712665}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuangLLYCCCCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/XuLWYLXSH18, author = {Xiaowei Xu and Feng Lin and Aosen Wang and Xinwei Yao and Qing Lu and Wenyao Xu and Yiyu Shi and Yu Hu}, title = {Accelerating Dynamic Time Warping With Memristor-Based Customized Fabrics}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {4}, pages = {729--741}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2729344}, doi = {10.1109/TCAD.2017.2729344}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/XuLWYLXSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YaoLTGL18, author = {Wenxuan Yao and Haoyang Lu and Micah J. Till and Wei Gao and Yilu Liu}, title = {Synchronized Wireless Measurement of High-Voltage Power System Frequency Using Mobile Embedded Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {3}, pages = {2775--2784}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2736509}, doi = {10.1109/TIE.2017.2736509}, timestamp = {Sat, 20 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YaoLTGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/Yao0WZJ18, author = {Liang Yao and Yin Zhang and Baogang Wei and Wenjin Zhang and Zhe Jin}, title = {A Topic Modeling Approach for Traditional Chinese Medicine Prescriptions}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {30}, number = {6}, pages = {1007--1021}, year = {2018}, url = {https://doi.org/10.1109/TKDE.2017.2787158}, doi = {10.1109/TKDE.2017.2787158}, timestamp = {Fri, 11 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/Yao0WZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HeAYWCWLDSHLHY18, author = {Lixin He and Hong An and Chao Yang and Fei Wang and Junshi Chen and Chao Wang and Weihao Liang and Shao{-}Jun Dong and Qiao Sun and Wenting Han and Wenyuan Liu and Yongjian Han and Wenjun Yao}, title = {{PEPS++:} Towards Extreme-Scale Simulations of Strongly Correlated Quantum Many-Particle Models on Sunway TaihuLight}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {12}, pages = {2838--2848}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2848618}, doi = {10.1109/TPDS.2018.2848618}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HeAYWCWLDSHLHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinFCRK18, author = {Zhi{-}Wen Lin and Shao{-}Yun Fang and Yao{-}Wen Chang and Wei{-}Cheng Rao and Chieh{-}Hsiung Kuan}, title = {Provably Good Max-Min-\emph{m}-Neighbor-TSP-Based Subfield Scheduling for Electron-Beam Photomask Fabrication}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {2}, pages = {378--391}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2017.2761850}, doi = {10.1109/TVLSI.2017.2761850}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinFCRK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YangZCZWZ18, author = {YaoSheng Yang and Meishan Zhang and Wenliang Chen and Wei Zhang and Haofen Wang and Min Zhang}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {Adversarial Learning for Chinese {NER} From Crowd Annotations}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {1627--1635}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11507}, doi = {10.1609/AAAI.V32I1.11507}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YangZCZWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/YangYBWZW18, author = {Yaodong Yang and Lantao Yu and Yiwei Bai and Ying Wen and Weinan Zhang and Jun Wang}, editor = {Elisabeth Andr{\'{e}} and Sven Koenig and Mehdi Dastani and Gita Sukthankar}, title = {A Study of {AI} Population Dynamics with Million-agent Reinforcement Learning}, booktitle = {Proceedings of the 17th International Conference on Autonomous Agents and MultiAgent Systems, {AAMAS} 2018, Stockholm, Sweden, July 10-15, 2018}, pages = {2133--2135}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems Richland, SC, {USA} / {ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3238096}, timestamp = {Sat, 30 Sep 2023 09:34:53 +0200}, biburl = {https://dblp.org/rec/conf/atal/YangYBWZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigmm/ZhangLL0ZWC18, author = {Chong Zhang and Zongxian Li and Qiong Liu and Yonghong Tian and Wei Zeng and Yaowei Wang and Wenbai Chen}, title = {Toward Efficient Simultaneous Detection and Segmentation}, booktitle = {Fourth {IEEE} International Conference on Multimedia Big Data, BigMM 2018, Xi'an, China, September 13-16, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigMM.2018.8499154}, doi = {10.1109/BIGMM.2018.8499154}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigmm/ZhangLL0ZWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccis/ShenXYSLWZL18, author = {Yiping Shen and Yongming Xu and Hongwei Yao and Jianxun Shi and Feiwei Li and Zhen Wen and Chongbiao Zhang and Wei Liu}, title = {Distributed Cluster Control for Multi-Microgrids Using Pinning-based Group Consensus of Multi-agent System}, booktitle = {5th {IEEE} International Conference on Cloud Computing and Intelligence Systems, {CCIS} 2018, Nanjing, China, November 23-25, 2018}, pages = {1077--1080}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCIS.2018.8691332}, doi = {10.1109/CCIS.2018.8691332}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ccis/ShenXYSLWZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/GaoJLD018, author = {Xing Gao and Wenli Ji and Yongjun Li and Yao Deng and Wei Dong}, editor = {Alfredo Cuzzocrea and James Allan and Norman W. Paton and Divesh Srivastava and Rakesh Agrawal and Andrei Z. Broder and Mohammed J. Zaki and K. Sel{\c{c}}uk Candan and Alexandros Labrinidis and Assaf Schuster and Haixun Wang}, title = {User Identification with Spatio-Temporal Awareness across Social Networks}, booktitle = {Proceedings of the 27th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2018, Torino, Italy, October 22-26, 2018}, pages = {1831--1834}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3269206.3269248}, doi = {10.1145/3269206.3269248}, timestamp = {Tue, 10 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/GaoJLD018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Chen0JY018, author = {Xinpeng Chen and Lin Ma and Wenhao Jiang and Jian Yao and Wei Liu}, title = {Regularizing RNNs for Caption Generation by Reconstructing the Past With the Present}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {7995--8003}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Chen\_Regularizing\_RNNs\_for\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00834}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Chen0JY018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangTCHT18, author = {Tzu{-}Wen Chang and Yao{-}Jen Tang and Yu{-}Shu Chen and Wei{-}Han Hsu and Ming{-}Jer Tsai}, title = {Maximum Concurrent Flow Problem in MPLS-Based Software Defined Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOM.2018.8648112}, doi = {10.1109/GLOCOM.2018.8648112}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChangTCHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLYTYHCLC18, author = {Meng{-}Yao Lin and Hsiang{-}Yun Cheng and Wei{-}Ting Lin and Tzu{-}Hsien Yang and I{-}Ching Tseng and Chia{-}Lin Yang and Han{-}Wen Hu and Hung{-}Sheng Chang and Hsiang{-}Pang Li and Meng{-}Fan Chang}, editor = {Iris Bahar}, title = {{DL-RSIM:} a simulation framework to enable reliable ReRAM-based accelerators for deep learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {31}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240800}, doi = {10.1145/3240765.3240800}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLYTYHCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsengC18, author = {Yu{-}Wei Tseng and Yao{-}Wen Chang}, editor = {Iris Bahar}, title = {Mixed-cell-height placement considering drain-to-drain abutment}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {64}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240827}, doi = {10.1145/3240765.3240827}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TsengC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit/RaoTT18, author = {Wenbi Rao and Yongqiang Tan and Yao Tan}, title = {Video Content Annotation Method Based on Multi-weight Multi-source Transfer Learning}, booktitle = {Proceedings of the 6th International Conference on Information Technology: IoT and Smart City, December 29-31, Hong Kong, China}, pages = {194--198}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3301551.3301568}, doi = {10.1145/3301551.3301568}, timestamp = {Wed, 03 Apr 2019 17:06:35 +0200}, biburl = {https://dblp.org/rec/conf/icit/RaoTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LiuLLCYXY18, author = {Wenyang Liu and Weichen Liu and Mengquan Li and Peng Chen and Lei Yang and Chunhua Xiao and Yaoyao Ye}, title = {Fine-Grained Task-Level Parallel and Low Power {H.264} Decoding in Multi-Core Systems}, booktitle = {24th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2018, Singapore, December 11-13, 2018}, pages = {307--314}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PADSW.2018.8644865}, doi = {10.1109/PADSW.2018.8644865}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icpads/LiuLLCYXY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvisp/ZhaoJWWDGDL18, author = {Shengdun Zhao and Liying Jin and Yuehui Wang and Wensheng Wang and Wei Du and Wei Gao and Yao Dou and Mengkang Lu}, title = {Soft Subspace Clustering with a Multi-objective Evolutionary Approach}, booktitle = {Proceedings of the 2nd International Conference on Vision, Image and Signal Processing, {ICVISP} 2018, Las Vegas, NV, USA, August 27-29, 2018}, pages = {70:1--70:5}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3271553.3271610}, doi = {10.1145/3271553.3271610}, timestamp = {Tue, 18 Aug 2020 16:18:57 +0200}, biburl = {https://dblp.org/rec/conf/icvisp/ZhaoJWWDGDL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/ZhaoYNZL18, author = {Wei Zhao and Pengpeng Yang and Rongrong Ni and Yao Zhao and Wenjie Li}, editor = {Chang D. Yoo and Yun Qing Shi and Hyoung{-}Joong Kim and Alessandro Piva and Gwangsu Kim}, title = {Cycle GAN-Based Attack on Recaptured Images to Fool both Human and Machine}, booktitle = {Digital Forensics and Watermarking - 17th International Workshop, {IWDW} 2018, Jeju Island, Korea, October 22-24, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11378}, pages = {83--92}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11389-6\_7}, doi = {10.1007/978-3-030-11389-6\_7}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/ZhaoYNZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/LiuZZYYZ18, author = {Di Liu and Hao Zhang and Mingming Zhao and Xiaojuan Yu and Shaowen Yao and Wei Zhou}, editor = {Shaowen Yao and Zhi Jin and Xiaohui Cui and Bing Luo and Junfeng Wang and Zhengtao Yu}, title = {Brain Tumor Segmention Based on Dilated Convolution Refine Networks}, booktitle = {16th {IEEE} International Conference on Software Engineering Research, Management and Applications, {SERA} 2018, Kunming, China, June 13-15, 2018}, pages = {113--120}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/SERA.2018.8477213}, doi = {10.1109/SERA.2018.8477213}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/LiuZZYYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trecvid/ChenCJH00VCLHLK18, author = {Jia Chen and Shizhe Chen and Qin Jin and Alexander G. Hauptmann and Po{-}Yao Huang and Junwei Liang and Vaibhav and Xiaojun Chang and Jiang Liu and Ting{-}Yao Hu and Wenhe Liu and Wei Ke and Wayner Barrios and Haroon Idrees and Donghyun Yoo and Yaser Sheikh and Ruslan Salakhutdinov and Kris Kitani and Dong Huang}, editor = {George Awad and Asad A. Butt and Keith Curtis and Yooyoung Lee and Jonathan G. Fiscus and Afzal Godil and David Joy and Andrew Delgado and Alan F. Smeaton and Yvette Graham and Wessel Kraaij and Georges Qu{\'{e}}not and Jo{\~{a}}o Magalh{\~{a}}es and David Semedo and Saverio G. Blasi}, title = {Informedia @ {TRECVID} 2018: Ad-hoc Video Search, Video to Text Description, Activities in Extended video}, booktitle = {2018 {TREC} Video Retrieval Evaluation, {TRECVID} 2018, Gaithersburg, MD, USA, November 13-15, 2018}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2018}, url = {https://www-nlpir.nist.gov/projects/tvpubs/tv18.papers/inf.pdf}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trecvid/ChenCJH00VCLHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/TseWWYZ18, author = {Daniel Tse and Xuan Weng and Xin Wan and Lan Yao and Wensong Zhang}, title = {Research on Information Security Perception of Sina Weibo Users Based on {KISCAP} Model}, booktitle = {17th {IEEE} International Conference On Trust, Security And Privacy In Computing And Communications / 12th {IEEE} International Conference On Big Data Science And Engineering, TrustCom/BigDataSE 2018, New York, NY, USA, August 1-3, 2018}, pages = {1584--1588}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TrustCom/BigDataSE.2018.00229}, doi = {10.1109/TRUSTCOM/BIGDATASE.2018.00229}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/TseWWYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/ChangSCCH18, author = {Chin{-}Wei Chang and Kathiravan Srinivasan and Yung{-}Yao Chen and Wen{-}Huang Cheng and Kai{-}Lung Hua}, title = {Vehicle Detection in Thermal Images Using Deep Neural Network}, booktitle = {{IEEE} Visual Communications and Image Processing, {VCIP} 2018, Taichung, Taiwan, December 9-12, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VCIP.2018.8698741}, doi = {10.1109/VCIP.2018.8698741}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vcip/ChangSCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/ChangHCCPHYC18, author = {Ya{-}Kuei Chang and Jui{-}Wei Huang and Chien{-}Hua Chen and Chien{-}Wen Chen and Jian{-}Wei Peng and Min{-}Chun Hu and Chih{-}Yuan Yao and Hung{-}Kuo Chu}, editor = {Stephen N. Spencer and Shigeo Morishima and Yuichi Itoh and Takaaki Shiratori and Yonghao Yue and Rob Lindeman}, title = {A lightweight and efficient system for tracking handheld objects in virtual reality}, booktitle = {Proceedings of the 24th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2018, Tokyo, Japan, November 28 - December 01, 2018}, pages = {43:1--43:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3281505.3281567}, doi = {10.1145/3281505.3281567}, timestamp = {Thu, 08 Jul 2021 14:25:35 +0200}, biburl = {https://dblp.org/rec/conf/vrst/ChangHCCPHYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-05147, author = {YaoSheng Yang and Meishan Zhang and Wenliang Chen and Wei Zhang and Haofen Wang and Min Zhang}, title = {Adversarial Learning for Chinese {NER} from Crowd Annotations}, journal = {CoRR}, volume = {abs/1801.05147}, year = {2018}, url = {http://arxiv.org/abs/1801.05147}, eprinttype = {arXiv}, eprint = {1801.05147}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-05147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-11439, author = {Xinpeng Chen and Lin Ma and Wenhao Jiang and Jian Yao and Wei Liu}, title = {Regularizing RNNs for Caption Generation by Reconstructing The Past with The Present}, journal = {CoRR}, volume = {abs/1803.11439}, year = {2018}, url = {http://arxiv.org/abs/1803.11439}, eprinttype = {arXiv}, eprint = {1803.11439}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-11439.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-09105, author = {Xuan{-}Yu Wang and Wen{-}Xuan Liao and Dong An and Yaoguang Wei}, title = {Maize Haploid Identification via {LSTM-CNN} and Hyperspectral Imaging Technology}, journal = {CoRR}, volume = {abs/1805.09105}, year = {2018}, url = {http://arxiv.org/abs/1805.09105}, eprinttype = {arXiv}, eprint = {1805.09105}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-09105.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11784, author = {Wen{-}Xuan Liao and Xuan{-}Yu Wang and Dong An and Yaoguang Wei}, title = {Hyperspectral Imaging Technology and Transfer Learning Utilized in Identification Haploid Maize Seeds}, journal = {CoRR}, volume = {abs/1805.11784}, year = {2018}, url = {http://arxiv.org/abs/1805.11784}, eprinttype = {arXiv}, eprint = {1805.11784}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11784.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-03738, author = {Yong Chen and Ming Zhou and Ying Wen and Yaodong Yang and Yufeng Su and Weinan Zhang and Dell Zhang and Jun Wang and Han Liu}, title = {Factorized Q-Learning for Large-Scale Multi-Agent Systems}, journal = {CoRR}, volume = {abs/1809.03738}, year = {2018}, url = {http://arxiv.org/abs/1809.03738}, eprinttype = {arXiv}, eprint = {1809.03738}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-03738.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-09491, author = {Xiawei Guo and Quanming Yao and Wei{-}Wei Tu and Yuqiang Chen and Wenyuan Dai and Qiang Yang}, title = {Privacy-preserving Transfer Learning for Knowledge Sharing}, journal = {CoRR}, volume = {abs/1811.09491}, year = {2018}, url = {http://arxiv.org/abs/1811.09491}, eprinttype = {arXiv}, eprint = {1811.09491}, timestamp = {Wed, 07 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-09491.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ZhouLYLY17, author = {Wei Zhou and Ruilin Li and Shuo Yuan and ChangChun Liu and Shaowen Yao}, title = {MetaSpark: a spark-based distributed processing tool to recruit metagenomic reads to reference genomes}, journal = {Bioinform.}, volume = {33}, number = {7}, pages = {1090--1092}, year = {2017}, url = {https://doi.org/10.1093/bioinformatics/btw750}, doi = {10.1093/BIOINFORMATICS/BTW750}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ZhouLYLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/XieYSZYZ17, author = {Wenlong Xie and Hongxun Yao and Xiaoshuai Sun and Sicheng Zhao and Wei Yu and Shengping Zhang}, title = {Actor identification via mining representative actions}, journal = {Neurocomputing}, volume = {244}, pages = {1--9}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2017.03.020}, doi = {10.1016/J.NEUCOM.2017.03.020}, timestamp = {Mon, 08 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/XieYSZYZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwet/HeZHY17, author = {Libo He and Wei Zhou and Jing He and Shaowen Yao}, title = {{PVBSSS:} parallel validation-based shared-state scheduler}, journal = {Int. J. Web Eng. Technol.}, volume = {12}, number = {3}, pages = {275--294}, year = {2017}, url = {https://doi.org/10.1504/IJWET.2017.088390}, doi = {10.1504/IJWET.2017.088390}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwet/HeZHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/LiWJZZCTLHY17, author = {Lanlan Li and Wei Wei and Wen{-}Juan Jia and Yongchang Zhu and Yan Zhang and Jiang{-}Huai Chen and Jiaqi Tian and Huanxiang Liu and Yong{-}Xing He and Xiaojun Yao}, title = {Discovery of small molecules binding to the normal conformation of prion by combining virtual screening and multiple biological activity evaluation methods}, journal = {J. Comput. Aided Mol. Des.}, volume = {31}, number = {12}, pages = {1053--1062}, year = {2017}, url = {https://doi.org/10.1007/s10822-017-0086-6}, doi = {10.1007/S10822-017-0086-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/LiWJZZCTLHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ZhengHWYWS17, author = {Lixia Zheng and Huan Hu and Ziqing Weng and Qun Yao and Jin Wu and Weifeng Sun}, title = {Compact Active Quenching Circuit for Single Photon Avalanche Diodes Arrays}, journal = {J. Circuits Syst. Comput.}, volume = {26}, number = {10}, pages = {1750149:1--1750149:14}, year = {2017}, url = {https://doi.org/10.1142/S0218126617501493}, doi = {10.1142/S0218126617501493}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ZhengHWYWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/LaiLYWC17, author = {Jingang Lai and Xiaoqing Lu and Wei Yao and Jinyu Wen and Shijie Cheng}, title = {Robust distributed cooperative control for {DC} mircogrids with time delays, noise disturbances, and switching topologies}, journal = {J. Frankl. Inst.}, volume = {354}, number = {18}, pages = {8312--8332}, year = {2017}, url = {https://doi.org/10.1016/j.jfranklin.2017.10.025}, doi = {10.1016/J.JFRANKLIN.2017.10.025}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/LaiLYWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/HsuCCALHKJGFTML17, author = {Jer{-}Yuan Hsu and Suzanne Crawley and Michael Chen and Dina A. Ayupova and Darrin A. Lindhout and Jared Higbee and Alan Kutach and William Joo and Zhengyu Gao and Diana Fu and Carmen To and Kalyani Mondal and Betty Li and Avantika Kekatpure and Marilyn Wang and Teresa Laird and Geoffrey Horner and Jackie Chan and Michele McEntee and Manuel Lopez and Damodharan Lakshminarasimhan and Andre White and Sheng{-}Ping Wang and Jun Yao and Junming Yie and Hugo Matern and Mark Solloway and Raj Haldankar and Thomas Parsons and Jie Tang and Wenyan D. Shen and Yu Alice Chen and Hui Tian and Bernard B. Allan}, title = {Non-homeostatic body weight regulation through a brainstem-restricted receptor for {GDF15}}, journal = {Nat.}, volume = {550}, number = {7675}, pages = {255--259}, year = {2017}, url = {https://doi.org/10.1038/nature24042}, doi = {10.1038/NATURE24042}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/HsuCCALHKJGFTML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncn/HanTY17, author = {Chong Han and Wenqian Tong and Xin{-}Wei Yao}, title = {{MA-ADM:} {A} memory-assisted angular-division-multiplexing {MAC} protocol in Terahertz communication networks}, journal = {Nano Commun. Networks}, volume = {13}, pages = {51--59}, year = {2017}, url = {https://doi.org/10.1016/j.nancom.2017.08.001}, doi = {10.1016/J.NANCOM.2017.08.001}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ncn/HanTY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/XuZSCZYYWSCZWGW17, author = {Ziqian Xu and Wen Zeng and Jiayu Sun and Wei Chen and Ruzhi Zhang and Zunyuan Yang and Zunwei Yao and Lei Wang and Li Song and Yushu Chen and Yu Zhang and Chunhua Wang and Li Gong and Bing Wu and Tinghua Wang and Jie Zheng and Fabao Gao}, title = {The quantification of blood-brain barrier disruption using dynamic contrast-enhanced magnetic resonance imaging in aging rhesus monkeys with spontaneous type 2 diabetes mellitus}, journal = {NeuroImage}, volume = {158}, pages = {480--487}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2016.07.017}, doi = {10.1016/J.NEUROIMAGE.2016.07.017}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/XuZSCZYYWSCZWGW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CuiZYXYWFH17, author = {Yaokui Cui and Peng Zhao and Binyan Yan and Hongjie Xie and Pengtao Yu and Wei Wan and Wenjie Fan and Yang Hong}, title = {Developing the Remote Sensing-Gash Analytical Model for Estimating Vegetation Rainfall Interception at Very High Resolution: {A} Case Study in the Heihe River Basin}, journal = {Remote. Sens.}, volume = {9}, number = {7}, pages = {661}, year = {2017}, url = {https://doi.org/10.3390/rs9070661}, doi = {10.3390/RS9070661}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/CuiZYXYWFH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WeiYYZ17, author = {Xiaoyuan Wei and Yuan Yang and Wenqing Yao and Lei Zhang}, title = {PSpice Modeling of a Sandwich Piezoelectric Ceramic Ultrasonic Transducer in Longitudinal Vibration}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2253}, year = {2017}, url = {https://doi.org/10.3390/s17102253}, doi = {10.3390/S17102253}, timestamp = {Sun, 26 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WeiYYZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangWZY17, author = {Yuan Yang and Xiaoyuan Wei and Lei Zhang and Wenqing Yao}, title = {The Effect of Electrical Impedance Matching on the Electromechanical Characteristics of Sandwiched Piezoelectric Ultrasonic Transducers}, journal = {Sensors}, volume = {17}, number = {12}, pages = {2832}, year = {2017}, url = {https://doi.org/10.3390/s17122832}, doi = {10.3390/S17122832}, timestamp = {Sun, 26 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YangWZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangWLZYZX17, author = {Bin Zhang and Yue{-}Juan Wei and Wenyi Liu and Yan{-}Jun Zhang and Zong Yao and Lihui Zhao and Jijun Xiong}, title = {A Liquid Level Measurement Technique Outside a Sealed Metal Container Based on Ultrasonic Impedance and Echo Energy}, journal = {Sensors}, volume = {17}, number = {1}, pages = {185}, year = {2017}, url = {https://doi.org/10.3390/s17010185}, doi = {10.3390/S17010185}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangWLZYZX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangWLZYZX17a, author = {Bin Zhang and Yue{-}Juan Wei and Wen{-}Yi Liu and Yan{-}Jun Zhang and Zong Yao and Liang Zhang and Jijun Xiong}, title = {A Novel Ultrasonic Method for Liquid Level Measurement Based on the Balance of Echo Energy}, journal = {Sensors}, volume = {17}, number = {4}, pages = {706}, year = {2017}, url = {https://doi.org/10.3390/s17040706}, doi = {10.3390/S17040706}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ZhangWLZYZX17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/GanLLZYMY17, author = {Jinrui Gan and Weitao Lu and Qingyong Li and Zhen Zhang and Jun Yang and Ying Ma and Wen Yao}, title = {Cloud Type Classification of Total-Sky Images Using Duplex Norm-Bounded Sparse Coding}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {10}, number = {7}, pages = {3360--3372}, year = {2017}, url = {https://doi.org/10.1109/JSTARS.2017.2669206}, doi = {10.1109/JSTARS.2017.2669206}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/GanLLZYMY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LiWZSLHWGZMAVPL17, author = {Wei Li and Yiyan Wu and Liang Zhang and Khalil Salehian and Sebastien Lafleche and Dazhi He and Yao Wang and Yunfeng Guan and Wenjun Zhang and Jon Montalban and Pablo Angueira and Manuel V{\'{e}}lez and Sung Ik Park and Jae{-}Young Lee and Heung Mook Kim}, title = {Using {LDM} to Achieve Seamless Local Service Insertion and Local Program Coverage in {SFN} Environment}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {1}, pages = {250--259}, year = {2017}, url = {https://doi.org/10.1109/TBC.2016.2630302}, doi = {10.1109/TBC.2016.2630302}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LiWZSLHWGZMAVPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/WangHDZLWLW17, author = {Yanfeng Wang and Dazhi He and Lianghui Ding and Wenjun Zhang and Wei Li and Yiyan Wu and Ning Liu and Yao Wang}, title = {Media Transmission by Cooperation of Cellular Network and Broadcasting Network}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {3}, pages = {571--576}, year = {2017}, url = {https://doi.org/10.1109/TBC.2017.2722231}, doi = {10.1109/TBC.2017.2722231}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/WangHDZLWLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuSLYJLWJ17, author = {Chun{-}Yi Liu and Meng{-}Siou Sie and Edmund Wen Jen Leong and Yu{-}Cheng Yao and Chih{-}Wei Jen and Wei{-}Chang Liu and Chih{-}Feng Wu and Shyh{-}Jye Jou}, title = {Dual-Mode All-Digital Baseband Receiver With a Feed-Forward and Shared-Memory Architecture for Dual-Standard Over 60 GHz {NLOS} Channel}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {3}, pages = {608--618}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2016.2615084}, doi = {10.1109/TCSI.2016.2615084}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiuSLYJLWJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChakrabartyABBC17, author = {Krishnendu Chakrabarty and Massimo Alioto and Bevan M. Baas and Chirn Chye Boon and Meng{-}Fan Chang and Naehyuck Chang and Yao{-}Wen Chang and Chip{-}Hong Chang and Shih{-}Chieh Chang and Poki Chen and Masud H. Chowdhury and Pasquale Corsonello and Ibrahim Abe M. Elfadel and Said Hamdioui and Masanori Hashimoto and Tsung{-}Yi Ho and Houman Homayoun and Yuh{-}Shyan Hwang and Rajiv V. Joshi and Tanay Karnik and Mehran Mozaffari Kermani and Chulwoo Kim and Tae{-}Hyoung Kim and Jaydeep P. Kulkarni and Eren Kursun and Erik Larsson and Hai (Helen) Li and Huawei Li and Patrick P. Mercier and Prabhat Mishra and Makoto Nagata and Arun S. Natarajan and Koji Nii and Partha Pratim Pande and Ioannis Savidis and Mingoo Seok and Sheldon X.{-}D. Tan and Mark M. Tehranipoor and Aida Todri{-}Sanial and Miroslav N. Velev and Xiaoqing Wen and Jiang Xu and Wei Zhang and Zhengya Zhang and Stacey Weber Jackson}, title = {Editorial}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {1}, pages = {1--20}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2638578}, doi = {10.1109/TVLSI.2016.2638578}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChakrabartyABBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/MaCTCH17, author = {Yi{-}Wei Ma and Jiann{-}Liang Chen and Yao{-}Hong Tsai and Kui{-}He Cheng and Wen{-}Chien Hung}, title = {Load-Balancing Multiple Controllers Mechanism for Software-Defined Networking}, journal = {Wirel. Pers. Commun.}, volume = {94}, number = {4}, pages = {3549--3574}, year = {2017}, url = {https://doi.org/10.1007/s11277-016-3790-y}, doi = {10.1007/S11277-016-3790-Y}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/MaCTCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LyuCDWQLWKHCCAB17, author = {Siwei Lyu and Ming{-}Ching Chang and Dawei Du and Longyin Wen and Honggang Qi and Yuezun Li and Yi Wei and Lipeng Ke and Tao Hu and Marco Del Coco and Pierluigi Carcagn{\`{\i}} and Dmitriy Anisimov and Erik Bochinski and Fabio Galasso and Filiz Bunyak and Guang Han and Hao Ye and Hong Wang and Kannappan Palaniappan and Koray Ozcan and Li Wang and Liang Wang and Martin Lauer and Nattachai Watcharapinchai and Nenghui Song and Noor M. Al{-}Shakarji and Shuo Wang and Sikandar Amin and Sitapa Rujikietgumjorn and Tatiana Khanova and Thomas Sikora and Tino Kutschbach and Volker Eiselein and Wei Tian and Xiangyang Xue and Xiaoyi Yu and Yao Lu and Yingbin Zheng and Yongzhen Huang and Yuqi Zhang}, title = {{UA-DETRAC} 2017: Report of {AVSS2017} {\&} {IWT4S} Challenge on Advanced Traffic Monitoring}, booktitle = {14th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2017, Lecce, Italy, August 29 - September 1, 2017}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AVSS.2017.8078560}, doi = {10.1109/AVSS.2017.8078560}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/LyuCDWQLWKHCCAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhouYWWZHL17, author = {Xinyu Zhou and Cong Yao and He Wen and Yuzhi Wang and Shuchang Zhou and Weiran He and Jiajun Liang}, title = {{EAST:} An Efficient and Accurate Scene Text Detector}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {2642--2651}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPR.2017.283}, doi = {10.1109/CVPR.2017.283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhouYWWZHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsaa/TangOCCLP17, author = {Muchen Tang and Serene Ow and Wenqing Chen and Yang Cao and Kong{-}wei Lye and Yaozhang Pan}, title = {The Data and Science behind GrabShare Carpooling}, booktitle = {2017 {IEEE} International Conference on Data Science and Advanced Analytics, {DSAA} 2017, Tokyo, Japan, October 19-21, 2017}, pages = {405--411}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/DSAA.2017.68}, doi = {10.1109/DSAA.2017.68}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dsaa/TangOCCLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/YenYCLCCTH17, author = {Tien{-}Ming Yen and Chih{-}Yuan Yao and Hung{-}Kung Chu and Yu{-}Chi Lai and Pe{-}Ying Chiang and Hsiao{-}Chin Chen and Wei{-}Chung Teng and Ya{-}Wen Hsu}, title = {Real-time streaming of 16K video for display wall on {CUDA}}, booktitle = {{IEEE} 6th Global Conference on Consumer Electronics, {GCCE} 2017, Nagoya, Japan, October 24-27, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GCCE.2017.8229294}, doi = {10.1109/GCCE.2017.8229294}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/YenYCLCCTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuWHC17, author = {Che{-}Yuan Hu and Yung{-}Shun Wang and Y.{-}W. Peter Hong and Wen{-}Tsuen Chen}, title = {{MMSE} Hybrid Beamforming for Weighted Sum Rate Maximization in {NOMA} Systems}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254539}, doi = {10.1109/GLOCOM.2017.8254539}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HuWHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangCC17, author = {Sheng{-}Wei Yang and Yao{-}Wen Chang and Tung{-}Chieh Chen}, editor = {Sri Parameswaran}, title = {Blockage-aware terminal propagation for placement wirelength minimization}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {73--80}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203762}, doi = {10.1109/ICCAD.2017.8203762}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YangCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/ChenCLYZLJ17, author = {Wenhao Chen and Yi Cai and Kin Keung Lai and Li Yao and Jun Zhang and Jingjing Li and Xingdong Jia}, editor = {Haoran Xie and Elvira Popescu and Gerhard P. Hancke and Baltasar Fern{\'{a}}ndez{-}Manj{\'{o}}n}, title = {WeiboFinder: {A} Topic-Based Chinese Word Finding and Learning System}, booktitle = {Advances in Web-Based Learning - {ICWL} 2017 - 16th International Conference, Cape Town, South Africa, September 20-22, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10473}, pages = {33--42}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-66733-1\_4}, doi = {10.1007/978-3-319-66733-1\_4}, timestamp = {Sun, 26 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icwl/ChenCLYZLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/ZhouLPZWXY17, author = {Wei Zhou and Ling Liu and Calton Pu and Tao Zhu and Qingyang Wang and Wenkun Xiang and Shaowen Yao}, editor = {Ilkay Altintas and Shiping Chen}, title = {An Experimental Study of a Biosequence Big Data Analysis Service}, booktitle = {2017 {IEEE} International Conference on Web Services, {ICWS} 2017, Honolulu, HI, USA, June 25-30, 2017}, pages = {237--244}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICWS.2017.38}, doi = {10.1109/ICWS.2017.38}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icws/ZhouLPZWXY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LaiLY0WC17, author = {Jingang Lai and Xiaoqing Lu and Xinghuo Yu and Wei Yao and Jinyu Wen and Shijie Cheng}, title = {Distributed voltage control for {DC} mircogrids with coupling delays {\&} noisy disturbances}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {2461--2466}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8216414}, doi = {10.1109/IECON.2017.8216414}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LaiLY0WC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/WengHK17, author = {Chi{-}Yao Weng and Cheng{-}Ta Huang and Hung{-}Wei Kao}, editor = {Jeng{-}Shyang Pan and Pei{-}Wei Tsai and Junzo Watada and Lakhmi C. Jain}, title = {DCT-Based Compressed Image with Reversibility Using Modified Quantization}, booktitle = {Advances in Intelligent Information Hiding and Multimedia Signal Processing - Proceedings of the Thirteenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2017, August, 12-15, 2017, Matsue, Shimane, Japan, Part {I}}, series = {Smart Innovation, Systems and Technologies}, volume = {81}, pages = {214--221}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63856-0\_27}, doi = {10.1007/978-3-319-63856-0\_27}, timestamp = {Sun, 25 Oct 2020 22:36:27 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/WengHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYSLDJJ17, author = {Chun{-}Yi Liu and Yu{-}Cheng Yao and Meng{-}Siou Sie and Edmund Wen Jen Leong and Henry Lopez Davila and Chih{-}Wei Jen and Shyh{-}Jye Jou}, title = {Residual sampling clocking offset estimation and compensation for {FBMC-OQAM} baseband receiver in the 60 GHz band}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050817}, doi = {10.1109/ISCAS.2017.8050817}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYSLDJJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kmo/HeQZY17, author = {Libo He and Zhenping Qiang and Wei Zhou and Shaowen Yao}, editor = {Lorna Uden and Wei Lu and I{-}Hsien Ting}, title = {A Review of Resource Scheduling in Large-Scale Server Cluster}, booktitle = {Knowledge Management in Organizations - 12th International Conference, {KMO} 2017, Beijing, China, August 21-24, 2017, Proceedings}, series = {Communications in Computer and Information Science}, volume = {731}, pages = {494--505}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-62698-7\_41}, doi = {10.1007/978-3-319-62698-7\_41}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kmo/HeQZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/WeiZY0T17, author = {Longhui Wei and Shiliang Zhang and Hantao Yao and Wen Gao and Qi Tian}, editor = {Qiong Liu and Rainer Lienhart and Haohong Wang and Sheng{-}Wei "Kuan{-}Ta" Chen and Susanne Boll and Yi{-}Ping Phoebe Chen and Gerald Friedland and Jia Li and Shuicheng Yan}, title = {{GLAD:} Global-Local-Alignment Descriptor for Pedestrian Retrieval}, booktitle = {Proceedings of the 2017 {ACM} on Multimedia Conference, {MM} 2017, Mountain View, CA, USA, October 23-27, 2017}, pages = {420--428}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123266.3123279}, doi = {10.1145/3123266.3123279}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/WeiZY0T17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/WuYHH17, author = {Huei{-}Wen Wu and Wei{-}Yu Yao and Yi{-}Hsing Hsiao and Chia{-}Hsien Hsu}, title = {High-throughput formation and long-term culture of embryoid bodies using a microfluidic hanging drop chip}, booktitle = {12th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2017, Los Angeles, CA, USA, April 9-12, 2017}, pages = {351--354}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NEMS.2017.8017040}, doi = {10.1109/NEMS.2017.8017040}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/WuYHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/XuDLWW17, author = {Han Xu and Wangzhi Dai and Yaoping Liu and Wengang Wu and Wei Wang}, title = {An annealing process for mechanical strength improvement of Parylene micropore-arrayed membrane}, booktitle = {12th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2017, Los Angeles, CA, USA, April 9-12, 2017}, pages = {665--668}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NEMS.2017.8017109}, doi = {10.1109/NEMS.2017.8017109}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nems/XuDLWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/TangYZOTYWCLW17, author = {Shibin Tang and Shouyi Yin and Shixuan Zheng and Peng Ouyang and Fengbin Tu and Leiyue Yao and JinZhou Wu and Wenming Cheng and Leibo Liu and Shaojun Wei}, title = {{AEPE:} An area and power efficient {RRAM} crossbar-based accelerator for deep CNNs}, booktitle = {{IEEE} 6th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2017, Hsinchu, Taiwan, August 16-18, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NVMSA.2017.8064475}, doi = {10.1109/NVMSA.2017.8064475}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/TangYZOTYWCLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LinY0T17, author = {Chuang Lin and Hongxun Yao and Wei Yu and Wenbo Tang}, editor = {Bing Zeng and Qingming Huang and Abdulmotaleb El{-}Saddik and Hongliang Li and Shuqiang Jiang and Xiaopeng Fan}, title = {Multi-level Semantic Representation for Flower Classification}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2017 - 18th Pacific-Rim Conference on Multimedia, Harbin, China, September 28-29, 2017, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10735}, pages = {325--335}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-77380-3\_31}, doi = {10.1007/978-3-319-77380-3\_31}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pcm/LinY0T17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/TangYS017, author = {Wenbo Tang and Hongxun Yao and Xiaoshuai Sun and Wei Yu}, editor = {Bing Zeng and Qingming Huang and Abdulmotaleb El{-}Saddik and Hongliang Li and Shuqiang Jiang and Xiaopeng Fan}, title = {Multi-scale Discriminative Patches for Fined-Grained Visual Categorization}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2017 - 18th Pacific-Rim Conference on Multimedia, Harbin, China, September 28-29, 2017, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10735}, pages = {712--721}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-77380-3\_68}, doi = {10.1007/978-3-319-77380-3\_68}, timestamp = {Fri, 11 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pcm/TangYS017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/YaoRYJZ17, author = {Wenyan Yao and Na Ruan and Feifan Yu and Weijia Jia and Haojin Zhu}, title = {Privacy-Preserving Fraud Detection via Cooperative Mobile Carriers with Improved Accuracy}, booktitle = {14th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2017, San Diego, CA, USA, June 12-14, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SAHCN.2017.7964943}, doi = {10.1109/SAHCN.2017.7964943}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/secon/YaoRYJZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhouYWWZHL17, author = {Xinyu Zhou and Cong Yao and He Wen and Yuzhi Wang and Shuchang Zhou and Weiran He and Jiajun Liang}, title = {{EAST:} An Efficient and Accurate Scene Text Detector}, journal = {CoRR}, volume = {abs/1704.03155}, year = {2017}, url = {http://arxiv.org/abs/1704.03155}, eprinttype = {arXiv}, eprint = {1704.03155}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ZhouYWWZHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-04329, author = {Longhui Wei and Shiliang Zhang and Hantao Yao and Wen Gao and Qi Tian}, title = {{GLAD:} Global-Local-Alignment Descriptor for Pedestrian Retrieval}, journal = {CoRR}, volume = {abs/1709.04329}, year = {2017}, url = {http://arxiv.org/abs/1709.04329}, eprinttype = {arXiv}, eprint = {1709.04329}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-04329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-04511, author = {Yaodong Yang and Lantao Yu and Yiwei Bai and Jun Wang and Weinan Zhang and Ying Wen and Yong Yu}, title = {An Empirical Study of {AI} Population Dynamics with Million-agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/1709.04511}, year = {2017}, url = {http://arxiv.org/abs/1709.04511}, eprinttype = {arXiv}, eprint = {1709.04511}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-04511.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeWHHLW16, author = {Shih{-}Wei Lee and Lawrence Shih{-}Hsin Wu and Guan{-}Mau Huang and Kai{-}Yao Huang and Tzong{-}Yi Lee and Julia Tzu{-}Ya Weng}, title = {Gene expression profiling identifies candidate biomarkers for active and latent tuberculosis}, journal = {{BMC} Bioinform.}, volume = {17}, number = {{S-1}}, pages = {3}, year = {2016}, url = {https://doi.org/10.1186/s12859-015-0848-x}, doi = {10.1186/S12859-015-0848-X}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeWHHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/IqbalHNCLSYWHHC16, author = {Usman Iqbal and Chun{-}Kung Hsu and Phung{-}Anh (Alex) Nguyen and Daniel Livius Clinciu and Richard Lu and Syed Abdul Shabbir and Hsuan{-}Chia Yang and Yao{-}Chin Wang and Chu{-}Ya Huang and Chih{-}Wei Huang and Yo{-}Cheng Chang and Min{-}Huei Hsu and Wen{-}Shan Jian and Yu{-}Chuan (Jack) Li}, title = {Cancer-disease associations: {A} visualization and animation through medical big data}, journal = {Comput. Methods Programs Biomed.}, volume = {127}, pages = {44--51}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.01.009}, doi = {10.1016/J.CMPB.2016.01.009}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/IqbalHNCLSYWHHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gsta/YaoCG16, author = {Tianxiang Yao and Wenrong Cheng and Hong Gao}, title = {The natural disaster damage assessment of Sichuan province based on grey fixed-weight cluster}, journal = {Grey Syst. Theory Appl.}, volume = {6}, number = {3}, pages = {415--425}, year = {2016}, url = {https://doi.org/10.1108/GS-08-2016-0019}, doi = {10.1108/GS-08-2016-0019}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gsta/YaoCG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijoe/HeQZY16, author = {Libo He and Zhenping Qiang and Wei Zhou and Shaowen Yao}, title = {Multi Attribute {D-S} Evidence Theory Based {OCC} for Shared-State Scheduling in Large Scale Cluster}, journal = {Int. J. Online Eng.}, volume = {12}, number = {12}, pages = {43--48}, year = {2016}, url = {https://www.online-journals.org/index.php/i-joe/article/view/6457}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijoe/HeQZY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LinTCK16, author = {Wei{-}Chao Lin and Chih{-}Fong Tsai and Zong{-}Yao Chen and Shih{-}Wen Ke}, title = {Keypoint selection for efficient bag-of-words feature generation and effective image classification}, journal = {Inf. Sci.}, volume = {329}, pages = {33--51}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2015.08.021}, doi = {10.1016/J.INS.2015.08.021}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LinTCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ZhaoCLXCSZY16, author = {Shang{-}min Zhao and Wei{-}ming Cheng and Hai{-}jiang Liu and Yao Xia and Hui{-}xia Chai and Yang Song and Wenjie Zhang and Tian You}, title = {Land Use Transformation Rule Analysis in Beijing-Tianjin-Tangshan Region Using Remote Sensing and {GIS} Technology}, journal = {J. Sensors}, volume = {2016}, pages = {6756295:1--6756295:10}, year = {2016}, url = {https://doi.org/10.1155/2016/6756295}, doi = {10.1155/2016/6756295}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ZhaoCLXCSZY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/ChenLTK16, author = {Zong{-}Yao Chen and Wei{-}Chao Lin and Chih{-}Fong Tsai and Shih{-}Wen Ke}, title = {3D model retrieval by sample based alignment}, journal = {J. Vis. Commun. Image Represent.}, volume = {40}, pages = {721--731}, year = {2016}, url = {https://doi.org/10.1016/j.jvcir.2016.08.017}, doi = {10.1016/J.JVCIR.2016.08.017}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/ChenLTK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuSLYDJLJ16, author = {Chun{-}Yi Liu and Meng{-}Siou Sie and Edmund Wen Jen Leong and Yu{-}Cheng Yao and Henry Lopez Davila and Chih{-}Wei Jen and Wei{-}Chang Liu and Shyh{-}Jye Jou}, title = {An 8X-Parallelism Memory Access Reordering Polyphase Network for 60 GHz {FBMC-OQAM} Baseband Receiver}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {12}, pages = {2347--2356}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2622759}, doi = {10.1109/TCSI.2016.2622759}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiuSLYDJLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tweb/WuMSZC16, author = {Wensheng Wu and Weiyi Meng and Weifeng Su and Guangyou Zhou and Yao{-}Yi Chiang}, title = {{Q2P:} Discovering Query Templates via Autocompletion}, journal = {{ACM} Trans. Web}, volume = {10}, number = {2}, pages = {10:1--10:29}, year = {2016}, url = {https://doi.org/10.1145/2873061}, doi = {10.1145/2873061}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tweb/WuMSZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigmm/ThangHCCSH16, author = {Dang Duy Thang and Shintami Chusnul Hidayati and Yung{-}Yao Chen and Wen{-}Huang Cheng and Shih{-}Wei Sun and Kai{-}Lung Hua}, title = {A Spatial-Pyramid Scene Categorization Algorithm based on Locality-aware Sparse Coding}, booktitle = {{IEEE} Second International Conference on Multimedia Big Data, BigMM 2016, Taipei, Taiwan, April 20-22, 2016}, pages = {342--345}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BigMM.2016.93}, doi = {10.1109/BIGMM.2016.93}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigmm/ThangHCCSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LiWZSLHWGZMAVPL16, author = {Wei Li and Yiyan Wu and Liang Zhang and Khalil Salehian and Sebastien Lafleche and Dazhi He and Yao Wang and Yunfeng Guan and Wenjun Zhang and Jon Montalban and Pablo Angueira and Manuel V{\'{e}}lez and Sung Ik Park and Jae{-}Young Lee and Heung Mook Kim}, title = {Using {LDM} to achieve seamless local service coverage in {SFN} environment}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2016, Nara, Japan, June 1-3, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BMSB.2016.7521967}, doi = {10.1109/BMSB.2016.7521967}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/LiWZSLHWGZMAVPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZSYLB16, author = {Zheng Zhang and Chengquan Zhang and Wei Shen and Cong Yao and Wenyu Liu and Xiang Bai}, title = {Multi-oriented Text Detection with Fully Convolutional Networks}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2016, Las Vegas, NV, USA, June 27-30, 2016}, pages = {4159--4167}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPR.2016.451}, doi = {10.1109/CVPR.2016.451}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZSYLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPCVHL16, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin and Tom{\'{a}}s Voj{\'{\i}}r and Gustav H{\"{a}}ger and Alan Lukezic and Gustavo Fern{\'{a}}ndez and Abhinav Gupta and Alfredo Petrosino and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andr{\'{e}}s Sol{\'{\i}}s Montero and Andrea Vedaldi and Andreas Robinson and Andy Jinhua Ma and Anton Varfolomieiev and A. Aydin Alatan and Aykut Erdem and Bernard Ghanem and Bin Liu and Bohyung Han and Brais Mart{\'{\i}}nez and Chang{-}Ming Chang and Changsheng Xu and Chong Sun and Daijin Kim and Dapeng Chen and Dawei Du and Deepak Mishra and Dit{-}Yan Yeung and Erhan Gundogdu and Erkut Erdem and Fahad Shahbaz Khan and Fatih Porikli and Fei Zhao and Filiz Bunyak and Francesco Battistone and Gao Zhu and Giorgio Roffo and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Guna Seetharaman and Henry Medeiros and Hongdong Li and Honggang Qi and Horst Bischof and Horst Possegger and Huchuan Lu and Hyemin Lee and Hyeonseob Nam and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jae{-}chan Jeong and Jaeil Cho and Jae{-}Yeong Lee and Jianke Zhu and Jiayi Feng and Jin Gao and Jin Young Choi and Jingjing Xiao and Ji{-}Wan Kim and Jiyeoup Jeong and Jo{\~{a}}o F. Henriques and Jochen Lang and Jongwon Choi and Jos{\'{e}} M. Mart{\'{\i}}nez and Junliang Xing and Junyu Gao and Kannappan Palaniappan and Karel Lebeda and Ke Gao and Krystian Mikolajczyk and Lei Qin and Lijun Wang and Longyin Wen and Luca Bertinetto and Madan Kumar Rapuru and Mahdieh Poostchi and Mario Edoardo Maresca and Martin Danelljan and Matthias Mueller and Mengdan Zhang and Michael Arens and Michel F. Valstar and Ming Tang and Mooyeol Baek and Muhammad Haris Khan and Naiyan Wang and Nana Fan and Noor Al{-}Shakarji and Ondrej Miksik and Osman Akin and Payman Moallem and Pedro Senna and Philip H. S. Torr and Pong C. Yuen and Qingming Huang and Rafael Martin Nieto and Rengarajan Pelapur and Richard Bowden and Robert Lagani{\`{e}}re and Rustam Stolkin and Ryan Walsh and Sebastian Bernd Krah and Shengkun Li and Shengping Zhang and Shizeng Yao and Simon Hadfield and Simone Melzi and Siwei Lyu and Siyi Li and Stefan Becker and Stuart Golodetz and Sumithra Kakanuru and Sunglok Choi and Tao Hu and Thomas Mauthner and Tianzhu Zhang and Tony P. Pridmore and Vincenzo Santopietro and Weiming Hu and Wenbo Li and Wolfgang H{\"{u}}bner and Xiangyuan Lan and Xiaomeng Wang and Xin Li and Yang Li and Yiannis Demiris and Yifan Wang and Yuankai Qi and Zejian Yuan and Zexiong Cai and Zhan Xu and Zhenyu He and Zhizhen Chi}, editor = {Gang Hua and Herv{\'{e}} J{\'{e}}gou}, title = {The Visual Object Tracking {VOT2016} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2016 Workshops - Amsterdam, The Netherlands, October 8-10 and 15-16, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9914}, pages = {777--823}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48881-3\_54}, doi = {10.1007/978-3-319-48881-3\_54}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPCVHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarm/ZhangHLWLFZ16, author = {Yao Zhang and Wensheng Hou and Hongyan Luo and Xiaoying Wu and Yanjian Liao and Xingyu Fan and Xiaolin Zheng}, title = {The impact of sEMG feature weight on the recognition of similar grasping gesture}, booktitle = {2016 International Conference on Advanced Robotics and Mechatronics, {ICARM} 2016, Macau, China, August 18-20, 2016}, pages = {260--265}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICARM.2016.7606929}, doi = {10.1109/ICARM.2016.7606929}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icarm/ZhangHLWLFZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/WeiYWX16, author = {Yao{-}Wen Wei and Jing{-}Wen Yi and Yan{-}Wu Wang and Jiang{-}Wen Xiao}, title = {Assignment-driven multi-consensus in second-order multi-agent systems via impulsive control with heterogeneous delays}, booktitle = {12th {IEEE} International Conference on Control and Automation, {ICCA} 2016, Kathmandu, Nepal, June 1-3, 2016}, pages = {553--558}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCA.2016.7505335}, doi = {10.1109/ICCA.2016.7505335}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icca/WeiYWX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/HeQ0ZY16, author = {Libo He and Zhenping Qiang and Lin Liu and Wei Zhou and Shaowen Yao}, editor = {Xingming Sun and Alex X. Liu and Han{-}Chieh Chao and Elisa Bertino}, title = {A Conflict Prevention Scheduling Strategy for Shared-State Scheduling in Large Scale Cluster}, booktitle = {Cloud Computing and Security - Second International Conference, {ICCCS} 2016, Nanjing, China, July 29-31, 2016, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10039}, pages = {240--250}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48671-0\_22}, doi = {10.1007/978-3-319-48671-0\_22}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccsec/HeQ0ZY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciip/HeYZ16, author = {Libo He and Shaowen Yao and Wei Zhou}, title = {An extended fine-grained conflict detection method for shared-state scheduling in large scale cluster}, booktitle = {Proceedings of the 2016 International Conference on Intelligent Information Processing, {ICIIP} 2016, Wuhan, China, December 23-25, 2016}, pages = {29}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3028871}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciip/HeYZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/LuoXTL16, author = {Wenchang Luo and Yao Xu and Weitian Tong and Guohui Lin}, editor = {Seok{-}Hee Hong}, title = {Single Machine Scheduling with Job-Dependent Machine Deterioration}, booktitle = {27th International Symposium on Algorithms and Computation, {ISAAC} 2016, December 12-14, 2016, Sydney, Australia}, series = {LIPIcs}, volume = {64}, pages = {55:1--55:13}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2016}, url = {https://doi.org/10.4230/LIPIcs.ISAAC.2016.55}, doi = {10.4230/LIPICS.ISAAC.2016.55}, timestamp = {Thu, 27 Apr 2023 14:57:19 +0200}, biburl = {https://dblp.org/rec/conf/isaac/LuoXTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSLYJJ16, author = {Chun{-}Yi Liu and Meng{-}Siou Sie and Edmund Wen Jen Leong and Yu{-}Cheng Yao and Chih{-}Wei Jen and Shyh{-}Jye Jou}, title = {A memory access reordering polyphase network for 60 GHz {FBMC-OQAM} baseband receiver}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2655--2658}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539139}, doi = {10.1109/ISCAS.2016.7539139}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuSLYJJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LiuMRTOSWCX16, author = {Weibing Liu and Anjie Ming and Yaohui Ren and Qiulin Tan and Wen Ou and Xilong Sun and Weibing Wang and Dapeng Chen and Jijun Xiong}, title = {{CMOS} {MEMS} infrared source based on black silicon}, booktitle = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, pages = {200--204}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEMS.2016.7758232}, doi = {10.1109/NEMS.2016.7758232}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/nems/LiuMRTOSWCX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LuoXTL16, author = {Wenchang Luo and Yao Xu and Weitian Tong and Guohui Lin}, title = {Single machine scheduling with job-dependent machine deterioration}, journal = {CoRR}, volume = {abs/1606.04157}, year = {2016}, url = {http://arxiv.org/abs/1606.04157}, eprinttype = {arXiv}, eprint = {1606.04157}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LuoXTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangZSYLB16, author = {Zheng Zhang and Chengquan Zhang and Wei Shen and Cong Yao and Wenyu Liu and Xiang Bai}, title = {Multi-Oriented Text Detection with Fully Convolutional Networks}, journal = {CoRR}, volume = {abs/1604.04018}, year = {2016}, url = {http://arxiv.org/abs/1604.04018}, eprinttype = {arXiv}, eprint = {1604.04018}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangZSYLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/ChenLKT15, author = {Zong{-}Yao Chen and Wei{-}Chao Lin and Shih{-}Wen Ke and Chih{-}Fong Tsai}, title = {Evolutionary feature and instance selection for traffic sign recognition}, journal = {Comput. Ind.}, volume = {74}, pages = {201--211}, year = {2015}, url = {https://doi.org/10.1016/j.compind.2015.08.007}, doi = {10.1016/J.COMPIND.2015.08.007}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/ChenLKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/ChengHWZAZZZQLC15, author = {Hai{-}Xia Cheng and Yao{-}Wen Hu and Xiao{-}Xu Wang and Guo{-}Hua Zhang and Zhi{-}Wei An and Zhen{-}Feng Zhang and Biao Zhang and Tao Zhou and Ping Qian and Ying Liu and Nan{-}Xian Chen}, title = {The phase stability, magnetic and vibrational properties of A\({}_{\mbox{2}}\)Ni\({}_{\mbox{21}}\)B\({}_{\mbox{6}}\) (A=Th, {U)} and Ce\({}_{\mbox{3}}\)Pd\({}_{\mbox{20}}\)Si\({}_{\mbox{6}}\)}, journal = {Comput. Phys. Commun.}, volume = {193}, pages = {72--77}, year = {2015}, url = {https://doi.org/10.1016/j.cpc.2015.04.001}, doi = {10.1016/J.CPC.2015.04.001}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/ChengHWZAZZZQLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/ZhaoXTWHJLCKLSJ15, author = {Kang Zhao and Yao Xie and Kwok{-}Leung Tsui and Qingming Wei and Wenpo Huang and Wei Jiang and Yanting Li and Su Gon Cho and Seoung Bum Kim and Kaibo Liu and Jianjun Shi and Young{-}Seon Jeong and Byunghoon Kim and Seung Hoon Tong and In{-}Kap Chang and Myong Kee Jeong and Florent Charruaud and Lishuai Li}, title = {System Informatics: From Methodology to Applications}, journal = {{IEEE} Intell. Syst.}, volume = {30}, number = {6}, pages = {12--29}, year = {2015}, url = {https://doi.org/10.1109/MIS.2015.111}, doi = {10.1109/MIS.2015.111}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/ZhaoXTWHJLCKLSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cvi/ChenYHKA15, author = {Chung{-}Hao Chen and Yi Yao and Wei{-}Wen Hsu and Andreas F. Koschan and Mongi A. Abidi}, title = {Continuous camera placement using multiple objective optimisation process}, journal = {{IET} Comput. Vis.}, volume = {9}, number = {3}, pages = {340--353}, year = {2015}, url = {https://doi.org/10.1049/iet-cvi.2014.0021}, doi = {10.1049/IET-CVI.2014.0021}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-cvi/ChenYHKA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LinCKTL15, author = {Wei{-}Chao Lin and Zong{-}Yao Chen and Shih{-}Wen Ke and Chih{-}Fong Tsai and Wei{-}Yang Lin}, title = {The effect of low-level image features on pseudo relevance feedback}, journal = {Neurocomputing}, volume = {166}, pages = {26--37}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2015.04.037}, doi = {10.1016/J.NEUCOM.2015.04.037}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LinCKTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/XiaLYMYZ15, author = {Min Xia and Weitao Lu and Jun Yang and Ying Ma and Wen Yao and Zichen Zheng}, title = {A hybrid method based on extreme learning machine and k-nearest neighbor for cloud classification of ground-based visible cloud image}, journal = {Neurocomputing}, volume = {160}, pages = {238--249}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2015.02.022}, doi = {10.1016/J.NEUCOM.2015.02.022}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/XiaLYMYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwet/DongHYZ15, author = {Yunyun Dong and Jing He and Shaowen Yao and Wei Zhou}, title = {The skip-octree: a dynamic cloud storage index framework for multidimensional big data systems}, journal = {Int. J. Web Eng. Technol.}, volume = {10}, number = {4}, pages = {393--407}, year = {2015}, url = {https://doi.org/10.1504/IJWET.2015.073952}, doi = {10.1504/IJWET.2015.073952}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwet/DongHYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwet/HsuWC15, author = {Wei{-}Chih Hsu and Pao{-}Yao Wang and Chuan{-}Wen Chiang}, title = {An approach to trading valuable points in a virtual wealth exchange model for closed virtual communities}, journal = {Int. J. Web Eng. Technol.}, volume = {10}, number = {3}, pages = {291--308}, year = {2015}, url = {https://doi.org/10.1504/IJWET.2015.072354}, doi = {10.1504/IJWET.2015.072354}, timestamp = {Thu, 11 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwet/HsuWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/LiuLWZZSY15, author = {Wen{-}qian Liu and Jun Liu and Meng Wang and Qinghua Zheng and Wei Zhang and Lingyun Song and Siyu Yao}, title = {Faceted fusion of {RDF} data}, journal = {Inf. Fusion}, volume = {23}, pages = {16--24}, year = {2015}, url = {https://doi.org/10.1016/j.inffus.2014.06.005}, doi = {10.1016/J.INFFUS.2014.06.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/LiuLWZZSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/YaoR15, author = {Wenqi Yao and Weiqing Ren}, title = {Noise-induced transition in barotropic flow over topography and application to Kuroshio}, journal = {J. Comput. Phys.}, volume = {300}, pages = {352--364}, year = {2015}, url = {https://doi.org/10.1016/j.jcp.2015.07.059}, doi = {10.1016/J.JCP.2015.07.059}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/YaoR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/LiWYWJBBS15, author = {Zhen Li and Zhiqiang Wei and Yaofeng Yue and Hao Wang and Wenyan Jia and Lora E. Burke and Thomas Baranowski and Mingui Sun}, title = {An Adaptive Hidden Markov Model for Activity Recognition Based on a Wearable Multi-Sensor Device}, journal = {J. Medical Syst.}, volume = {39}, number = {5}, pages = {57}, year = {2015}, url = {https://doi.org/10.1007/s10916-015-0239-x}, doi = {10.1007/S10916-015-0239-X}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/LiWYWJBBS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/TianYZW15, author = {Hongyuan Tian and Yindi Yao and Wenxiu Zheng and Hongwei Wang}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{20998}}{\unicode{24418}}{\unicode{21152}}{\unicode{26435}}{\unicode{32500}}{\unicode{25968}}{\unicode{30340}}PUE{\unicode{25915}}{\unicode{20987}}{\unicode{29992}}{\unicode{25143}}{\unicode{26816}}{\unicode{27979}}{\unicode{26041}}{\unicode{27861}} (Method of {PUE} Attack User Detection by Weighted Fractal Dimension)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {42}, number = {9}, pages = {151--153}, year = {2015}, url = {https://doi.org/10.11896/j.issn.1002-137X.2015.09.029}, doi = {10.11896/J.ISSN.1002-137X.2015.09.029}, timestamp = {Mon, 27 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsjkx/TianYZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mms/WeiJJZNZ15, author = {Shikui Wei and Su Jiang and Wenxian Jin and Yao Zhao and Rongrong Ni and Zhenfeng Zhu}, title = {Redundancy filtering and fusion verification for video copy detection}, journal = {Multim. Syst.}, volume = {21}, number = {2}, pages = {207--216}, year = {2015}, url = {https://doi.org/10.1007/s00530-014-0398-5}, doi = {10.1007/S00530-014-0398-5}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mms/WeiJJZNZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ZhaoYOYWLXCX15, author = {Hu Zhao and Wen Yao and Yidan Ouyang and Wanneng Yang and Gongwei Wang and Xingming Lian and Yongzhong Xing and Lingling Chen and Weibo Xie}, title = {RiceVarMap: a comprehensive database of rice genomic variations}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Database-Issue}, pages = {1018--1022}, year = {2015}, url = {https://doi.org/10.1093/nar/gku894}, doi = {10.1093/NAR/GKU894}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ZhaoYOYWLXCX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaLLKY15, author = {Cheng{-}Wen Ma and Fu{-}Wei Lee and Hsin{-}Hung Liao and Wen{-}Cheng Kuo and Yao{-}Joe Yang}, title = {Low-Actuation Voltage {MEMS} Digital-to-Analog Converter with Parylene Spring Structures}, journal = {Sensors}, volume = {15}, number = {9}, pages = {21567--21580}, year = {2015}, url = {https://doi.org/10.3390/s150921567}, doi = {10.3390/S150921567}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MaLLKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/ChenTELK15, author = {Zong{-}Yao Chen and Chih{-}Fong Tsai and William Eberle and Wei{-}Chao Lin and Shih{-}Wen Ke}, title = {Instance selection by genetic-based biological algorithm}, journal = {Soft Comput.}, volume = {19}, number = {5}, pages = {1269--1282}, year = {2015}, url = {https://doi.org/10.1007/s00500-014-1339-0}, doi = {10.1007/S00500-014-1339-0}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/ChenTELK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/YaoJWWC15, author = {Wei Yao and Lin Jiang and Jinyu Wen and Qinghua Wu and Shijie Cheng}, title = {Wide-Area Damping Controller for Power System Interarea Oscillations: {A} Networked Predictive Control Approach}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {23}, number = {1}, pages = {27--36}, year = {2015}, url = {https://doi.org/10.1109/TCST.2014.2311852}, doi = {10.1109/TCST.2014.2311852}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/YaoJWWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/WenZHMY15, author = {Shiping Wen and Zhigang Zeng and Tingwen Huang and Qinggang Meng and Wei Yao}, title = {Lag Synchronization of Switched Neural Networks via Neural Activation Function and Applications in Image Encryption}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {26}, number = {7}, pages = {1493--1502}, year = {2015}, url = {https://doi.org/10.1109/TNNLS.2014.2387355}, doi = {10.1109/TNNLS.2014.2387355}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/WenZHMY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/AnCW15, author = {Ji{-}yao An and Weihong Chen and Guilin Wen}, title = {Improved delay-fractional-dependent stability criteria for systems with nonlinear perturbations}, booktitle = {2015 {IEEE} Conference on Control Applications, {CCA} 2015, Sydney, Australia, September 21-23, 2015}, pages = {563--568}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CCA.2015.7320689}, doi = {10.1109/CCA.2015.7320689}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/AnCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/WeiHR15, author = {Ruey{-}Yi Wei and Wen{-}Yao Hsu and James A. Ritcey}, title = {Differential encoding of 16APSK for {BICM-ID}}, booktitle = {21st Asia-Pacific Conference on Communications, {APCC} 2015, Kyoto, Japan, October 14-16, 2015}, pages = {637--641}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APCC.2015.7412585}, doi = {10.1109/APCC.2015.7412585}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/apcc/WeiHR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YanZEWZC15, author = {Bonan Yan and Yaojun Zhang and Enes Eken and Wujie Wen and Weisheng Zhao and Yiran Chen}, title = {Recent progresses of {STT} memory design and applications}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517038}, doi = {10.1109/ASICON.2015.7517038}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YanZEWZC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/WuPLKJ15, author = {Hsien{-}Tsai Wu and Wen{-}Yao Pan and Chun{-}Wei Liu and Hann{-}Chorng Kuo and Yuan{-}Hong Jiang}, editor = {Li Bai and Lipo Wang and Liangshan Shao and Jinguang Sun and Zhiyong Tao and Sen Lin}, title = {Multiscale entropy analysis of surface electromyographic signals as a prognostic indicator for subtle functional impairment of urethral sphincter}, booktitle = {8th International Conference on Biomedical Engineering and Informatics, {BMEI} 2015, Shenyang, China, October 14-16, 2015}, pages = {205--208}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BMEI.2015.7401501}, doi = {10.1109/BMEI.2015.7401501}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/WuPLKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangLLYCCC15, author = {Chau{-}Chin Huang and Hsin{-}Ying Lee and Bo{-}Qiao Lin and Sheng{-}Wei Yang and Chin{-}Hao Chang and Szu{-}To Chen and Yao{-}Wen Chang}, editor = {Diana Marculescu and Frank Liu}, title = {Detailed-Routability-Driven Analytical Placement for Mixed-Size Designs with Technology and Region Constraints}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {508--513}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372612}, doi = {10.1109/ICCAD.2015.7372612}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HuangLLYCCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinFCRK15, author = {Zhi{-}Wen Lin and Shao{-}Yun Fang and Yao{-}Wen Chang and Wei{-}Cheng Rao and Chieh{-}Hsiung Kuan}, editor = {Diana Marculescu and Frank Liu}, title = {Provably Good Max-Min-m-neighbor-TSP-Based Subfield Scheduling for Electron-Beam Photomask Fabrication}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {388--395}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372596}, doi = {10.1109/ICCAD.2015.7372596}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinFCRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/YaoWSRZ15, author = {Lina Yao and Xianzhi Wang and Quan Z. Sheng and Wenjie Ruan and Wei Zhang}, editor = {John A. Miller and Hong Zhu}, title = {Service Recommendation for Mashup Composition with Implicit Correlation Regularization}, booktitle = {2015 {IEEE} International Conference on Web Services, {ICWS} 2015, New York, NY, USA, June 27 - July 2, 2015}, pages = {217--224}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICWS.2015.38}, doi = {10.1109/ICWS.2015.38}, timestamp = {Thu, 11 Apr 2024 20:25:44 +0200}, biburl = {https://dblp.org/rec/conf/icws/YaoWSRZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wh/WeiLPD15, author = {Wenchuan Wei and Yao Lu and Catherine D. Printz and Sujit Dey}, editor = {Wendy Nilsen and Jack A. Stankovic}, title = {Motion data alignment and real-time guidance in cloud-based virtual training system}, booktitle = {Proceedings of the conference on Wireless Health, {WH} 2015, Bethesda, Maryland, USA, October 14-16, 2015}, pages = {13:1--13:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811780.2811952}, doi = {10.1145/2811780.2811952}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wh/WeiLPD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/LanCLC15, author = {Yi{-}Yao Lan and Wen{-}Hao Chiang and I{-}Wei Lai and Tzi{-}Dar Chiueh}, title = {Power control and beamforming design for receivers with inter-cell interference cancellation in heterogeneous networks}, booktitle = {24th Wireless and Optical Communication Conference, {WOCC} 2015, Taipei, Taiwan, October 23-24, 2015}, pages = {58--62}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WOCC.2015.7346177}, doi = {10.1109/WOCC.2015.7346177}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/wocc/LanCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/WeiseCLTTCMY14, author = {Thomas Weise and Raymond Chiong and J{\"{o}}rg L{\"{a}}ssig and Ke Tang and Shigeyoshi Tsutsui and Wenxiang Chen and Zbigniew Michalewicz and Xin Yao}, title = {Benchmarking Optimization Algorithms: An Open Source Framework for the Traveling Salesman Problem}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {9}, number = {3}, pages = {40--52}, year = {2014}, url = {https://doi.org/10.1109/MCI.2014.2326101}, doi = {10.1109/MCI.2014.2326101}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cim/WeiseCLTTCMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/ZhouLLWXY14, author = {Wei Zhou and Jin Lu and Zhongzhi Luan and Shipu Wang and Gang Xue and Shaowen Yao}, title = {SNB-index: a SkipNet and {B+} tree based auxiliary Cloud index}, journal = {Clust. Comput.}, volume = {17}, number = {2}, pages = {453--462}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0246-y}, doi = {10.1007/S10586-013-0246-Y}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/ZhouLLWXY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/WangLYWLZA14, author = {Zhixiao Wang and Yanxiao Liu and Wenyao Yan and Wei Wei and Junhuai Li and Deyun Zhang and Omar Alfandi}, title = {Cheating detection and cheater identification in (t, n) secret sharing scheme}, journal = {Comput. Syst. Sci. Eng.}, volume = {29}, number = {1}, year = {2014}, timestamp = {Wed, 03 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/WangLYWLZA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/LiuYWHZ14, author = {Ju Liu and Wei Yao and Jinyu Wen and Haibo He and Xueyang Zheng}, title = {Active Power Oscillation Property Classification of Electric Power Systems Based on {SVM}}, journal = {J. Appl. Math.}, volume = {2014}, pages = {218647:1--218647:9}, year = {2014}, url = {https://doi.org/10.1155/2014/218647}, doi = {10.1155/2014/218647}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/LiuYWHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/YaoWGY14, author = {Jian{-}gang Yao and Wu Wen and Zheng{-}wei Guo and Shen{-}jie Yang}, title = {An Assessment Method of Information Transfer Capacity for Smart Grid}, journal = {J. Comput.}, volume = {9}, number = {2}, pages = {368--372}, year = {2014}, url = {http://www.jcomputers.us/index.php?m=content\&c=index\&a=show\&catid=112\&id=1595}, doi = {10.4304/JCP.9.2.368-372}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/YaoWGY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm2/ZhangYM14, author = {Wenjing Zhang and Wei Yao and Donglai Ma}, title = {Image Compression of Neural Network Based on Corner Block}, journal = {J. Multim.}, volume = {9}, number = {1}, pages = {166--172}, year = {2014}, url = {https://doi.org/10.4304/jmm.9.1.166-172}, doi = {10.4304/JMM.9.1.166-172}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm2/ZhangYM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/ZhangMY14, author = {Wenjing Zhang and Donglai Ma and Wei Yao}, title = {Medical Diagnosis Data Mining Based on Improved Apriori Algorithm}, journal = {J. Networks}, volume = {9}, number = {5}, pages = {1339--1345}, year = {2014}, url = {https://doi.org/10.4304/jnw.9.5.1339-1345}, doi = {10.4304/JNW.9.5.1339-1345}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/ZhangMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/FuPGBTZ14, author = {Wei Fu and Huan Pei and Xian{-}wen Gao and Chao Bai and He Tian and Qiong{-}yao Zhu}, title = {Model Inversion of {BBPV} Based on {DWD} of {MISR} {RS} Image}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {11}, number = {8}, pages = {1390--1393}, year = {2014}, url = {https://doi.org/10.1109/LGRS.2013.2293766}, doi = {10.1109/LGRS.2013.2293766}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/FuPGBTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/QinYLPCSGCYWZNL14, author = {Nan Qin and Fengling Yang and Ang Li and Edi Prifti and Yanfei Chen and Li Shao and Jing Guo and Emmanuelle Le Chatelier and Jian Yao and Lingjiao Wu and Jiawei Zhou and Shujun Ni and Lin Liu and Nicolas Pons and Jean{-}Michel Batto and Sean P. Kennedy and Pierre Leonard and Chunhui Yuan and Wenchao Ding and Yuanting Chen and Xinjun Hu and Beiwen Zheng and Guirong Qian and Wei Xu and S. Dusko Ehrlich and Shu{-}sen Zheng and Lanjuan Li}, title = {Alterations of the human gut microbiome in liver cirrhosis}, journal = {Nat.}, volume = {513}, number = {7516}, pages = {59--64}, year = {2014}, url = {https://doi.org/10.1038/nature13568}, doi = {10.1038/NATURE13568}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/QinYLPCSGCYWZNL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuWYYWWR14, author = {Jie Liu and Weixin Wang and Yaojun Yang and Yuning Yan and Wenyi Wang and Haozhong Wu and Zihe Ren}, title = {A Rapid Discrimination of Authentic and Unauthentic Radix Angelicae Sinensis Growth Regions by Electronic Nose Coupled with Multivariate Statistical Analyses}, journal = {Sensors}, volume = {14}, number = {11}, pages = {20134--20148}, year = {2014}, url = {https://doi.org/10.3390/s141120134}, doi = {10.3390/S141120134}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiuWYYWWR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FangCC14, author = {Shao{-}Yun Fang and Yao{-}Wen Chang and Wei{-}Yu Chen}, title = {A Novel Layout Decomposition Algorithm for Triple Patterning Lithography}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {3}, pages = {397--408}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2013.2288678}, doi = {10.1109/TCAD.2013.2288678}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FangCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/YaoHW14, author = {Chia{-}Yu Yao and Wei{-}Chun Hsia and Yu{-}Jou Wen}, title = {The Soft-Injection-Locked Ring Oscillator and Its Application in a Vernier-Based {TDC}}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {63}, number = {8}, pages = {2064--2071}, year = {2014}, url = {https://doi.org/10.1109/TIM.2014.2303531}, doi = {10.1109/TIM.2014.2303531}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/YaoHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HsuCWPWLWW14, author = {Yu{-}Liang Hsu and Pau{-}Choo Chung and Wei{-}Hsin Wang and Ming{-}Chyi Pai and Chun{-}Yao Wang and Chien{-}Wen Lin and Hao{-}Li Wu and Jeen{-}Shing Wang}, title = {Gait and Balance Analysis for Patients With Alzheimer's Disease Using an Inertial-Sensor-Based Wearable Instrument}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {6}, pages = {1822--1830}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2014.2325413}, doi = {10.1109/JBHI.2014.2325413}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HsuCWPWLWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/YaoWHY14, author = {Bobin Yao and Wenjie Wang and Wei Han and Qinye Yin}, title = {Distributed Angle Estimation by Multiple Frequencies Synthetic Array in Wireless Sensor Localization System}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {13}, number = {2}, pages = {876--887}, year = {2014}, url = {https://doi.org/10.1109/TWC.2013.120713.130526}, doi = {10.1109/TWC.2013.120713.130526}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/YaoWHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amps/WuZYL14, author = {Wei Wu and Xiaobin Zhang and Wenli Yao and Weilin Li}, title = {Fault diagnosis of star-connected auto-transformer based 24-pulse rectifier}, booktitle = {{IEEE} International Workshop on Applied Measurements for Power Systems Proceedings, {AMPS} 2014, Aachen, Germany, September 24-26, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/AMPS.2014.6947721}, doi = {10.1109/AMPS.2014.6947721}, timestamp = {Tue, 19 Nov 2019 15:20:28 +0100}, biburl = {https://dblp.org/rec/conf/amps/WuZYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/WangLLZZSY14, author = {Meng Wang and Jun Liu and Wenqiang Liu and Qinghua Zheng and Wei Zhang and Lingyun Song and Siyu Yao}, editor = {Jianzhong Li and Xiaoyang Sean Wang and Minos N. Garofalakis and Ian Soboroff and Torsten Suel and Min Wang}, title = {Faceted Exploring for Domain Knowledge over Linked Open Data}, booktitle = {Proceedings of the 23rd {ACM} International Conference on Conference on Information and Knowledge Management, {CIKM} 2014, Shanghai, China, November 3-7, 2014}, pages = {2009--2011}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2661829.2661832}, doi = {10.1145/2661829.2661832}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/WangLLZZSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icimcs/WangCCSH14, author = {Hong{-}Cyuan Wang and Yung{-}Yao Chen and Wen{-}Huang Cheng and Shih{-}Wei Sun and Kai{-}Lung Hua}, editor = {Hanzi Wang and Larry Davis and Wenwu Zhu and Stephan Kopf and Yanyun Qu and Jun Yu and Jitao Sang and Tao Mei}, title = {Intraframe Coding with Massive Dictionaries of Tree-Structured Representations}, booktitle = {International Conference on Internet Multimedia Computing and Service, {ICIMCS} '14, Xiamen, China, July 10-12, 2014}, pages = {201}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2632856.2632910}, doi = {10.1145/2632856.2632910}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icimcs/WangCCSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChangLW14a, author = {Heng Yao Chang and Wei{-}Tsong Lee and Hsin{-}Wen Wei}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {A Novel Protocol {UDCP} for Improving Fairness and Maintaining the High-Speed Rate of {UDP}}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {698--701}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.180}, doi = {10.1109/IIH-MSP.2014.180}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChangLW14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/WangHPWWLWC14, author = {Wei{-}Hsin Wang and Yu{-}Liang Hsu and Ming{-}Chyi Pai and Cheng{-}Hsiung Wang and Chun{-}Yao Wang and Chien{-}Wen Lin and Hao{-}Li Wu and Pau{-}Choo Chung}, title = {Alzheimer's disease classification based on gait information}, booktitle = {2014 International Joint Conference on Neural Networks, {IJCNN} 2014, Beijing, China, July 6-11, 2014}, pages = {3251--3257}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IJCNN.2014.6889762}, doi = {10.1109/IJCNN.2014.6889762}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/WangHPWWLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LinHSC14, author = {Chung{-}Wei Lin and Tzu{-}Hsuan Hsu and Xin{-}Wei Shih and Yao{-}Wen Chang}, editor = {Yuan Xie and Tanay Karnik and Muhammad M. Khellah and Renu Mehra}, title = {Buffered clock tree synthesis considering self-heating effects}, booktitle = {International Symposium on Low Power Electronics and Design, ISLPED'14, La Jolla, CA, {USA} - August 11 - 13, 2014}, pages = {111--116}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2627369.2627640}, doi = {10.1145/2627369.2627640}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LinHSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/FangHMC14, author = {Chiung{-}Yao Fang and Wei{-}Hong Hsu and Chung{-}Wen Ma and Sei{-}Wang Chen}, title = {A vision-based safety driver assistance system for motorcycles on a smartphone}, booktitle = {17th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2014, Qingdao, China, October 8-11, 2014}, pages = {328--333}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ITSC.2014.6957712}, doi = {10.1109/ITSC.2014.6957712}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/FangHMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/p/WangYKJLH14, author = {Chung{-}Che Wang and Tzu{-}Chun Yeh and Wei{-}Tsa Kao and Jyh{-}Shing Roger Jang and Wenshan Liou and Yao{-}Min Huang}, editor = {Kuan{-}Ching Li and Qing Li and Timothy K. Shih}, title = {{GPU} and Cloud Computing for Two Paradigms of Music Information Retrieval}, booktitle = {Cloud Computing and Digital Media - Fundamentals, Techniques, and Applications}, pages = {81--102}, publisher = {Chapman and Hall/CRC}, year = {2014}, url = {https://doi.org/10.1201/b16614-5}, doi = {10.1201/B16614-5}, timestamp = {Mon, 23 Oct 2023 15:59:15 +0200}, biburl = {https://dblp.org/rec/books/crc/p/WangYKJLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/QiTPWH13, author = {Yaolong Qi and Weixian Tan and Xueming Peng and Yanping Wang and Wen Hong}, title = {Application of Optimized Sparse Antenna Array in Near Range 3D Microwave Imaging}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {10}, pages = {2542--2552}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.2542}, doi = {10.1587/TRANSCOM.E96.B.2542}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/QiTPWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/WangXYWLZ13, author = {Zhixiao Wang and Xuebin Xu and Wenyao Yan and Wei Wei and Junhuai Li and Deyun Zhang}, title = {Optimal Scheme of Retinal Image Enhancement Using Curvelet Transform and Quantum Genetic Algorithm}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {7}, number = {11}, pages = {2702--2719}, year = {2013}, url = {https://doi.org/10.3837/tiis.2013.11.009}, doi = {10.3837/TIIS.2013.11.009}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/WangXYWLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YaoYJTNCZ13, author = {Xinfeng Yao and Xia Yao and Wenqing Jia and Yongchao Tian and Jun Ni and Weixing Cao and Yan Zhu}, title = {Comparison and Intercalibration of Vegetation Indices from Different Sensors for Monitoring Above-Ground Plant Nitrogen Uptake in Winter Wheat}, journal = {Sensors}, volume = {13}, number = {3}, pages = {3109--3130}, year = {2013}, url = {https://doi.org/10.3390/s130303109}, doi = {10.3390/S130303109}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YaoYJTNCZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FangCC13, author = {Shao{-}Yun Fang and Wei{-}Yu Chen and Yao{-}Wen Chang}, title = {Graph-Based Subfield Scheduling for Electron-Beam Photomask Fabrication}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {2}, pages = {189--201}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2237947}, doi = {10.1109/TCAD.2013.2237947}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FangCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsuBC13, author = {Meng{-}Kai Hsu and Valeriy Balabanov and Yao{-}Wen Chang}, title = {TSV-Aware Analytical Placement for 3-D {IC} Designs Based on a Novel Weighted-Average Wirelength Model}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {4}, pages = {497--509}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2012.2226584}, doi = {10.1109/TCAD.2012.2226584}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsuBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SunWWY13, author = {Hung{-}Min Sun and Chi{-}Yao Weng and Shiuh{-}Jeng Wang and Cheng{-}Hsing Yang}, title = {Data embedding in image-media using weight-function on modulo operations}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {12}, number = {2}, pages = {21:1--21:12}, year = {2013}, url = {https://doi.org/10.1145/2423636.2423639}, doi = {10.1145/2423636.2423639}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/SunWWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhongZZYTW13, author = {Ming Zhong and Yaoxue Zhang and Yuezhi Zhou and Laurence Tianruo Yang and Pengwei Tian and Linkai Weng}, title = {A novel component retrieval method based on weighted facet tree}, journal = {J. Supercomput.}, volume = {66}, number = {3}, pages = {1167--1177}, year = {2013}, url = {https://doi.org/10.1007/s11227-011-0665-6}, doi = {10.1007/S11227-011-0665-6}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ZhongZZYTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HoSCC13, author = {Yuan{-}Kai Ho and Xin{-}Wei Shih and Yao{-}Wen Chang and Chung{-}Kuan Cheng}, title = {Layer minimization in escape routing for staggered-pin-array PCBs}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {187--192}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509594}, doi = {10.1109/ASPDAC.2013.6509594}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HoSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShihHLCC13, author = {Xin{-}Wei Shih and Tzu{-}Hsuan Hsu and Hsu{-}Chieh Lee and Yao{-}Wen Chang and Kai{-}Yuan Chao}, title = {Symmetrical buffered clock-tree synthesis with supply-voltage alignment}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {447--452}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509637}, doi = {10.1109/ASPDAC.2013.6509637}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShihHLCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/XiangYZWZW13, author = {Yang Xiang and Bo Yuan and Yaoyun Zhang and Xiaolong Wang and Wen Zheng and Chongqiang Wei}, editor = {Hwee Tou Ng and Joel R. Tetreault and Siew Mei Wu and Yuanbin Wu and Christian Hadiwinoto}, title = {A Hybrid Model For Grammatical Error Correction}, booktitle = {Proceedings of the Seventeenth Conference on Computational Natural Language Learning: Shared Task, CoNLL 2013, Sofia, Bulgaria, August 8-9, 2013}, pages = {115--122}, publisher = {{ACL}}, year = {2013}, url = {https://aclanthology.org/W13-3616/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/XiangYZWZW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuWSALLV13, author = {Wen{-}Hao Liu and Yaoguang Wei and Cliff C. N. Sze and Charles J. Alpert and Zhuo Li and Yih{-}Lang Li and Natarajan Viswanathan}, title = {Routing congestion estimation with real design constraints}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {92:1--92:8}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488847}, doi = {10.1145/2463209.2488847}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuWSALLV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/TsaiWWCH13, author = {Wen{-}Chung Tsai and Yi{-}Yao Weng and Chun{-}Jen Wei and Sao{-}Jie Chen and Yu Hen Hu}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {3D Bidirectional-Channel Routing Algorithm for Network-Based Many-Core Embedded Systems}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {301--309}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_35}, doi = {10.1007/978-94-007-7262-5\_35}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/TsaiWWCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/LiZWYLL13, author = {Chenghao Li and Peng Zhan and Jinyu Wen and Mei{-}qi Yao and Nai{-}hu Li and Weijen Lee}, title = {Offshore wind farms integration and frequency support control utilizing hybrid multi-terminal {HVDC} transmission}, booktitle = {2013 {IEEE} Industry Applications Society Annual Meeting, Lake Buena Vista, FL, USA, October 6-11, 2013}, pages = {1--9}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IAS.2013.6682484}, doi = {10.1109/IAS.2013.6682484}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iasam/LiZWYLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichi/RoliaYBLSKS13, author = {Jerry Rolia and Wen Yao and Sujoy Basu and Wei{-}Nchih Lee and Sharad Singhal and Akhil Kumar and Sharat R. Sabbella}, title = {Tell Me What {I} Don't Know-Making the Most of Social Health Forums}, booktitle = {{IEEE} International Conference on Healthcare Informatics, {ICHI} 2013, 9-11 September, 2013, Philadelphia, PA, {USA}}, pages = {447--454}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICHI.2013.45}, doi = {10.1109/ICHI.2013.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichi/RoliaYBLSKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinfa/WuYFC13, author = {Wenbo Wu and Xinyu Yao and Wei Feng and Yong Chen}, title = {Research on improving fairness of Linux scheduler}, booktitle = {{IEEE} International Conference on Information and Automation, {ICIA} 2013, Yinchuan, China, August 26-28, 2013}, pages = {409--414}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICInfA.2013.6720333}, doi = {10.1109/ICINFA.2013.6720333}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icinfa/WuYFC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenLLCLCH13, author = {Shen{-}Chi Chen and Kevin Lin and Shih{-}Yao Lin and Kuan{-}Wen Chen and Chih{-}Wei Lin and Chu{-}Song Chen and Yi{-}Ping Hung}, title = {Target-driven video summarization in a camera network}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {3577--3581}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738738}, doi = {10.1109/ICIP.2013.6738738}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChenLLCLCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ShihCHCLLH13, author = {Chao{-}Ching Shih and Shen{-}Chi Chen and Cheng{-}Feng Hung and Kuan{-}Wen Chen and Shih{-}Yao Lin and Chih{-}Wei Lin and Yi{-}Ping Hung}, title = {Real-time camera tampering detection using two-stage scene matching}, booktitle = {Proceedings of the 2013 {IEEE} International Conference on Multimedia and Expo, {ICME} 2013, San Jose, CA, USA, July 15-19, 2013}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICME.2013.6607568}, doi = {10.1109/ICME.2013.6607568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ShihCHCLLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LiCYLWCL13, author = {Wenhai Li and Biren Chen and Ruijiang Yao and Yunpeng Li and Weidong Wen and Chungwai Cheung and Wanghong Li}, title = {{SHOE:} {A} {SPARQL} Query Engine Using MapReduce}, booktitle = {19th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2013, Seoul, Korea, December 15-18, 2013}, pages = {446--447}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICPADS.2013.78}, doi = {10.1109/ICPADS.2013.78}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/LiCYLWCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhuZZSWP13, author = {Shuang Zhu and Jinshui Zhang and Wei Zhou and Guanyuan Shuai and Wenna Wang and Yaozhong Pan}, title = {Crop distribution mapping using hard and soft change detection method with multi-temporal remote sensing images}, booktitle = {2013 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2013, Melbourne, Australia, July 21-26, 2013}, pages = {3293--3296}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IGARSS.2013.6723531}, doi = {10.1109/IGARSS.2013.6723531}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ZhuZZSWP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/XiangZWWZZHQ13, author = {Yang Xiang and Yaoyun Zhang and Xiaolong Wang and Chongqiang Wei and Wen Zheng and Xiaoqiang Zhou and Yuxiu Hu and Yang Qin}, title = {Grammatical Error Correction Using Feature Selection and Confidence Tuning}, booktitle = {Sixth International Joint Conference on Natural Language Processing, {IJCNLP} 2013, Nagoya, Japan, October 14-18, 2013}, pages = {1067--1071}, publisher = {Asian Federation of Natural Language Processing / {ACL}}, year = {2013}, url = {https://aclanthology.org/I13-1148/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/XiangZWWZZHQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/FangLLC13, author = {Shao{-}Yun Fang and Chung{-}Wei Lin and Guang{-}Wan Liao and Yao{-}Wen Chang}, editor = {Cheng{-}Kok Koh and Cliff C. N. Sze}, title = {Simultaneous {OPC-} and CMP-aware routing based on accurate closed-form modeling}, booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}, pages = {77--84}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451916.2451938}, doi = {10.1145/2451916.2451938}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/FangLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/ChungCL13, author = {Chen{-}Jui Chung and Wei{-}Yao Chou and Chia{-}Wen Lin}, title = {Under-exposed image enhancement using exposure compensation}, booktitle = {13th International Conference on {ITS} Telecommunications, {ITST} 2013, Tampere, Finland, November 5-7, 2013}, pages = {204--209}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ITST.2013.6685546}, doi = {10.1109/ITST.2013.6685546}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itst/ChungCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/YaoLZSZS13, author = {Wen{-}long Yao and Yuan Liu and Jun{-}dong Zhang and Ming Sun and Gui{-}chen Zhang and Wei Shao}, title = {Design of vector control based on {MFAC} for {SSP} podded propulsion}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2013, Shenzhen, China, December 12-14, 2013}, pages = {2418--2423}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROBIO.2013.6739833}, doi = {10.1109/ROBIO.2013.6739833}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/YaoLZSZS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WeiWTCH13, author = {Chun{-}Jen Wei and Yi{-}Yao Weng and Wen{-}Chung Tsai and Sao{-}Jie Chen and Yu Hen Hu}, editor = {Norbert Schuhmann and Kaijian Shi and Nagi Naganathan}, title = {Novel time-multiplexing bidirectional on-chip network}, booktitle = {2013 {IEEE} International {SOC} Conference, Erlangen, Germany, September 4-6, 2013}, pages = {210--215}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SOCC.2013.6749689}, doi = {10.1109/SOCC.2013.6749689}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WeiWTCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/PaoLWCC13, author = {Wei{-}Cheng Pao and Yung{-}Feng Lu and Wen{-}Bin Wang and Yao{-}Jen Chang and Yung{-}Fang Chen}, title = {Improved Subcarrier and Power Allocation Schemes for Wireless Multicast in {OFDM} Systems}, booktitle = {Proceedings of the 78th {IEEE} Vehicular Technology Conference, {VTC} Fall 2013, Las Vegas, NV, USA, September 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCFall.2013.6692230}, doi = {10.1109/VTCFALL.2013.6692230}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/PaoLWCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/DaiW12, author = {Zhifeng Dai and Fenghua Wen}, title = {Another improved Wei-Yao-Liu nonlinear conjugate gradient method with sufficient descent property}, journal = {Appl. Math. Comput.}, volume = {218}, number = {14}, pages = {7421--7430}, year = {2012}, url = {https://doi.org/10.1016/j.amc.2011.12.091}, doi = {10.1016/J.AMC.2011.12.091}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amc/DaiW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/YaoWXSYS12, author = {Dongxia Yao and Qiang Wei and Wenying Xu and Ryan D. Syrenne and Joshua S. Yuan and Zhen Su}, title = {Comparative genomic analysis of {NAC} transcriptional factors to dissect the regulatory mechanisms for cell wall biosynthesis}, journal = {{BMC} Bioinform.}, volume = {13}, number = {{S-15}}, pages = {S10}, year = {2012}, url = {https://doi.org/10.1186/1471-2105-13-S15-S10}, doi = {10.1186/1471-2105-13-S15-S10}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/YaoWXSYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/WeiPWMJH12, author = {Yao Wei and Mugen Peng and Wenbo Wang and Shijun Min and Jiamo Jiang and Yu Huang}, title = {Automatic Distributing Schemes of Physical Cell Identity for Self-Organizing Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/973713}, doi = {10.1155/2012/973713}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/WeiPWMJH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/TzengKLYCL12, author = {Wen{-}Sheng Tzeng and Kuang{-}Ming Kuo and Chung{-}Feng Liu and Huan{-}Chung Yao and Chin{-}Yu Chen and Huang{-}Wei Lin}, title = {Managing Repeat Digital Radiography Images - {A} Systematic Approach and Improvement}, journal = {J. Medical Syst.}, volume = {36}, number = {4}, pages = {2697--2704}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9744-8}, doi = {10.1007/S10916-011-9744-8}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/TzengKLYCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinLCST12, author = {Chung{-}Wei Lin and Po{-}Wei Lee and Yao{-}Wen Chang and Chin{-}Fang Shen and Wei{-}Chih Tseng}, title = {An Efficient Pre-Assignment Routing Algorithm for Flip-Chip Designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {6}, pages = {878--889}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2011.2181511}, doi = {10.1109/TCAD.2011.2181511}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LinLCST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShihC12, author = {Xin{-}Wei Shih and Yao{-}Wen Chang}, title = {Fast Timing-Model Independent Buffered Clock-Tree Synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {9}, pages = {1393--1404}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2012.2191554}, doi = {10.1109/TCAD.2012.2191554}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShihC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhuPHWML12, author = {Wenquan Zhu and Yaozhong Pan and Hao He and Lingli Wang and Minjie Mou and Jianhong Liu}, title = {A Changing-Weight Filter Method for Reconstructing a High-Quality {NDVI} Time Series to Preserve the Integrity of Vegetation Phenology}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {50}, number = {4}, pages = {1085--1094}, year = {2012}, url = {https://doi.org/10.1109/TGRS.2011.2166965}, doi = {10.1109/TGRS.2011.2166965}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ZhuPHWML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangCC12, author = {Shao{-}Yun Fang and Yao{-}Wen Chang and Wei{-}Yu Chen}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {A novel layout decomposition algorithm for triple patterning lithography}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1185--1190}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228579}, doi = {10.1145/2228360.2228579}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeLHCCLS12, author = {Po{-}Wei Lee and Hsu{-}Chieh Lee and Yuan{-}Kai Ho and Yao{-}Wen Chang and Chen{-}Feng Chang and I{-}Jye Lin and Chin{-}Fang Shen}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Obstacle-avoiding free-assignment routing for flip-chip designs}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {1088--1093}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228558}, doi = {10.1145/2228360.2228558}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeLHCCLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ShyuKTH12, author = {Liang{-}Yu Shyu and Yao{-}Lin Kao and Wen{-}Ya Tsai and Weichih Hu}, title = {Development of a cuffless blood pressure measurement system}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2040--2043}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346359}, doi = {10.1109/EMBC.2012.6346359}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ShyuKTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/WeiNY12, author = {Chunfu Wei and Yifeng Niu and Shaowen Yao}, title = {Research on multiple attribute decision method based on linguistic aggregation operators}, booktitle = {9th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2012, 29-31 May 2012, Chongqing, China}, pages = {325--328}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FSKD.2012.6234261}, doi = {10.1109/FSKD.2012.6234261}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/WeiNY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangWZW12, author = {Yu Huang and Wenbo Wang and Xing Zhang and Yao Wei}, title = {An energy efficient multicast transmission scheme with patching stream exploiting user behavior in wireless networks}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {3537--3541}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503663}, doi = {10.1109/GLOCOM.2012.6503663}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HuangWZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/SunCHFLHLL12, author = {Shih{-}Wei Sun and Wen{-}Huang Cheng and Yao{-}Ling Hung and Ivy Fan and Chris Liu and Jacqueline Hung and Chia{-}Kai Lin and Hong{-}Yuan Mark Liao}, title = {Who's Who in a Sports Video? An Individual Level Sports Video Indexing System}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, pages = {937--942}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICME.2012.59}, doi = {10.1109/ICME.2012.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/SunCHFLHLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/RaoYBQL12, author = {Cong Rao and Cong Yao and Xiang Bai and Weichao Qiu and Wenyu Liu}, title = {Online Random Ferns for robust visual tracking}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {1447--1450}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460414/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/RaoYBQL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/FangCC12, author = {Shao{-}Yun Fang and Wei{-}Yu Chen and Yao{-}Wen Chang}, editor = {Jiang Hu and Cheng{-}Kok Koh}, title = {Graph-based subfield scheduling for electron-beam photomask fabrication}, booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}, pages = {9--16}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2160916.2160921}, doi = {10.1145/2160916.2160921}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/FangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/ChenCCC12, author = {Wei{-}Lung Chen and Jason Chang and Ya Wen Chen and Wei{-}Yao Chou}, title = {Cloud computing based taxi dispatching service}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {433--436}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425214}, doi = {10.1109/ITST.2012.6425214}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/ChenCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LiuWZWL12, author = {Yaoping Liu and Wei Wang and Haixia Alice Zhang and Wengang Wu and Zhihong Li}, title = {A facile nanowire fabrication approach based on edge lithography}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {49--52}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196720}, doi = {10.1109/NEMS.2012.6196720}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/LiuWZWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/PaoCTLC12, author = {Wei{-}Cheng Pao and Yung{-}Fang Chen and Meng{-}Gu Tsai and Wen{-}Ting Lou and Yao{-}Jen Chang}, title = {A multiuser subcarrier and power allocation scheme in localized {SC-FDMA} systems}, booktitle = {23rd {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2012, Sydney, Australia, September 9-12, 2012}, pages = {210--214}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PIMRC.2012.6362703}, doi = {10.1109/PIMRC.2012.6362703}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/PaoCTLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/ZhongZYZTW11, author = {Ming Zhong and Yaoxue Zhang and Laurence Tianruo Yang and Yuezhi Zhou and Pengwei Tian and Linkai Weng}, title = {A Personalized Facet-Weight Based Ranking Method for Service Component Retrieval}, journal = {Comput. Informatics}, volume = {30}, number = {3}, pages = {491--511}, year = {2011}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/181}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/ZhongZYZTW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaYWGY11, author = {Wenying Ma and Huan Yang and Weimin Wang and Ping Gao and Jun Yao}, title = {Ethanol Vapor Sensing Properties of Triangular Silver Nanostructures Based on Localized Surface Plasmon Resonance}, journal = {Sensors}, volume = {11}, number = {9}, pages = {8643--8653}, year = {2011}, url = {https://doi.org/10.3390/s110908643}, doi = {10.3390/S110908643}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MaYWGY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChuangLC11, author = {Yi{-}Lin Chuang and Po{-}Wei Lee and Yao{-}Wen Chang}, title = {Voltage-Drop Aware Analytical Placement by Global Power Spreading for Mixed-Size Circuit Designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {11}, pages = {1649--1662}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2163071}, doi = {10.1109/TCAD.2011.2163071}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChuangLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenYW11, author = {Jian Wen Chen and Ruo He Yao and Wei Jing Wu}, title = {Efficient Modulo 2\({}^{\mbox{n}}\)+1 Multipliers}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {12}, pages = {2149--2157}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2080330}, doi = {10.1109/TVLSI.2010.2080330}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenYW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicics/YaoSBL11, author = {Cong Yao and Wei Shen and Xiang Bai and Wenyu Liu}, title = {Class-specific object contour detection by iteratively combining context information}, booktitle = {8th International Conference on Information, Communications {\&} Signal Processing, {ICICS} 2011, Singapore, Singapore, December 13-16, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICICS.2011.6174295}, doi = {10.1109/ICICS.2011.6174295}, timestamp = {Wed, 08 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEicics/YaoSBL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ChouYCCCSH11, author = {Li{-}Der Chou and Yao{-}Tsung Yang and Wen{-}Pei Chang and Yi{-}Shou Chen and Te{-}Chin Chang and Ce{-}Kuen Shieh and Sheng{-}Wei Huang}, title = {Hierarchical management system of virtual networks on NetFPGA}, booktitle = {13th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2011, Taipei, Taiwan, September 21-23, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/APNOMS.2011.6076962}, doi = {10.1109/APNOMS.2011.6076962}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/ChouYCCCSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/GongSLCYS11, author = {Cihun{-}Siyong Alex Gong and Tim K. Shia and Yung{-}Pin Lee and Bo{-}Wei Chen and Kai{-}Wen Yao and Muh{-}Tian Shiue}, editor = {Yongsheng Ding and Yonghong Peng and Riyi Shi and Kuangrong Hao and Lipo Wang}, title = {{CMOS} comparator for medical imaging}, booktitle = {4th International Conference on Biomedical Engineering and Informatics, {BMEI} 2011, Shanghai, China, October 15-17, 2011}, pages = {1060--1063}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/BMEI.2011.6098465}, doi = {10.1109/BMEI.2011.6098465}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/GongSLCYS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HouMSZYSY11, author = {Wen S. Hou and Zong X. Mou and Wei W. Shi and Xiao L. Zheng and Jun P. Yao and Guan B. Shang and Zheng Q. Yin}, title = {Optical stimulation of visual cortex with pulsed 620-nm red light}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {5477--5479}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6091397}, doi = {10.1109/IEMBS.2011.6091397}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/HouMSZYSY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/DongWPLWML11, author = {Xuan Dong and Guan Wang and Yi Pang and Weixin Li and Jiangtao Wen and Wei Meng and Yao Lu}, title = {Fast efficient algorithm for enhancement of low lighting video}, booktitle = {Proceedings of the 2011 {IEEE} International Conference on Multimedia and Expo, {ICME} 2011, 11-15 July, 2011, Barcelona, Catalonia, Spain}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICME.2011.6012107}, doi = {10.1109/ICME.2011.6012107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/DongWPLWML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChouYCCHSH11, author = {Li{-}Der Chou and Yao{-}Tsung Yang and Wen{-}Pei Chang and Te{-}Chin Chang and Mao Yuan Hong and Ce{-}Kuen Shieh and Sheng{-}Wei Huang}, title = {The Implementation of Multilayer Virtual Network Management System on NetFPGA}, booktitle = {17th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2011, Tainan, Taiwan, December 7-9, 2011}, pages = {988--991}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPADS.2011.135}, doi = {10.1109/ICPADS.2011.135}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChouYCCHSH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sar/QiWTH11, author = {Yaolong Qi and Yanping Wang and Weixian Tan and Wen Hong}, editor = {Claudia Notarnicola and Simonetta Paloscia and Nazzareno Pierdicca}, title = {Application of sparse array and {MIMO} in near-range microwave imaging}, booktitle = {{SAR} Image Analysis, Modeling, and Techniques XI, {SPIE} Remote Sensing, Prague, Czech Republic, 19-22 September 2011}, series = {{SPIE} Proceedings}, volume = {8179}, pages = {81790X}, publisher = {{SPIE}}, year = {2011}, url = {https://doi.org/10.1117/12.898071}, doi = {10.1117/12.898071}, timestamp = {Thu, 20 Sep 2018 16:50:36 +0200}, biburl = {https://dblp.org/rec/conf/sar/QiWTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/YuhLHHYC11, author = {Ping{-}Hung Yuh and Cliff Chiung{-}Yu Lin and Tsung{-}Wei Huang and Tsung{-}Yi Ho and Chia{-}Lin Yang and Yao{-}Wen Chang}, editor = {Janet Meiling Wang and Deming Chen}, title = {A SAT-based routing algorithm for cross-referencing biochips}, booktitle = {2011 International Workshop on System Level Interconnect Prediction, {SLIP} 2011, San Diego, CA, USA, June 5, 2011}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/SLIP.2011.6135436}, doi = {10.1109/SLIP.2011.6135436}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/YuhLHHYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1102-3328, author = {Xuan Dong and Jiangtao Wen and Weixin Li and Yi Pang and Guan Wang and Yao Lu and Wei Meng}, title = {An Efficient and Integrated Algorithm for Video Enhancement in Challenging Lighting Conditions}, journal = {CoRR}, volume = {abs/1102.3328}, year = {2011}, url = {http://arxiv.org/abs/1102.3328}, eprinttype = {arXiv}, eprint = {1102.3328}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1102-3328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/LiangWZQR10, author = {Jin{-}Rong Liang and Jun Wang and Wen{-}Jun Zhang and Wei{-}Yuan Qiu and Fu{-}Yao Ren}, title = {Option pricing of a bi-fractional Black-Merton-Scholes model with the Hurst exponent {H} in [1/2, 1]}, journal = {Appl. Math. Lett.}, volume = {23}, number = {8}, pages = {859--863}, year = {2010}, url = {https://doi.org/10.1016/j.aml.2010.03.022}, doi = {10.1016/J.AML.2010.03.022}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/LiangWZQR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ChouCPLJTC10, author = {Wei{-}Yao Chou and Wei{-}I Chou and Tun{-}Wen Pai and Shu{-}Chuan Lin and Ting{-}Ying Jiang and Chuan Yi Tang and Margaret Dah{-}Tsyr Chang}, title = {Feature-incorporated alignment based ligand-binding residue prediction for carbohydrate-binding modules}, journal = {Bioinform.}, volume = {26}, number = {8}, pages = {1022--1028}, year = {2010}, url = {https://doi.org/10.1093/bioinformatics/btq084}, doi = {10.1093/BIOINFORMATICS/BTQ084}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ChouCPLJTC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ChenHWWCS10, author = {Yen{-}Liang Chen and Hung{-}Chih Hsieh and Wang{-}Tsung Wu and Bor{-}Jiunn Wen and Wei{-}Yao Chang and Der{-}Chin Su}, title = {An alternative bend-testing technique for a flexible indium tin oxide film}, journal = {Displays}, volume = {31}, number = {4-5}, pages = {191--195}, year = {2010}, url = {https://doi.org/10.1016/j.displa.2010.07.003}, doi = {10.1016/J.DISPLA.2010.07.003}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ChenHWWCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FangC10, author = {Jia{-}Wei Fang and Yao{-}Wen Chang}, title = {Area-I/O Flip-Chip Routing for Chip-Package Co-Design Considering Signal Skews}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {5}, pages = {711--721}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2043586}, doi = {10.1109/TCAD.2010.2043586}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HoCFC10, author = {Kuan{-}Hsien Ho and Yen{-}Pin Chen and Jia{-}Wei Fang and Yao{-}Wen Chang}, title = {{ECO} Timing Optimization Using Spare Cells and Technology Remapping}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {5}, pages = {697--710}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2043573}, doi = {10.1109/TCAD.2010.2043573}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HoCFC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/LiuZDY10, author = {Wei Liu and Wenjun Zeng and Lina Dong and Qiuming Yao}, title = {Efficient Compression of Encrypted Grayscale Images}, journal = {{IEEE} Trans. Image Process.}, volume = {19}, number = {4}, pages = {1097--1102}, year = {2010}, url = {https://doi.org/10.1109/TIP.2009.2038773}, doi = {10.1109/TIP.2009.2038773}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/LiuZDY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShihCHC10, author = {Xin{-}Wei Shih and Chung{-}Chun Cheng and Yuan{-}Kai Ho and Yao{-}Wen Chang}, title = {Blockage-avoiding buffered clock-tree synthesis for clock latency-range and skew minimization}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {395--400}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419850}, doi = {10.1109/ASPDAC.2010.5419850}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShihCHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShihC10, author = {Xin{-}Wei Shih and Yao{-}Wen Chang}, editor = {Sachin S. Sapatnekar}, title = {Fast timing-model independent buffered clock-tree synthesis}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {80--85}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837296}, doi = {10.1145/1837274.1837296}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShihC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeCL10, author = {Hsu{-}Chieh Lee and Yao{-}Wen Chang and Po{-}Wei Lee}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Recent research development in flip-chip routing}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {404--410}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5653698}, doi = {10.1109/ICCAD.2010.5653698}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShihLHC10, author = {Xin{-}Wei Shih and Hsu{-}Chieh Lee and Kuan{-}Hsien Ho and Yao{-}Wen Chang}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {High variation-tolerant obstacle-avoiding clock mesh synthesis with symmetrical driving trees}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {452--457}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5653754}, doi = {10.1109/ICCAD.2010.5653754}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShihLHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangLC10, author = {Shih{-}Lun Huang and Chung{-}Wei Lin and Yao{-}Wen Chang}, title = {Efficient provably good {OPC} modeling and its applications to interconnect optimization}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {336--341}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647713}, doi = {10.1109/ICCD.2010.5647713}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/TuKTWS10, author = {Che{-}Yuan Tu and Wen{-}Chieh Kuo and Wei{-}Hua Teng and Yao{-}Tsung Wang and Steven Shiau}, editor = {Wang{-}Chien Lee and Xin Yuan}, title = {A Power-Aware Cloud Architecture with Smart Metering}, booktitle = {39th International Conference on Parallel Processing, {ICPP} Workshops 2010, San Diego, California, USA, 13-16 September 2010}, pages = {497--503}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPPW.2010.73}, doi = {10.1109/ICPPW.2010.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/TuKTWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iitsi/YaoDHWF10, author = {Xiaoming Yao and Wencai Du and Mei Huang and Weihua Wu and Jundong Fu}, title = {Pattern-Based Blind Spatial Image Watermarking}, booktitle = {Third International Symposium on Intelligent Information Technology and Security Informatics, {IITSI} 2010, Jinggangshan, China, April 2-4, 2010}, pages = {92--96}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IITSI.2010.27}, doi = {10.1109/IITSI.2010.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iitsi/YaoDHWF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iitsi/YaoDWHF10, author = {Xiaoming Yao and Wencai Du and Weihua Wu and Mei Huang and Jundong Fu}, title = {A Robust EMD-Like Stegnographic Scheme}, booktitle = {Third International Symposium on Intelligent Information Technology and Security Informatics, {IITSI} 2010, Jinggangshan, China, April 2-4, 2010}, pages = {134--137}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/IITSI.2010.28}, doi = {10.1109/IITSI.2010.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iitsi/YaoDWHF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/YaoCTW10, author = {Wen Yao and Xiaoqian Chen and Michel van Tooren and Yuexing Wei}, title = {Euclidean distance and second derivative based widths optimization of radial basis function neural networks}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2010, Barcelona, Spain, 18-23 July, 2010}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IJCNN.2010.5596528}, doi = {10.1109/IJCNN.2010.5596528}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/YaoCTW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isia/ShiXZJLWRHXZ10, author = {Wei Shi and Shusheng Xiong and Chaoshan Zhang and Yaohua Jiang and Wei Li and Xijiang Wu and Xiaoshuai Ren and Wenhua He and Kailai Xu and Ji Zhou}, editor = {Luo Qi}, title = {Study on Stability of Vehicle Mass Analysis System}, booktitle = {Information and Automation - International Symposium, {ISIA} 2010, Guangzhou, China, November 10-11, 2010. Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {86}, pages = {554--559}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-19853-3\_82}, doi = {10.1007/978-3-642-19853-3\_82}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isia/ShiXZJLWRHXZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCLCHFLWTWSYL10, author = {Chii{-}Wann Lin and Hung{-}Wei Chiu and Mu{-}Lien Lin and Chi{-}Heng Chang and I{-}Hsiu Ho and Po Hsiang Fang and Yi Chin Li and Chang Lun Wang and Yao{-}Chuan Tsai and Yeong{-}Ray Wen and Win{-}Pin Shih and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {Pain control on demand based on pulsed radio-frequency stimulation of the dorsal root ganglion using a batteryless implantable {CMOS} SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {234--235}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433936}, doi = {10.1109/ISSCC.2010.5433936}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCLCHFLWTWSYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/ShiPYLZCZ10, author = {Yao Shi and Soyeon Park and Zuoning Yin and Shan Lu and Yuanyuan Zhou and Wenguang Chen and Weimin Zheng}, editor = {William R. Cook and Siobh{\'{a}}n Clarke and Martin C. Rinard}, title = {Do {I} use the wrong definition?: DeFuse: definition-use invariants for detecting concurrency and sequential bugs}, booktitle = {Proceedings of the 25th Annual {ACM} {SIGPLAN} Conference on Object-Oriented Programming, Systems, Languages, and Applications, {OOPSLA} 2010, October 17-21, 2010, Reno/Tahoe, Nevada, {USA}}, pages = {160--174}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1869459.1869474}, doi = {10.1145/1869459.1869474}, timestamp = {Tue, 22 Jun 2021 17:10:56 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/ShiPYLZCZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/TianZZYZWW09, author = {Pengwei Tian and Yaoxue Zhang and Yue{-}Zhi Zhou and Laurence Tianruo Yang and Ming Zhong and Linkai Weng and Li Wei}, title = {A novel service evolution approach for active services in ubiquitous computing}, journal = {Int. J. Commun. Syst.}, volume = {22}, number = {9}, pages = {1123--1151}, year = {2009}, url = {https://doi.org/10.1002/dac.1012}, doi = {10.1002/DAC.1012}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/TianZZYZWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/TanLZZ09, author = {Weihua Tan and Wen{-}Jun Li and Yao{-}Zhan Zheng and Xiaocong Zhou}, title = {ePet: {A} Physical Game Based on Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {5}, number = {1}, pages = {68}, year = {2009}, url = {https://doi.org/10.1080/15501320802555262}, doi = {10.1080/15501320802555262}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/TanLZZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/ChangJC09, author = {Yao{-}Wen Chang and Zhe{-}Wei Jiang and Tung{-}Chieh Chen}, title = {Essential Issues in Analytical Placement Algorithms}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {2}, pages = {145--166}, year = {2009}, url = {https://doi.org/10.2197/ipsjtsldm.2.145}, doi = {10.2197/IPSJTSLDM.2.145}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsj/ChangJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/ShengJMCYWDGLZ09, author = {Chunquan Sheng and Haitao Ji and Zhenyuan Miao and Xiaoyin Che and Jianzhong Yao and Wenya Wang and Guoqiang Dong and Wei Guo and Jiaguo L{\"{u}} and Wannian Zhang}, title = {Homology modeling and molecular dynamics simulation of \emph{N}-myristoyltransferase from protozoan parasites: active site characterization and insights into rational inhibitor design}, journal = {J. Comput. Aided Mol. Des.}, volume = {23}, number = {6}, pages = {375--389}, year = {2009}, url = {https://doi.org/10.1007/s10822-009-9267-2}, doi = {10.1007/S10822-009-9267-2}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/ShengJMCYWDGLZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FangHC09, author = {Jia{-}Wei Fang and Chin{-}Hsiung Hsu and Yao{-}Wen Chang}, title = {An Integer-Linear-Programming-Based Routing Algorithm for Flip-Chip Designs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {1}, pages = {98--110}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2008.2009151}, doi = {10.1109/TCAD.2008.2009151}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FangHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/TruongLCS09, author = {Trieu{-}Kien Truong and Chong{-}Dao Lee and Yaotsu Chang and Wen{-}Ku Su}, title = {A New Scheme to Determine the Weight Distributions of Binary Extended Quadratic Residue Codes}, journal = {{IEEE} Trans. Commun.}, volume = {57}, number = {5}, pages = {1221--1224}, year = {2009}, url = {https://doi.org/10.1109/TCOMM.2009.05.060371}, doi = {10.1109/TCOMM.2009.05.060371}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/TruongLCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/YaoWC09, author = {Leehter Yao and Kuei{-}Sung Weng and Ren{-}Wei Chang}, editor = {Ngoc Thanh Nguyen and Huynh Phan Nguyen and Adam Grzech}, title = {A Fuzzy Classifier with Directed Initialization Adaptive Learning of Norm Inducing Matrix}, booktitle = {First Asian Conference on Intelligent Information and Database Systems, {ACIIDS} 2009, Dong hoi, Quang binh, Vietnam, April 1-3, 2009}, pages = {226--231}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACIIDS.2009.57}, doi = {10.1109/ACIIDS.2009.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aciids/YaoWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/YaoWC09a, author = {Leehter Yao and Kuei{-}Sung Weng and Ren{-}Wei Chang}, editor = {Ngoc Thanh Nguyen and Huynh Phan Nguyen and Adam Grzech}, title = {Fuzzy Classification of Incomplete Data with Adaptive Volume}, booktitle = {First Asian Conference on Intelligent Information and Database Systems, {ACIIDS} 2009, Dong hoi, Quang binh, Vietnam, April 1-3, 2009}, pages = {232--237}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ACIIDS.2009.58}, doi = {10.1109/ACIIDS.2009.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aciids/YaoWC09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/LiCSH09, author = {Chun{-}Hsien Li and Hung{-}Wen Chiu and Cyun{-}Yao Su and Chien{-}Yeh Hsu}, editor = {Hamid R. Arabnia and Mary Qu Yang}, title = {Building a Weight-Based Pediatric Dosage Decision Support System in the Emergency Department}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {747--750}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Wed, 28 Oct 2009 10:44:56 +0100}, biburl = {https://dblp.org/rec/conf/biocomp/LiCSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LiYD10, author = {Wei Li and Wenbing Yao and Peter J. Duffett{-}Smith}, title = {Comparative Study of Joint {TOA/DOA} Estimation Techniques for Mobile Positioning Applications}, booktitle = {6th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2009, Las Vegas, NV, USA, 10-13 Jan., 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CCNC.2009.4784819}, doi = {10.1109/CCNC.2009.4784819}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LiYD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/LiTGSY09, author = {Hao Li and Guo Tang and Wei Guo and Changyan Sun and Shaowen Yao}, editor = {Martin Gilje Jaatun and Gansen Zhao and Chunming Rong}, title = {Price-Oriented Trading Optimization for Grid Resource}, booktitle = {Cloud Computing, First International Conference, CloudCom 2009, Beijing, China, December 1-4, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5931}, pages = {650--655}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-10665-1\_67}, doi = {10.1007/978-3-642-10665-1\_67}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcom/LiTGSY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/GaoWWW09, author = {Ang Gao and Wei Wei and Zhixiao Wang and Wenyao Yan}, title = {A Hierarchical Authentication Scheme for the Different Radio Ranges Sensor Networks}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {494--501}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSE.2009.227}, doi = {10.1109/CSE.2009.227}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/GaoWWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangWC09, author = {Jia{-}Wei Fang and Martin D. F. Wong and Yao{-}Wen Chang}, title = {Flip-chip routing with unified area-I/O pad assignments for package-board co-design}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {336--339}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630002}, doi = {10.1145/1629911.1630002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/FangWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangHCC09, author = {Zhe{-}Wei Jiang and Meng{-}Kai Hsu and Yao{-}Wen Chang and Kai{-}Yuan Chao}, title = {Spare-cell-aware multilevel analytical placement}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {430--435}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630027}, doi = {10.1145/1629911.1630027}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangHCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YaoZL09, author = {Qiuming Yao and Wenjun Zeng and Wei Liu}, title = {Multi-resolution based hybrid spatiotemporal compression of encrypted videos}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2009, 19-24 April 2009, Taipei, Taiwan}, pages = {725--728}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICASSP.2009.4959686}, doi = {10.1109/ICASSP.2009.4959686}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/YaoZL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChuangLC09, author = {Yi{-}Lin Chuang and Po{-}Wei Lee and Yao{-}Wen Chang}, editor = {Jaijeet S. Roychowdhury}, title = {Voltage-drop aware analytical placement by global power spreading for mixed-size circuit designs}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {666--673}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687523}, doi = {10.1145/1687399.1687523}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChuangLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeLCST09, author = {Po{-}Wei Lee and Chung{-}Wei Lin and Yao{-}Wen Chang and Chin{-}Fang Shen and Wei{-}Chih Tseng}, editor = {Jaijeet S. Roychowdhury}, title = {An efficient pre-assignment routing algorithm for flip-chip designs}, booktitle = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009, San Jose, CA, USA, November 2-5, 2009}, pages = {239--244}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1687399.1687443}, doi = {10.1145/1687399.1687443}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LeeLCST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/CaoXWLYFZWZXLY09, author = {Jiannong Cao and Kun Xie and Weigang Wu and Chuda Liu and Gang Yao and Wei Feng and Yang Zou and Jigang Wen and Chisheng Zhang and Xin Xiao and Xuan Liu and Ye Yan}, title = {{HAWK:} Real-World Implementation of High-Performance Heterogeneous Wireless Network for Internet Access}, booktitle = {29th {IEEE} International Conference on Distributed Computing Systems Workshops {(ICDCS} 2009 Workshops), 22-26 June 2009, Montreal, Qu{\'{e}}bec, Canada}, pages = {214--220}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDCSW.2009.29}, doi = {10.1109/ICDCSW.2009.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/CaoXWLYFZWZXLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sersc-isa/QiuZZZWG09, author = {Weidong Qiu and Yaowei Zhou and Bo Zhu and Yanfei Zheng and Mi Wen and Zheng Gong}, editor = {Jong Hyuk Park and Hsiao{-}Hwa Chen and Mohammed Atiquzzaman and Changhoon Lee and Tai{-}Hoon Kim and Sang{-}Soo Yeo}, title = {Key-Insulated Encryption Based Key Pre-distribution Scheme for {WSN}}, booktitle = {Advances in Information Security and Assurance, Third International Conference and Workshops, {ISA} 2009, Seoul, Korea, June 25-27, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5576}, pages = {200--209}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02617-1\_21}, doi = {10.1007/978-3-642-02617-1\_21}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/sersc-isa/QiuZZZWG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SunXJGHLWLJW08, author = {Weiqiang Sun and Guowu Xie and Yaohui Jin and Wei Guo and Weisheng Hu and Xinhua Lin and Min{-}You Wu and Wentao Li and Rong Jiang and Xueqin Wei}, title = {A cross-layer optical circuit provisioning framework for data intensive {IP} end hosts}, journal = {{IEEE} Commun. Mag.}, volume = {46}, number = {2}, year = {2008}, url = {https://doi.org/10.1109/MCOM.2008.4473084}, doi = {10.1109/MCOM.2008.4473084}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SunXJGHLWLJW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KuoLCWCLT08, author = {Li{-}Wei Kuo and Chun{-}Yao Lee and Jyh{-}Horng Chen and Van J. Wedeen and Chih{-}Chuan Chen and Horng{-}Huei Liou and Wen{-}Yih Isaac Tseng}, title = {Mossy fiber sprouting in pilocarpine-induced status epilepticus rat hippocampus: {A} correlative study of diffusion spectrum imaging and histology}, journal = {NeuroImage}, volume = {41}, number = {3}, pages = {789--800}, year = {2008}, url = {https://doi.org/10.1016/j.neuroimage.2008.03.013}, doi = {10.1016/J.NEUROIMAGE.2008.03.013}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/KuoLCWCLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenJHCC08, author = {Tung{-}Chieh Chen and Zhe{-}Wei Jiang and Tien{-}Chang Hsu and Hsin{-}Chen Chen and Yao{-}Wen Chang}, title = {NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs With Preplaced Blocks and Density Constraints}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {7}, pages = {1228--1240}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.923063}, doi = {10.1109/TCAD.2008.923063}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenJHCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JiangC08, author = {Zhe{-}Wei Jiang and Yao{-}Wen Chang}, title = {An Optimal Network-Flow-Based Simultaneous Diode and Jumper Insertion Algorithm for Antenna Fixing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {6}, pages = {1055--1065}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.923246}, doi = {10.1109/TCAD.2008.923246}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JiangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinCLCY08, author = {Chung{-}Wei Lin and Szu{-}Yu Chen and Chi{-}Feng Li and Yao{-}Wen Chang and Chia{-}Lin Yang}, title = {Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {4}, pages = {643--653}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.917583}, doi = {10.1109/TCAD.2008.917583}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LinCLCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinHHLC08, author = {Chung{-}Wei Lin and Shih{-}Lun Huang and Kai{-}Chi Hsu and Meng{-}Xiang Lee and Yao{-}Wen Chang}, title = {Multilayer Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {11}, pages = {2007--2016}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.2006095}, doi = {10.1109/TCAD.2008.2006095}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LinHHLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuLLCCKYC08, author = {Chih{-}Hung Liu and Hung{-}Yi Liu and Chung{-}Wei Lin and Szu{-}Jui Chou and Yao{-}Wen Chang and Sy{-}Yen Kuo and Shih{-}Yi Yuan and Yu{-}Wei Chen}, title = {An Efficient Graph-Based Algorithm for {ESD} Current Path Analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {27}, number = {8}, pages = {1363--1375}, year = {2008}, url = {https://doi.org/10.1109/TCAD.2008.925779}, doi = {10.1109/TCAD.2008.925779}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuLLCCKYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csse/ZhouYXYY08, author = {Wei Zhou and Jianjun Yu and Gang Xue and Shaowen Yao and Guowei Yu}, title = {A Novel Reputation-Based Trust Architecture for {P2P} E-Commerce Systems}, booktitle = {International Conference on Computer Science and Software Engineering, {CSSE} 2008, Volume 3: Grid Computing / Distributed and Parallel Computing / Information Security, December 12-14, 2008, Wuhan, China}, pages = {174--177}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CSSE.2008.673}, doi = {10.1109/CSSE.2008.673}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csse/ZhouYXYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangSC08, author = {Zhe{-}Wei Jiang and Bor{-}Yiing Su and Yao{-}Wen Chang}, editor = {Limor Fix}, title = {Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {167--172}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391513}, doi = {10.1145/1391469.1391513}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangC08, author = {Jia{-}Wei Fang and Yao{-}Wen Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Area-I/O flip-chip routing for chip-package co-design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {518--522}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681624}, doi = {10.1109/ICCAD.2008.4681624}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangHC08, author = {Jia{-}Wei Fang and Kuan{-}Hsien Ho and Yao{-}Wen Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Routing for chip-package-board co-design considering differential pairs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {512--517}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681623}, doi = {10.1109/ICCAD.2008.4681623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LiuZDY08, author = {Wei Liu and Wenjun Zeng and Lina Dong and Qiuming Yao}, title = {Resolution-progressive compression of encrypted grayscale images}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2008, October 12-15, 2008, San Diego, California, {USA}}, pages = {2208--2211}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICIP.2008.4712228}, doi = {10.1109/ICIP.2008.4712228}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LiuZDY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/SuLLTC08, author = {Wen{-}Ku Su and Chong{-}Dao Lee and Tsung{-}Ching Lin and Trieu{-}Kien Truong and Yaotsu Chang}, editor = {Frank R. Kschischang and En{-}Hui Yang}, title = {On determination of the weight distribution of binary (168, 84, 24) extended quadratic residue code}, booktitle = {2008 {IEEE} International Symposium on Information Theory, {ISIT} 2008, Toronto, ON, Canada, July 6-11, 2008}, pages = {644--646}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISIT.2008.4595065}, doi = {10.1109/ISIT.2008.4595065}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/SuLLTC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ZhangTDSL08, author = {Wei Zhang and Guozhen Tan and Nan Ding and Yao Shang and Ming{-}Wen Lin}, title = {Vehicle Classification Algorithm based on Binary Proximity Magnetic Sensors and Neural Network}, booktitle = {11th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2008, Beijing, China, 12-15 October 2008}, pages = {145--150}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ITSC.2008.4732522}, doi = {10.1109/ITSC.2008.4732522}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/ZhangTDSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwnas/SongWWLX08, author = {Qingyang Song and Xingwei Wang and Zhongfeng Wen and Yao Lv and Feifei Xu}, title = {A Dynamic Interface Activation Scheme for Vertical Handoffs}, booktitle = {Proceedings of The 2008 {IEEE} International Conference on Networking, Architecture, and Storage, {NAS} 2008, June 12-14, 2008, Chongqing, China}, pages = {157--158}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/NAS.2008.40}, doi = {10.1109/NAS.2008.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwnas/SongWWLX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/LiangZHGWS07, author = {Weiqiang Liang and Wenjun Zhang and Dazhi He and Yunfeng Guan and Yao Wang and Jun Sun}, title = {Digital Terrestrial Television Broadcasting in China}, journal = {{IEEE} Multim.}, volume = {14}, number = {3}, pages = {92--97}, year = {2007}, url = {https://doi.org/10.1109/MMUL.2007.47}, doi = {10.1109/MMUL.2007.47}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeemm/LiangZHGWS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ZhuJSGHZW07, author = {Yi Zhu and Yaohui Jin and Weiqiang Sun and Wei Guo and Weisheng Hu and Wen{-}De Zhong and Min{-}You Wu}, title = {Multicast Flow Aggregation in {IP} over Optical Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {25}, number = {5}, pages = {1011--1021}, year = {2007}, url = {https://doi.org/10.1109/JSAC.2007.070613}, doi = {10.1109/JSAC.2007.070613}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ZhuJSGHZW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/HwangWY07, author = {Wen{-}Jyi Hwang and Wen{-}Kang Wei and Yao{-}Jung Yeh}, title = {{FPGA} implementation of full-search vector quantization based on partial distance search}, journal = {Microprocess. Microsystems}, volume = {31}, number = {8}, pages = {516--528}, year = {2007}, url = {https://doi.org/10.1016/j.micpro.2006.02.014}, doi = {10.1016/J.MICPRO.2006.02.014}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/HwangWY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/FangLCW07, author = {Jia{-}Wei Fang and I{-}Jye Lin and Yao{-}Wen Chang and Jyh{-}Herng Wang}, title = {A Network-Flow-Based {RDL} Routing Algorithmz for Flip-Chip Design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {8}, pages = {1417--1429}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.891364}, doi = {10.1109/TCAD.2007.891364}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/FangLCW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuC07, author = {Chen{-}Wei Liu and Yao{-}Wen Chang}, title = {Power/Ground Network and Floorplan Cosynthesis for Fast Design Convergence}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {4}, pages = {693--704}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.892336}, doi = {10.1109/TCAD.2007.892336}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinTLCWC07, author = {Chung{-}Wei Lin and Ming{-}Chao Tsai and Kuang{-}Yao Lee and Tai{-}Chen Chen and Ting{-}Chi Wang and Yao{-}Wen Chang}, title = {Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {238--243}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.357992}, doi = {10.1109/ASPDAC.2007.357992}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LinTLCWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atc/KuangZWXXZ07, author = {Wenyuan Kuang and Yaoxue Zhang and Li Wei and Nan Xia and Guangbin Xu and Yue{-}Zhi Zhou}, editor = {Bin Xiao and Laurence Tianruo Yang and Jianhua Ma and Christian M{\"{u}}ller{-}Schloer and Yu Hua}, title = {A New One-Way Isolation File-Access Method at the Granularity of a Disk-Block}, booktitle = {Autonomic and Trusted Computing, 4th International Conference, {ATC} 2007, Hong Kong, China, July 11-13, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4610}, pages = {296--305}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73547-2\_31}, doi = {10.1007/978-3-540-73547-2\_31}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/atc/KuangZWXXZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangHC07, author = {Jia{-}Wei Fang and Chin{-}Hsiung Hsu and Yao{-}Wen Chang}, title = {An Integer Linear Programming Based Routing Algorithm for Flip-Chip Design}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {606--611}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278633}, doi = {10.1145/1278480.1278633}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FangHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenFC07, author = {Yen{-}Pin Chen and Jia{-}Wei Fang and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {{ECO} timing optimization using spare cells}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {530--535}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397319}, doi = {10.1109/ICCAD.2007.4397319}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenFC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinHHLC07, author = {Chung{-}Wei Lin and Shih{-}Lun Huang and Kai{-}Chi Hsu and Meng{-}Xiang Li and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {Efficient multi-layer obstacle-avoiding rectilinear Steiner tree construction}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {380--385}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397294}, doi = {10.1109/ICCAD.2007.4397294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinHHLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/LiYD07, author = {Wei Li and Wenbing Yao and Peter J. Duffett{-}Smith}, editor = {Saeid Sanei and Jonathon A. Chambers and John G. McWhirter and Yulia Hicks and Anthony G. Constantinides}, title = {A Comparative Study of {JADE} and {SAGE} Algorithms for Joint Multipath Parameter Estimation}, booktitle = {15th International Conference on Digital Signal Processing, {DSP} 2009, Cardiff, UK, July 1-4, 2007}, pages = {59--62}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICDSP.2007.4288518}, doi = {10.1109/ICDSP.2007.4288518}, timestamp = {Tue, 09 Feb 2021 15:56:54 +0100}, biburl = {https://dblp.org/rec/conf/icdsp/LiYD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChienSKYCKC07, author = {Shao{-}Yi Chien and Chi{-}Sheng Shih and Mong{-}Kai Ku and Chia{-}Lin Yang and Yao{-}Wen Chang and Tei{-}Wei Kuo and Liang{-}Gee Chen}, title = {3D Video Applications and Intelligent Video Surveillance Camera and its {VLSI} Design}, booktitle = {Proceedings of the 2007 {IEEE} International Conference on Multimedia and Expo, {ICME} 2007, July 2-5, 2007, Beijing, China}, pages = {9}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICME.2007.4284571}, doi = {10.1109/ICME.2007.4284571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChienSKYCKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LinCLCY07, author = {Chung{-}Wei Lin and Szu{-}Yu Chen and Chi{-}Feng Li and Yao{-}Wen Chang and Chia{-}Lin Yang}, editor = {Patrick H. Madden and David Z. Pan}, title = {Efficient obstacle-avoiding rectilinear steiner tree construction}, booktitle = {Proceedings of the 2007 International Symposium on Physical Design, {ISPD} 2007, Austin, Texas, USA, March 18-21, 2007}, pages = {127--134}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1231996.1232023}, doi = {10.1145/1231996.1232023}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LinCLCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangCSYLCCYLCWG07, author = {Hsiu{-}Cheng Chang and Jia{-}Wei Chen and Ching{-}Lung Su and Yao{-}Chang Yang and Yao Li and Chun{-}Hao Chang and Ze{-}Min Chen and Wei{-}Sen Yang and Chien{-}Chang Lin and Ching{-}Wen Chen and Jinn{-}Shyan Wang and Jiun{-}In Guo}, title = {A 7mW-to-183mW Dynamic Quality-Scalable {H.264} Video Encoder Chip}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {280--603}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373403}, doi = {10.1109/ISSCC.2007.373403}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangCSYLCCYLCWG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/07/ChenJHCC07, author = {Tung{-}Chieh Chen and Zhe{-}Wei Jiang and Tien{-}Chang Hsu and Hsin{-}Chen Chen and Yao{-}Wen Chang}, editor = {Gi{-}Joon Nam and Jason Cong}, title = {NTUplace3: An Analytical Placer for Large-Scale Mixed-Size Designs}, booktitle = {Modern Circuit Placement, Best Practices and Results}, pages = {289--309}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-0-387-68739-1\_11}, doi = {10.1007/978-0-387-68739-1\_11}, timestamp = {Thu, 21 Sep 2017 11:25:30 +0200}, biburl = {https://dblp.org/rec/books/sp/07/ChenJHCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TuCJ06, author = {Shang{-}Wei Tu and Yao{-}Wen Chang and Jing{-}Yang Jou}, title = {{RLC} Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {10}, pages = {2258--2264}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.860956}, doi = {10.1109/TCAD.2005.860956}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TuCJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuYCLCGT06, author = {Ching{-}Lung Su and Wei{-}Sen Yang and Ya{-}Li Chen and Yao Li and Ching{-}Wen Chen and Jiun{-}In Guo and Shau{-}Yin Tseng}, title = {Low Complexity High Quality Fractional Motion Estimation Algorithm and Architecture Design for {H.264/AVC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {578--581}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342054}, doi = {10.1109/APCCAS.2006.342054}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuYCLCGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/SuYCYCGT06, author = {Ching{-}Lung Su and Wei{-}Sen Yang and Ya{-}Li Chen and Yao{-}Chang Yang and Ching{-}Wen Chen and Jiun{-}In Guo and Shau{-}Yin Tseng}, title = {A Low Complexity High Quality Interger Motion Estimation Architecture Design for {H.264/AVC}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {398--401}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342454}, doi = {10.1109/APCCAS.2006.342454}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/SuYCYCGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/HsuLWD06, author = {Chun{-}Fei Hsu and Tsu{-}Tian Lee and Yao{-}Wei Wen and Fu{-}Shan Ding}, title = {Intelligent Control for {DC-DC} Power Converter with Recurrent Fuzzy Neural Network Approach}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2006, Vancouver, BC, Canada, July 16-21, 2006}, pages = {457--462}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/FUZZY.2006.1681751}, doi = {10.1109/FUZZY.2006.1681751}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/HsuLWD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenJHCC06, author = {Tung{-}Chieh Chen and Zhe{-}Wei Jiang and Tien{-}Chang Hsu and Hsin{-}Chen Chen and Yao{-}Wen Chang}, editor = {Soha Hassoun}, title = {A high-quality mixed-size analytical placer considering preplaced blocks and density constraints}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {187--192}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233538}, doi = {10.1145/1233501.1233538}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenJHCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangC06, author = {Zhe{-}Wei Jiang and Yao{-}Wen Chang}, editor = {Soha Hassoun}, title = {An optimal simultaneous diode/jumper insertion algorithm for antenna fixing}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {669--674}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233640}, doi = {10.1145/1233501.1233640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JiangC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuLCTLCK06, author = {Hung{-}Yi Liu and Chung{-}Wei Lin and Szu{-}Jui Chou and Wei{-}Ting Tu and Chih{-}Hung Liu and Yao{-}Wen Chang and Sy{-}Yen Kuo}, editor = {Soha Hassoun}, title = {Current path analysis for electrostatic discharge protection}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {510--515}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233604}, doi = {10.1145/1233501.1233604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuLCTLCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ZhanWG06, author = {Yaowen Zhan and Weiqiang Wang and Wen Gao}, title = {A Robust Split-and-Merge Text Segmentation Approach for Images}, booktitle = {18th International Conference on Pattern Recognition {(ICPR} 2006), 20-24 August 2006, Hong Kong, China}, pages = {1002--1005}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPR.2006.169}, doi = {10.1109/ICPR.2006.169}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/ZhanWG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.