default search action
Search dblp for Publications
export results for "Young Sun"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/BaeOCSCJCNBLLHY24, author = {Sooncheol Bae and Hansik Oh and Woojin Choi and Jaekyung Shin and Yifei Chen and Hyeongjin Jeon and Young Chan Choi and Sunwoo Nam and Soohyun Bin and Yoonjung Lee and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {60 {W} Class-E/F\({}_{\mbox{3}}\) Switching Power Amplifier With an Improved Second Harmonic Distortion of -49 dBc}, journal = {{IEEE} Access}, volume = {12}, pages = {41980--41987}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3378574}, doi = {10.1109/ACCESS.2024.3378574}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BaeOCSCJCNBLLHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ByunAJLJKPL24, author = {Sung{-}June Byun and Da{-}Yeong Ann and JongWan Jo and Heejeong Jasmine Lee and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {Convolutional Neural Network Algorithm and Application Method for Real-Time Beam Steering in {RF} System}, journal = {{IEEE} Access}, volume = {12}, pages = {134498--134509}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3456839}, doi = {10.1109/ACCESS.2024.3456839}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ByunAJLJKPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Choi0HKKSP24, author = {Eunmi Choi and Taekyung Kim and Sung Jun Hong and Taewoo Kim and Minhee Kang and Young{-}Min Shon and Eunkyoung Park}, title = {Transcutaneous Auricular Vagus Nerve Stimulation Can Alter Autonomic Function and Induce an Antiepileptic Effect in Pentylenetetrazol- Induced Seizures in Rats}, journal = {{IEEE} Access}, volume = {12}, pages = {60112--60122}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3393984}, doi = {10.1109/ACCESS.2024.3393984}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Choi0HKKSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChuKSYJ24, author = {Yeonouk Chu and Sungjoong Kim and Youngkook Song and Yong Tae Yoon and Younggyu Jin}, title = {Blockchain-Based {REC} System for Improving the Aspects of Procedural Complexity and Cyber Security}, journal = {{IEEE} Access}, volume = {12}, pages = {40657--40667}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3370687}, doi = {10.1109/ACCESS.2024.3370687}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChuKSYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongAJP24, author = {Seungkyun Hong and Sunghyun Ahn and Youngwan Jo and Sanghyun Park}, title = {Making Anomalies More Anomalous: Video Anomaly Detection Using a Novel Generator and Destroyer}, journal = {{IEEE} Access}, volume = {12}, pages = {36712--36726}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3374383}, doi = {10.1109/ACCESS.2024.3374383}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HongAJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HwangKSHC24, author = {Youngsang Hwang and Geunhwan Kim and Sungjin Shin and Wooyoung Hong and Youngmin Choo}, title = {Attention-Based Complementary Learning for Active Target Classification With Limited Sonar Data}, journal = {{IEEE} Access}, volume = {12}, pages = {79787--79801}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3409829}, doi = {10.1109/ACCESS.2024.3409829}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HwangKSHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLBMSS24, author = {Gyeongmin Kim and Jeonghye Kim and Suyoung Lee and Jaewoo Baek and Howon Moon and Sangheon Shin and Youngchul Sung}, title = {Robust Reinforcement Learning Under Dimension-Wise State Information Drop}, journal = {{IEEE} Access}, volume = {12}, pages = {135283--135299}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3462803}, doi = {10.1109/ACCESS.2024.3462803}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKLBMSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLS24a, author = {Do Young Kim and Ho Keun Kim and Young Jun Lim and Myung Hoon Sunwoo}, title = {Efficient Deep Retinal Fundus Image-Based Network for Alzheimer's Disease Diagnosis Using Mobile Device Applications}, journal = {{IEEE} Access}, volume = {12}, pages = {79166--79176}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3396153}, doi = {10.1109/ACCESS.2024.3396153}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKLS24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonBLGC24, author = {Ji Hun Kwon and Hyeong Kon Bae and Young Seo Lee and Young{-}Ho Gong and Sung Woo Chung}, title = {{ZEC} {ECC:} {A} Zero-Byte Eliminating Compression-Based {ECC} Scheme for {DRAM} Reliability}, journal = {{IEEE} Access}, volume = {12}, pages = {100366--100376}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3431209}, doi = {10.1109/ACCESS.2024.3431209}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KwonBLGC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimKJHCKPJJCB24, author = {Munwon Lim and Yunhee Kim and Shengxin Jin and Sangwoo Ha and Sung Yong Chang and Hae Su Kang and Gyu Sang Park and Mi Lee Joo and Chang{-}Sik Jung and Youngjin Cho and Suk Joo Bae}, title = {Depth-Based Condition Monitoring and Contributing Factor Analysis for Anomalies in Combined Cycle Power Plant}, journal = {{IEEE} Access}, volume = {12}, pages = {73400--73412}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3403864}, doi = {10.1109/ACCESS.2024.3403864}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LimKJHCKPJJCB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkBLS24, author = {Sangdon Park and Sohee Bae and Joohyung Lee and Youngchul Sung}, title = {Real-Time Dynamic Pricing for Edge Computing Services: {A} Market Perspective}, journal = {{IEEE} Access}, volume = {12}, pages = {134754--134769}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3462499}, doi = {10.1109/ACCESS.2024.3462499}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkBLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKA24, author = {Su{-}Young Park and Hyungjung Kim and Sung{-}Hoon Ahn}, title = {Hand-Monitoring System Using CutMix-Based Synthetic Augmentation for Safety in Factories}, journal = {{IEEE} Access}, volume = {12}, pages = {27661--27672}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3367805}, doi = {10.1109/ACCESS.2024.3367805}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKMK24, author = {Hae{-}Chan Park and Dong{-}Joong Kim and Kun{-}Hee Kim and Sung{-}Soo Min and Rae{-}Young Kim}, title = {Advanced Design and Small-Signal Modeling of {ACF} Converter for Auxiliary Power Modules Considering Transformer Impedance}, journal = {{IEEE} Access}, volume = {12}, pages = {124889--124906}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3451533}, doi = {10.1109/ACCESS.2024.3451533}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKKMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKPC24, author = {Kyungchul Park and Sungjoon Kim and Jong Hyuk Park and Woo Young Choi}, title = {A Quantized-Weight-Splitting Method of {RRAM} Arrays for Neuromorphic Applications}, journal = {{IEEE} Access}, volume = {12}, pages = {59680--59687}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3394253}, doi = {10.1109/ACCESS.2024.3394253}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkLKA24, author = {Su{-}Young Park and Cheonghwa Lee and Hyungjung Kim and Sung{-}Hoon Ahn}, title = {Enhancement of Control Performance for Degraded Robot Manipulators Using Digital Twin and Proximal Policy Optimization}, journal = {{IEEE} Access}, volume = {12}, pages = {19569--19583}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3359268}, doi = {10.1109/ACCESS.2024.3359268}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkLKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkSKS24, author = {Sungjun Park and Jeongpil Shin and Younghwan Kim and Taewon Seo}, title = {{WAVES:} Soft-Material Based Adaptable Walking-Type Stair-Climbing Robot for Various Step Sizes}, journal = {{IEEE} Access}, volume = {12}, pages = {13100--13111}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3355955}, doi = {10.1109/ACCESS.2024.3355955}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkSKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinJKPPKH24, author = {Gun{-}Yoon Shin and Younghoan Jang and Dong{-}Wook Kim and Sungjin Park and A{-}Ran Park and Younghwan Kim and Myung{-}Mook Han}, title = {Dark Side of the Web: Dark Web Classification Based on TextCNN and Topic Modeling Weight}, journal = {{IEEE} Access}, volume = {12}, pages = {36361--36371}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3347737}, doi = {10.1109/ACCESS.2023.3347737}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinJKPPKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Sung24, author = {Youngje Sung}, title = {Pattern Diversity Antenna for 5G-NR Application Based on Closely-Spaced Semi-Circular Slots}, journal = {{IEEE} Access}, volume = {12}, pages = {123835--123842}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3453905}, doi = {10.1109/ACCESS.2024.3453905}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Sung24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/JangHISK24, author = {Eunna Jang and Daehyeon Han and Jungho Im and Taejun Sung and Young Jun Kim}, title = {Deep learning-based gap filling for near real-time seamless daily global sea surface salinity using satellite observations}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {132}, pages = {104029}, year = {2024}, url = {https://doi.org/10.1016/j.jag.2024.104029}, doi = {10.1016/J.JAG.2024.104029}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/JangHISK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimHKKC24, author = {Sungjoon Kim and Kyungho Hong and Hyungjin Kim and Min{-}Hwi Kim and Woo Young Choi}, title = {Overshoot-Suppressed Memristor Array with AlN Oxygen Barrier for Low-Power Operation in the Intelligent Neuromorphic Systems}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {8}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300797}, doi = {10.1002/AISY.202300797}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/KimHKKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeKKKKLKP24, author = {Junho Lee and Jee Young Kwak and Kyobin Keum and Kang Sik Kim and Insoo Kim and Myung{-}Jae Lee and Yong{-}Hoon Kim and Sung Kyu Park}, title = {Recent Advances in Smart Tactile Sensory Systems with Brain-Inspired Neural Networks}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {4}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300631}, doi = {10.1002/AISY.202300631}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeKKKKLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeKLHIBCWL24, author = {Kyu{-}Ho Lee and Dongseok Kwon and In{-}Seok Lee and Joon Hwang and Jiseong Im and Jong{-}Ho Bae and Woo Young Choi and Sung Yun Woo and Jong{-}Ho Lee}, title = {Si-Based Dual-Gate Field-Effect Transistor Array for Low-Power On-Chip Trainable Hardware Neural Networks}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300490}, doi = {10.1002/AISY.202300490}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeKLHIBCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/HongKWKK24, author = {Seongho Hong and Seok{-}Jun Ko and Sang Inn Woo and Tae{-}Young Kwak and Sung{-}Ryul Kim}, title = {Time-series forecasting of consolidation settlement using {LSTM} network}, journal = {Appl. Intell.}, volume = {54}, number = {2}, pages = {1386--1404}, year = {2024}, url = {https://doi.org/10.1007/s10489-023-05219-7}, doi = {10.1007/S10489-023-05219-7}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/HongKWKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/SonLKKKLHL24, author = {Suhyune Son and Jungwoo Lim and Seonmin Koo and Jinsung Kim and Younghoon Kim and Youngsik Lim and Dongseok Hyun and Heuiseok Lim}, title = {A large-scale dataset for korean document-level relation extraction from encyclopedia texts}, journal = {Appl. Intell.}, volume = {54}, number = {17-18}, pages = {8681--8701}, year = {2024}, url = {https://doi.org/10.1007/s10489-024-05605-9}, doi = {10.1007/S10489-024-05605-9}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/SonLKKKLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/ChoiHJHPR24, author = {Jae{-}Won Choi and Dae{-}Yong Hong and Chan Jung and Eugene Hwang and Sung{-}Hyuk Park and Seung{-}Young Roh}, title = {A Multi-View learning approach to enhance automatic 12-Lead {ECG} diagnosis performance}, journal = {Biomed. Signal Process. Control.}, volume = {93}, pages = {106214}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2024.106214}, doi = {10.1016/J.BSPC.2024.106214}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/ChoiHJHPR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/LeeKHKK24, author = {Sang{-}Goo Lee and Ga{-}Young Kim and Yoo Na Hwang and Ji{-}Yean Kwon and Sung{-}Min Kim}, title = {Adaptive undersampling and short clip-based two-stream {CNN-LSTM} model for surgical phase recognition on cholecystectomy videos}, journal = {Biomed. Signal Process. Control.}, volume = {88}, number = {Part {A}}, pages = {105637}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2023.105637}, doi = {10.1016/J.BSPC.2023.105637}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/LeeKHKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChoiWPLYLLYLCBKL24, author = {Seungyeop Choi and Sung{-}Hun Woo and Insu Park and Sena Lee and Kang In Yeo and Sang Hyun Lee and Sei Young Lee and Sejung Yang and Gyudo Lee and Woo{-}Jin Chang and Rashid Bashir and Yoon Suk Kim and Sang Woo Lee}, title = {Cellular subpopulations identified using an ensemble average of multiple dielectrophoresis measurements}, journal = {Comput. Biol. Medicine}, volume = {170}, pages = {108011}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108011}, doi = {10.1016/J.COMPBIOMED.2024.108011}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChoiWPLYLLYLCBKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KimKCKW24, author = {Seo{-}Hee Kim and Dae{-}Yeon Kim and Sung Wan Chun and Jaeyun Kim and Jiyoung Woo}, title = {Impartial feature selection using multi-agent reinforcement learning for adverse glycemic event prediction}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108257}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108257}, doi = {10.1016/J.COMPBIOMED.2024.108257}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KimKCKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeSLBJCPCKYKCLYZSK24, author = {Jong Hyeon Lee and Jae Woo Shim and Min Hyuk Lim and Changhoon Baek and Byoungjun Jeon and Minwoo Cho and Sungwoo Park and Dong Hyun Choi and Byeong Soo Kim and Dan Yoon and Young Gyun Kim and Seung Yeon Cho and Kyung{-}Min Lee and Myoung{-}Souk Yeo and Hangman Zo and Sang Do Shin and Sungwan Kim}, title = {Towards optimal design of patient isolation units in emergency rooms to prevent airborne virus transmission: From computational fluid dynamics to data-driven modeling}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108309}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108309}, doi = {10.1016/J.COMPBIOMED.2024.108309}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeSLBJCPCKYKCLYZSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JamilSKBPK24, author = {Safdar Jamil and Abdul Salam and Awais Khan and Bernd Burgstaller and Sung{-}Soon Park and Youngjae Kim}, title = {Correction: Scalable NUMA-aware persistent B\({}^{\mbox{+}}\)-tree for non-volatile memory devices}, journal = {Clust. Comput.}, volume = {27}, number = {2}, pages = {2309}, year = {2024}, url = {https://doi.org/10.1007/s10586-023-04176-7}, doi = {10.1007/S10586-023-04176-7}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/JamilSKBPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/HaoLL24, author = {Wenrui Hao and Sun Lee and Young Ju Lee}, title = {Companion-based multi-level finite element method for computing multiple solutions of nonlinear differential equations}, journal = {Comput. Math. Appl.}, volume = {168}, pages = {162--173}, year = {2024}, url = {https://doi.org/10.1016/j.camwa.2024.05.035}, doi = {10.1016/J.CAMWA.2024.05.035}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/HaoLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csedu/SanusiSOSVT24, author = {Ismaila Temitayo Sanusi and Kissinger Sunday and Solomon Sunday Oyelere and Jarkko Suhonen and Henriikka Vartiainen and Markku Tukiainen}, title = {Learning machine learning with young children: exploring informal settings in an African context}, journal = {Comput. Sci. Educ.}, volume = {34}, number = {2}, pages = {161--192}, year = {2024}, url = {https://doi.org/10.1080/08993408.2023.2175559}, doi = {10.1080/08993408.2023.2175559}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csedu/SanusiSOSVT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/KimYKKCPS24, author = {Kanghoon Kim and Jae{-}In Yoo and Sung{-}Cheon Kang and Hyo{-}Bin Kim and Eun{-}young Choi and Sundararajan Parani and Jang{-}Kun Song}, title = {Charge generation layer with Yb assistant interlayer for tandem organic light-emitting diodes}, journal = {Displays}, volume = {82}, pages = {102656}, year = {2024}, url = {https://doi.org/10.1016/j.displa.2024.102656}, doi = {10.1016/J.DISPLA.2024.102656}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/KimYKKCPS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/AbebeKKJ24, author = {Misganaw Abebe and Sun Young Kim and Bonyong Koo and Heon{-}Sul Jeong}, title = {Adaptive signal fusion for swashplate pump fault detection using bidirectional long short-term memory and wavelet scattering transform}, journal = {Eng. Appl. Artif. Intell.}, volume = {138}, pages = {109375}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.109375}, doi = {10.1016/J.ENGAPPAI.2024.109375}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/AbebeKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChoHJHCPSP24, author = {Min Woo Cho and Seok Hyeon Hwang and Jun{-}Young Jang and Sun{-}kwang Hwang and Kyoung Je Cha and Dong Yong Park and Kyungjun Song and Sang Min Park}, title = {Beyond the limits of parametric design: Latent space exploration strategy enabling ultra-broadband acoustic metamaterials}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {108595}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108595}, doi = {10.1016/J.ENGAPPAI.2024.108595}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ChoHJHCPSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/BautistaGomezBDHRS24, author = {Leonardo Bautista{-}Gomez and Anne Benoit and Sheng Di and Thomas H{\'{e}}rault and Yves Robert and Hongyang Sun}, title = {A survey on checkpointing strategies: Should we always checkpoint {\`{a}} la Young/Daly?}, journal = {Future Gener. Comput. Syst.}, volume = {161}, pages = {315--328}, year = {2024}, url = {https://doi.org/10.1016/j.future.2024.07.022}, doi = {10.1016/J.FUTURE.2024.07.022}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/BautistaGomezBDHRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/LeeKKPJKKLL24, author = {Kwang{-}Sig Lee and Su Jin Kim and Dong Cheol Kim and Sang{-}Hyun Park and Dong{-}Hyun Jang and Eung Hwi Kim and Youngshin Kang and Sijin Lee and Sung Woo Lee}, title = {Machine learning-based prediction of cerebral oxygen saturation based on multi-modal cerebral oximetry data}, journal = {Health Informatics J.}, volume = {30}, number = {2}, year = {2024}, url = {https://doi.org/10.1177/14604582241259341}, doi = {10.1177/14604582241259341}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/LeeKKPJKKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/SeonLKKSSKK24, author = {Joonho Seon and Seongwoo Lee and Jinwook Kim and Soo Hyun Kim and Young Ghyu Sun and Hyowoon Seo and Dong In Kim and Jin Young Kim}, title = {Deep Reinforced Segment Selection and Equalization for Task-Oriented Semantic Communication}, journal = {{IEEE} Commun. Lett.}, volume = {28}, number = {8}, pages = {1865--1869}, year = {2024}, url = {https://doi.org/10.1109/LCOMM.2024.3418389}, doi = {10.1109/LCOMM.2024.3418389}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/SeonLKKSSKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/JangST24, author = {Sunwoo Jang and Young{-}Kyoon Suh and Byungchul Tak}, title = {Understanding File System Operations of a Secure Container Runtime Using System Call Tracing Technique}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {107}, number = {2}, pages = {229--233}, year = {2024}, url = {https://doi.org/10.1587/transinf.2023edl8039}, doi = {10.1587/TRANSINF.2023EDL8039}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/JangST24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/PrabhakarJW24, author = {Sunil Kumar Prabhakar and Young{-}Gi Ju and Dong{-}Ok Won}, title = {{HM-GDM:} Hybrid Measures and Graph-Dependent Modeling for Environmental Sound Classification}, journal = {Int. J. Comput. Intell. Syst.}, volume = {17}, number = {1}, pages = {212}, year = {2024}, url = {https://doi.org/10.1007/s44196-024-00622-5}, doi = {10.1007/S44196-024-00622-5}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcisys/PrabhakarJW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ParkKOC24, author = {Kyungchul Park and Sungjoon Kim and Min{-}Hye Oh and Woo Young Choi}, title = {Resting-potential-adjustable soft-reset integrate-and-fire neuron model for highly reliable and energy-efficient hardware-based spiking neural networks}, journal = {Neurocomputing}, volume = {590}, pages = {127762}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2024.127762}, doi = {10.1016/J.NEUCOM.2024.127762}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ParkKOC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/LeeCLRY24, author = {Seoyoun Lee and Younghoon Chang and One{-}Ki Daniel Lee and Sunghan Ryu and Qiuju Yin}, title = {Exploring online social platform affordances for digital creators: a multi-method approach using qualitative and configurational analysis}, journal = {Ind. Manag. Data Syst.}, volume = {124}, number = {4}, pages = {1501--1530}, year = {2024}, url = {https://doi.org/10.1108/IMDS-12-2023-0951}, doi = {10.1108/IMDS-12-2023-0951}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imds/LeeCLRY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/HwangHCMLKCK24, author = {Sungjin Hwang and Jiwoong Heo and Youngwug Cho and Jucheol Moon and Yushin Lee and Hansung Kim and Jaehyuk Cha and Kwanguk (Kenny) Kim}, title = {Transportation Mode Detection Technology to Predict Wheelchair Users' Life Satisfaction in Seoul, South Korea}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {8}, number = {1}, pages = {9:1--9:20}, year = {2024}, url = {https://doi.org/10.1145/3643506}, doi = {10.1145/3643506}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/HwangHCMLKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotm/LeePK24, author = {Sung Une Lee and Hye{-}young Paik and Salil S. Kanhere}, title = {Human-Sensing Platforms and Ethical Considerations Throughout Their Data Life-Cycles}, journal = {{IEEE} Internet Things Mag.}, volume = {7}, number = {1}, pages = {66--73}, year = {2024}, url = {https://doi.org/10.1109/IOTM.001.2300148}, doi = {10.1109/IOTM.001.2300148}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotm/LeePK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/YoonKK24, author = {Ho Young Yoon and Seokmin Kang and Sungyeun Kim}, title = {A non-verbal teaching behaviour analysis for improving pointing out gestures: The case of asynchronous video lecture analysis using deep learning}, journal = {J. Comput. Assist. Learn.}, volume = {40}, number = {3}, pages = {1006--1018}, year = {2024}, url = {https://doi.org/10.1111/jcal.12933}, doi = {10.1111/JCAL.12933}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcal/YoonKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/UllahKKHMLSB24, author = {Waseem Ullah and Samee Ullah Khan and Min Je Kim and Altaf Hussain and Muhammad Munsif and Mi Young Lee and Daeho Seo and Sung Wook Baik}, title = {Industrial defective chips detection using deep convolutional neural network with inverse feature matching mechanism}, journal = {J. Comput. Des. Eng.}, volume = {11}, number = {3}, pages = {326--336}, year = {2024}, url = {https://doi.org/10.1093/jcde/qwae019}, doi = {10.1093/JCDE/QWAE019}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/UllahKKHMLSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/LeeKYKPBPYL24, author = {Sung{-}Jin Lee and Bit Kim and Dong{-}Wook Yang and Junseo Kim and Tom Parkinson and Johsan Billingham and Chulwoo Park and Jinsung Yoon and Dae{-}Young Lee}, title = {A compact {RTK-GNSS} device for high-precision localization of outdoor mobile robots}, journal = {J. Field Robotics}, volume = {41}, number = {5}, pages = {1349--1365}, year = {2024}, url = {https://doi.org/10.1002/rob.22317}, doi = {10.1002/ROB.22317}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/LeeKYKPBPYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/BorzooeiAJ24, author = {Rajab Ali Borzooei and Sun Shin Ahn and Young Bae Jun}, title = {{\L}ukasiewicz fuzzy filters of Sheffer stroke Hilbert algebras}, journal = {J. Intell. Fuzzy Syst.}, volume = {46}, number = {4}, pages = {8231--8243}, year = {2024}, url = {https://doi.org/10.3233/jifs-233295}, doi = {10.3233/JIFS-233295}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/BorzooeiAJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolis/ChoiK24, author = {Youngok Choi and Sung Un Kim}, title = {A longitudinal comparison of public libraries' posting activities on Twitter in April of 3 years, pre-, during, and post-COVID-19}, journal = {J. Libr. Inf. Sci.}, volume = {56}, number = {1}, pages = {83--97}, year = {2024}, url = {https://doi.org/10.1177/09610006221128981}, doi = {10.1177/09610006221128981}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolis/ChoiK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/SonPKY24, author = {Daehyeon Son and Youngshin Park and Bonam Kim and Ilsun You}, title = {A Study on the Implementation of a Network Function for Real-time False Base Station Detection for the Next Generation Mobile Communication Environment}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {15}, number = {1}, pages = {184--201}, year = {2024}, url = {https://doi.org/10.58346/jowua.2024.i1.013}, doi = {10.58346/JOWUA.2024.I1.013}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/SonPKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AhnSKKKLGLJKCPLBMLLBO24, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Dong{-}Hun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Joo{-}Youn Lim and Daihyun Lim and Seung{-}Jun Bae and Tae{-}Young Oh}, title = {A 1.01-V 8.5-Gb/s/pin 16-Gb LPDDR5x {SDRAM} With Advanced {I/O} Circuitry for High-Speed and Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {10}, pages = {3479--3487}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3396615}, doi = {10.1109/JSSC.2024.3396615}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AhnSKKKLGLJKCPLBMLLBO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HanPSCC24, author = {Hyeonho Han and Byungchoul Park and Jaesuk Sung and Heonjin Choi and Youngcheol Chae}, title = {A Highly Digital 143.2-dB {DR} Sub-1{\textdegree} Phase Error Impedance Monitoring {IC} With Pulsewidth Modulation Frontend}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1017--1025}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3348119}, doi = {10.1109/JSSC.2023.3348119}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HanPSCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCRPLKJKYIYCCK24, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4 ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {10}, pages = {3307--3316}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3430990}, doi = {10.1109/JSSC.2024.3430990}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCRPLKJKYIYCCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCCLJSCJH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Jeeyoung Shin and Woong Choi and Junwon Jeong and Sung{-}Wan Hong}, title = {A Simultaneous Energy Transferring {SIBO} Converter Achieving Low Ripple and High Efficiency for {AMOLED} Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {5}, pages = {1497--1508}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314834}, doi = {10.1109/JSSC.2023.3314834}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCCLJSCJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimLSRKYKPJYCK24, author = {Young Gyun Kim and Jong Hyeon Lee and Jae Woo Shim and Wounsuk Rhee and Byeong Soo Kim and Dan Yoon and Min Jung Kim and Ji Won Park and Chang Wook Jeong and Han{-}Kwang Yang and Minwoo Cho and Sungwan Kim}, title = {A multimodal virtual vision platform as a next-generation vision system for a surgical robot}, journal = {Medical Biol. Eng. Comput.}, volume = {62}, number = {5}, pages = {1535--1548}, year = {2024}, url = {https://doi.org/10.1007/s11517-024-03030-1}, doi = {10.1007/S11517-024-03030-1}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimLSRKYKPJYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LeeLKOWJJYB24, author = {Bora Lee and Young{-}Kyun Lee and Sung Han Kim and HyunJin Oh and Sungho Won and Suk{-}Yong Jang and Ye Jin Jeon and Bit{-}Na Yoo and Jean{-}Kyung Bak}, title = {Impact of linkage level on inferences from big data analyses in health and medical research: an empirical study}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {193}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02586-0}, doi = {10.1186/S12911-024-02586-0}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LeeLKOWJJYB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/YoonMKSCOK24, author = {Dan Yoon and Youho Myong and Young Gyun Kim and Yongsik Sim and Minwoo Cho and Byung{-}Mo Oh and Sungwan Kim}, title = {Latent diffusion model-based {MRI} superresolution enhances mild cognitive impairment prognostication and Alzheimer's disease classification}, journal = {NeuroImage}, volume = {296}, pages = {120663}, year = {2024}, url = {https://doi.org/10.1016/j.neuroimage.2024.120663}, doi = {10.1016/J.NEUROIMAGE.2024.120663}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/YoonMKSCOK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/WooNPKLSKJPPJCJK24, author = {Dongyeon Woo and Gichang Noh and Eunpyo Park and Min Jee Kim and Dae Kyu Lee and Yong Woo Sung and Jaewook Kim and Yeonjoo Jeong and Jongkil Park and Seongsik Park and Hyun Jae Jang and Nakwon Choi and Yooyeon Jo and Joon Young Kwak}, title = {Implementation of two-step gradual reset scheme for enhancing state uniformity of 2D hBN-based memristors for image processing}, journal = {Neuromorph. Comput. Eng.}, volume = {4}, number = {3}, pages = {34001}, year = {2024}, url = {https://doi.org/10.1088/2634-4386/ad3a94}, doi = {10.1088/2634-4386/AD3A94}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/WooNPKLSKJPPJCJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/YooYKSHSSCY24, author = {Byunghyun Yoo and Sungwon Yi and Hyunwoo Kim and Younghwan Shin and Ran Han and Seungwoo Seo and Hwa Jeon Song and Euisok Chung and Jeongmin Yang}, title = {MuDE: Multi-agent decomposed reward-based exploration}, journal = {Neural Networks}, volume = {179}, pages = {106565}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2024.106565}, doi = {10.1016/J.NEUNET.2024.106565}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/YooYKSHSSCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/WeiKJK24, author = {Jing Wei and Sungdong Kim and Hyunhoon Jung and Young{-}Ho Kim}, title = {Leveraging Large Language Models to Power Chatbots for Collecting User Self-Reported Data}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--35}, year = {2024}, url = {https://doi.org/10.1145/3637364}, doi = {10.1145/3637364}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/WeiKJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pajais/LiSSLYL24, author = {Lin Li and Young Ho Song and Moataz Soliman and Kyung Young Lee and Sung{-}Byung Yang and Minwoo Lee}, title = {Customers' Continued Adoption of Mobile Apps and Their Satisfaction with Restaurants: The Case of McDonald's}, journal = {Pac. Asia J. Assoc. Inf. Syst.}, volume = {16}, number = {1}, pages = {1}, year = {2024}, url = {https://aisel.aisnet.org/pajais/vol16/iss1/1}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pajais/LiSSLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimMJK24, author = {Sunwoo Kim and Youngjo Min and Younghun Jung and Seungryong Kim}, title = {Controllable Style Transfer via Test-time Training of Implicit Neural Representation}, journal = {Pattern Recognit.}, volume = {146}, pages = {109988}, year = {2024}, url = {https://doi.org/10.1016/j.patcog.2023.109988}, doi = {10.1016/J.PATCOG.2023.109988}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/KimMJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/AnAKY24, author = {Inkyu An and Guoyuan An and Taeyoung Kim and Sung{-}Eui Yoon}, title = {Microphone Pair Training for Robust Sound Source Localization With Diverse Array Configurations}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {1}, pages = {319--326}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3333700}, doi = {10.1109/LRA.2023.3333700}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/AnAKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimKLY24, author = {Mincheul Kim and Youngsun Kwon and Sebin Lee and Sung{-}Eui Yoon}, title = {CCTV-Informed Human-Aware Robot Navigation in Crowded Indoor Environments}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {6}, pages = {5767--5774}, year = {2024}, url = {https://doi.org/10.1109/LRA.2024.3396057}, doi = {10.1109/LRA.2024.3396057}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ParkLLPCYC24, author = {Jeongeun Park and Seungwon Lim and Joonhyung Lee and Sangbeom Park and Minsuk Chang and Youngjae Yu and Sungjoon Choi}, title = {{CLARA:} Classifying and Disambiguating User Commands for Reliable Interactive Robotic Agents}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {2}, pages = {1059--1066}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3338514}, doi = {10.1109/LRA.2023.3338514}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/ParkLLPCYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/PetrosHKA24, author = {Fitsum E. Petros and Diane Hu and Priya Kulkarni and Sunil K. Agrawal}, title = {Robotically Controlled Head Oscillations During Overground Walking: {A} Comparison of Elderly and Young Adults}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {2}, pages = {1074--1081}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3340015}, doi = {10.1109/LRA.2023.3340015}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/PetrosHKA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HwangLSYSPL24, author = {Hyemin Hwang and Ju Eun Lee and Seung A. Shin and Chae Rim You and Su Hyun Shin and Jong{-}Sung Park and Jae Young Lee}, title = {Vertical Profiles of {PM2.5} and {O3} Measured Using an Unmanned Aerial Vehicle {(UAV)} and Their Relationships with Synoptic- and Local-Scale Air Movements}, journal = {Remote. Sens.}, volume = {16}, number = {9}, pages = {1581}, year = {2024}, url = {https://doi.org/10.3390/rs16091581}, doi = {10.3390/RS16091581}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HwangLSYSPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/LeeHKSPPPSLKBRKCS24, author = {Jae{-}Young Lee and Seongji Han and Munyu Kim and Yong{-}Sin Seo and Jongwoo Park and Dong Il Park and Chanhun Park and Hyunuk Seo and Joonho Lee and Hwi{-}Su Kim and Jeongae Bak and Hugo Rodrigue and Jin{-}Gyun Kim and Joono Cheong and Sung{-}Hyuk Song}, title = {Variable-stiffness-morphing wheel inspired by the surface tension of a liquid droplet}, journal = {Sci. Robotics}, volume = {9}, number = {93}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adl2067}, doi = {10.1126/SCIROBOTICS.ADL2067}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/LeeHKSPPPSLKBRKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungKL24, author = {June{-}Pyo Jung and Young{-}Bae Ko and Sung{-}Hwa Lim}, title = {Federated Learning with Pareto Optimality for Resource Efficiency and Fast Model Convergence in Mobile Environments}, journal = {Sensors}, volume = {24}, number = {8}, pages = {2476}, year = {2024}, url = {https://doi.org/10.3390/s24082476}, doi = {10.3390/S24082476}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHJYLKANS24, author = {Youngho Kim and Incheol Han and Jeyong Jung and Sumin Yang and Seunghee Lee and Bummo Koo and Soonjae Ahn and Yejin Nam and Sung{-}Hyuk Song}, title = {Measurements of Electrodermal Activity, Tissue Oxygen Saturation, and Visual Analog Scale for Different Cuff Pressures}, journal = {Sensors}, volume = {24}, number = {3}, pages = {917}, year = {2024}, url = {https://doi.org/10.3390/s24030917}, doi = {10.3390/S24030917}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHJYLKANS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYSYPKCCPL24, author = {Chang{-}Hyeon Kim and Ki{-}Hoon Yang and Yeon{-}Seob Song and Sang{-}Sun Yoo and Younggun Pu and Il{-}Hwan Kim and Seok{-}Whan Chung and Kwang{-}Wook Choi and Jun{-}Eun Park and Kang{-}Yoon Lee}, title = {A Surface Acoustic Wave-Based {PM} 1.0 Fine Dust Detection System Using Full Digital Time-Interleaved Counters}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4149}, year = {2024}, url = {https://doi.org/10.3390/s24134149}, doi = {10.3390/S24134149}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYSYPKCCPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KyungSKSK24, author = {Yeunwoong Kyung and Jihoon Sung and Haneul Ko and Taewon Song and Youngjun Kim}, title = {Priority-Aware Actuation Update Scheme in Heterogeneous Industrial Networks}, journal = {Sensors}, volume = {24}, number = {2}, pages = {357}, year = {2024}, url = {https://doi.org/10.3390/s24020357}, doi = {10.3390/S24020357}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KyungSKSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoS24, author = {Jungmin Mo and Young{-}Je Sung}, title = {Dual-Band Antenna with Pattern and Polarization Diversity}, journal = {Sensors}, volume = {24}, number = {15}, pages = {5008}, year = {2024}, url = {https://doi.org/10.3390/s24155008}, doi = {10.3390/S24155008}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MunKLHCJK24, author = {Sae Byeol Mun and Young Jae Kim and Ju Hyoung Lee and Gyu Cheol Han and Sung Ho Cho and Seok Jin and Kwang Gi Kim}, title = {Deep Learning-Based Nystagmus Detection for {BPPV} Diagnosis}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3417}, year = {2024}, url = {https://doi.org/10.3390/s24113417}, doi = {10.3390/S24113417}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MunKLHCJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKYHN24, author = {Ha{-}Je Park and Yun{-}Su Koo and Hee{-}Yeong Yang and Young{-}Shin Han and Choon{-}Sung Nam}, title = {Study on Data Preprocessing for Machine Learning Based on Semiconductor Manufacturing Processes}, journal = {Sensors}, volume = {24}, number = {17}, pages = {5461}, year = {2024}, url = {https://doi.org/10.3390/s24175461}, doi = {10.3390/S24175461}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkKYHN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24, author = {Duccio Abbaneo and Shakeel Ahmad and Raffaele Albanese and Andrey Alexandrov and Fabio Alicante and Konstantin Androsov and Anna Anokhina and Takashi Asada and Chayanit Asawatangtrakuldee and Marco A. Ayala Torres and Carlo Battilana and Aurelio Bay and Alcide Bertocco and Christopher Betancourt and Daniel Bick and Riddhi Biswas and Alberto Blanco Castro and Vincenzo Boccia and Mariyan Bogomilov and Daniele Bonacorsi and Walter Bonivento and Paula Bordalo and Alexey Boyarsky and Salvatore Buontempo and Mario Campanelli and TizianoCamporesi and Vincenzo Canale and Andrea Castro and Daniele Centanni and Francesco Cerutti and Mikhail Chernyavskiy and Ki Young Choi and Serhii Cholak and Federico Cindolo and Matei Climescu and Andrew Conaboy and Gaetano Marco Dallavalle and Daniele Davino and Paul de Bryas and Giovanni De Lellis and Massimiliano De Magistris and Albert De Roeck and Alvaro De R{\'{u}}jula and Marilisa De Serio and Dario De Simone and Antonia Di Crescenzo and Donato Di Ferdinando and Roberto Don{\`{a}} and Onur Durhan and Fabrizio Fabbri and Filips Fedotovs and Martina Ferrillo and Massimiliano Ferro{-}Luzzi and Rosa Anna Fini and Antimo Fiorillo and Raffaele Fresa and Wolfgang Funk and Francisca M. Garay Walls and Artem Golovatiuk and Andrey Golutvin and Elena Graverini and Ali M. Guler and Vasilisa Guliaeva and Guido J. Haefeli and Caren Hagner and Juan C. Helo Herrera and Eric van Herwijnen and Paolo Iengo and Simona Ilieva and Angelo Infantino and Antonio Iuliano and Richard Jacobsson and Cagin Kamiscioglu and Anni M. Kauniskangas and Emil Khalikov and Sung Hyun Kim and Yeong Gyun Kim and Guennadii Klioutchnikov and Masahiro Komatsu and Nina Konovalova and Sergey Kuleshov and Lukasz Krzempek and Heiko M. Lacker and Oliver Lantwin and Federico Lasagni Manghi and Adele Lauria and Kang Young Lee and Kyong Sei Lee and Sergio Lo Meo and Vincenzo Paolo Loschiavo and Stefano Marcellini and Annarita Margiotta and Anna Mascellani and Filippo Mei and Andrea Miano and A. Mikulenko and Maria Cristina Montesi and Francesco Luigi Navarria and Waraporn Nuntiyakul and Satoru Ogawa and Natalia Okateva and Maksym Ovchynnikov and Giulia Paggi and Byungdo Park and Alessandra Pastore and Andrea Perrotta and Dmitriy Podgrudkov and Natalia Polukhina and Andrea Prota and Antonio Quercia and S{\'{e}}rgio Ramos and Anupama Reghunath and Tatiana Roganova and Federico Ronchetti and Tiziano Rovelli and Oleg Ruchayskiy and Thomas Ruf and Marta Sabate Gilarte and Zhakypbek Sadykov and Mikhail Samoilov and Valentino Scalera and Walter Schmidt{-}Parzefall and Olivier Schneider and Givi Sekhniaidze and Nicola Serra and Mikhail Shaposhnikov and Vladimir Shevchenko and Tatiana Shchedrina and Lesya Shchutska and Hiroshi Shibuya and Saverio Simone and Gian P. Siroli and Gabriele Sirri and Guilherme Soares and Jong Yoon Sohn and Orlando J. Soto Sandoval and Maurizio Spurio and Nikolai Starkov and Jan Steggemann and Inar Timiryasov and Valeri Tioukov and Francesco Tramontano and Carina Trippl and Eduard Ursov and Andrey Ustyuzhanin and Galina Vankova{-}Kirilova and Gerardo Vasquez and Vassil Verguilov and Nuno Viegas Guerreiro Leonardo and Cristovao Vilela and Ciro Visone and Rainer Wanke and Eda Yaman and Zhibin Yang and Ceren Yazici and Chun Sil Yoon and Ettore Zaffaroni and Jilberto Zamora{-}Sa{\'{a}}}, title = {Results and Perspectives from the First Two Years of Neutrino Physics at the {LHC} by the SND@LHC Experiment}, journal = {Symmetry}, volume = {16}, number = {6}, pages = {702}, year = {2024}, url = {https://doi.org/10.3390/sym16060702}, doi = {10.3390/SYM16060702}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/JungSJKISKK24, author = {In{-}Su Jung and Yu{-}Rae Song and Lelisa Adeba Jilcha and Deuk{-}Hun Kim and Sun{-}Young Im and Shin{-}Woo Shim and Young{-}Hwan Kim and Jin Kwak}, title = {Enhanced Encrypted Traffic Analysis Leveraging Graph Neural Networks and Optimized Feature Dimensionality Reduction}, journal = {Symmetry}, volume = {16}, number = {6}, pages = {733}, year = {2024}, url = {https://doi.org/10.3390/sym16060733}, doi = {10.3390/SYM16060733}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/JungSJKISKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/AhnYLP24, author = {Sungjun Ahn and Hyun{-}Jeong Yim and Youngwan Lee and Sung{-}Ik Park}, title = {Dynamic and Super-Personalized Media Ecosystem Driven by Generative {AI:} Unpredictable Plays Never Repeating the Same}, journal = {{IEEE} Trans. Broadcast.}, volume = {70}, number = {3}, pages = {980--994}, year = {2024}, url = {https://doi.org/10.1109/TBC.2024.3380474}, doi = {10.1109/TBC.2024.3380474}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/AhnYLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/BaekJPL24, author = {Myung{-}Sun Baek and Eui{-}Suk Jung and Young Soo Park and Yong{-}Tae Lee}, title = {FTN-Based Non-Orthogonal Signal Detection Technique With Machine Learning in Quasi-Static Multipath Channel}, journal = {{IEEE} Trans. Broadcast.}, volume = {70}, number = {1}, pages = {78--86}, year = {2024}, url = {https://doi.org/10.1109/TBC.2023.3291135}, doi = {10.1109/TBC.2023.3291135}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/BaekJPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RyuJOK24, author = {Sungju Ryu and Jaeyong Jang and Youngtaek Oh and Jae{-}Joon Kim}, title = {Mobileware: Distributed Architecture With Channel Stationary Dataflow for MobileNet Acceleration}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {9}, pages = {2661--2673}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2024.3380555}, doi = {10.1109/TCAD.2024.3380555}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RyuJOK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SimYIOSKCJH24, author = {Taeyang Sim and Sun{-}Ho Yeom and Hyunwoo Im and Youngmin Oh and Hyeongmin Seo and Hyeongjun Ko and Hankyu Chi and Hae{-}Kang Jung and Jaeduk Han}, title = {A 28-Gb/s Single-Ended {PAM-4} Receiver With T-Coil-Integrated Continuous-Time Linear Equalizer in 40-nm {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {3}, pages = {1012--1016}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3324254}, doi = {10.1109/TCSII.2023.3324254}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/SimYIOSKCJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/YooJSKH24, author = {Sunyong Yoo and Myeonghyeon Jeong and Subhin Seomun and Kiseong Kim and Youngmahn Han}, title = {Interpretable Prediction of SARS-CoV-2 Epitope-Specific {TCR} Recognition Using a Pre-Trained Protein Language Model}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {21}, number = {3}, pages = {428--438}, year = {2024}, url = {https://doi.org/10.1109/TCBB.2024.3368046}, doi = {10.1109/TCBB.2024.3368046}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/YooJSKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/LeeJJKKHC24, author = {Jeong{-}Dong Lee and Dawoon Jeong and Euy{-}Young Jung and Yunyoung Kim and Jiyong Kim and Youwei He and Sungjun Choi}, title = {Mapping the Evolutionary Pattern of Mobile Products: {A} Phylogenetic Approach}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {4776--4790}, year = {2024}, url = {https://doi.org/10.1109/TEM.2022.3214489}, doi = {10.1109/TEM.2022.3214489}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/LeeJJKKHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/LimKCKK24, author = {Jeongmin Lim and Young Geun Kim and Sung Woo Chung and Farinaz Koushanfar and Joonho Kong}, title = {Near-Memory Computing With Compressed Embedding Table for Personalized Recommendation}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {12}, number = {3}, pages = {938--951}, year = {2024}, url = {https://doi.org/10.1109/TETC.2023.3345870}, doi = {10.1109/TETC.2023.3345870}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/LimKCKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeLJOLH24, author = {Chan{-}Kyu Lee and Chan{-}Ho Lee and Young{-}Jun Jeon and Young{-}Ju Oh and Byunghun Lee and Sung{-}Wan Hong}, title = {A 92 ns Settling-Time Fast-Transient Capacitor-Less {LDO} With a Stable Class {B} Bandwidth-Boosting Error Amplifier and an Improved Buffer for Mobile Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {9}, pages = {11696--11700}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3332996}, doi = {10.1109/TIE.2023.3332996}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeLJOLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SeoLPPHKKRBS24, author = {Yong{-}Sin Seo and Jae{-}Young Lee and Chanhun Park and Jongwoo Park and Byung{-}Kil Han and Je{-}Sung Koh and Uikyum Kim and Hugo Rodrigue and Jeongae Bak and Sung{-}Hyuk Song}, title = {Highly Shape-Adaptable Honeycomb Gripper Using Orthotropic Surface Tension}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {3}, pages = {2662--2671}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3265032}, doi = {10.1109/TIE.2023.3265032}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/SeoLPPHKKRBS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ByeonYLKKCK24, author = {Kwankyun Byeon and Sesun You and Youngwoo Lee and Sungho Kim and Donghyun Kang and Jongwon Choi and Wonhee Kim}, title = {Robust Arbitrary-Time Path-Tracking Control Using Reduced Order Kinematic Model for Unmanned Ground Vehicles}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {25}, number = {5}, pages = {4089--4101}, year = {2024}, url = {https://doi.org/10.1109/TITS.2023.3329997}, doi = {10.1109/TITS.2023.3329997}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ByeonYLKKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/LeeSSKKK024, author = {Seongwoo Lee and Joonho Seon and Young Ghyu Sun and Soo Hyun Kim and Chanuk Kyeong and Dong In Kim and Jin Young Kim}, title = {Novel Architecture of Energy Management Systems Based on Deep Reinforcement Learning in Microgrid}, journal = {{IEEE} Trans. Smart Grid}, volume = {15}, number = {2}, pages = {1646--1658}, year = {2024}, url = {https://doi.org/10.1109/TSG.2023.3317096}, doi = {10.1109/TSG.2023.3317096}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/LeeSSKKK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/KimKHHKPEKK24, author = {Hwiyeon Kim and Joohee Kim and Yunha Han and Hwajung Hong and Oh{-}Sang Kwon and Young{-}Woo Park and Niklas Elmqvist and Sungahn Ko and Bum Chul Kwon}, title = {Towards Visualization Thumbnail Designs That Entice Reading Data-Driven Articles}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {30}, number = {8}, pages = {4825--4840}, year = {2024}, url = {https://doi.org/10.1109/TVCG.2023.3278304}, doi = {10.1109/TVCG.2023.3278304}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/KimKHHKPEKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KoKYP24, author = {Kyeongjun Ko and Youngju Kim and Yongki Yoon and Sungsoo Park}, title = {Field Verification of Wireless Cellular Communication-Based Subway Train Localization}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {6}, pages = {7681--7692}, year = {2024}, url = {https://doi.org/10.1109/TVT.2024.3355888}, doi = {10.1109/TVT.2024.3355888}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KoKYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/PrajapatGKJDPL24, author = {Sunil Prajapat and Deepika Gautam and Pankaj Kumar and Srinivas Jangirala and Ashok Kumar Das and Youngho Park and Pascal Lorenz}, title = {Secure Lattice-Based Aggregate Signature Scheme for Vehicular Ad Hoc Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {9}, pages = {12370--12384}, year = {2024}, url = {https://doi.org/10.1109/TVT.2024.3383967}, doi = {10.1109/TVT.2024.3383967}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/PrajapatGKJDPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urbaninformatics/JangSHSDK24, author = {Kee Moon Jang and Hanew Suh and Fadi Ghassan Haddad and Maoran Sun and F{\'{a}}bio Duarte and Youngchul Kim}, title = {Urban street clusters: unraveling the associations of street characteristics on urban vibrancy dynamics in age, time, and day}, journal = {Urban Inform.}, volume = {3}, number = {1}, pages = {27}, year = {2024}, url = {https://doi.org/10.1007/s44212-024-00058-4}, doi = {10.1007/S44212-024-00058-4}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urbaninformatics/JangSHSDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/RheeKLSKYCK24, author = {Wounsuk Rhee and Young Gyun Kim and Jong Hyeon Lee and Jae Woo Shim and Byeong Soo Kim and Dan Yoon and Minwoo Cho and Sungwan Kim}, title = {Unconstrained lightweight control interface for robot-assisted minimally invasive surgery using MediaPipe framework and head-mounted display}, journal = {Virtual Real.}, volume = {28}, number = {2}, pages = {114}, year = {2024}, url = {https://doi.org/10.1007/s10055-024-00986-1}, doi = {10.1007/S10055-024-00986-1}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/RheeKLSKYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimCYY024, author = {Sunoh Kim and Jungchan Cho and Joonsang Yu and Youngjoon Yoo and Jin Young Choi}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Gaussian Mixture Proposals with Pull-Push Learning Scheme to Capture Diverse Events for Weakly Supervised Temporal Video Grounding}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {2795--2803}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i3.28059}, doi = {10.1609/AAAI.V38I3.28059}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimCYY024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YuWLWCS24, author = {Xiaoxuan Yu and Hao Wang and Weiming Li and Qiang Wang and SoonYong Cho and Younghun Sung}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {{DOCTR:} Disentangled Object-Centric Transformer for Point Scene Understanding}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {6826--6834}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i7.28507}, doi = {10.1609/AAAI.V38I7.28507}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YuWLWCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KangKKMCYLY24, author = {Dongjin Kang and Sunghwan Kim and Taeyoon Kwon and Seungjun Moon and Hyunsouk Cho and Youngjae Yu and Dongha Lee and Jinyoung Yeo}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Can Large Language Models be Good Emotional Supporter? Mitigating Preference Bias on Emotional Support Conversation}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {15232--15261}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.acl-long.813}, doi = {10.18653/V1/2024.ACL-LONG.813}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KangKKMCYLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeKYSYY24, author = {Sangkyu Lee and Sungdong Kim and Ashkan Yousefpour and Minjoon Seo and Kang Min Yoo and Youngjae Yu}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Aligning Large Language Models by On-Policy Self-Judgment}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {11442--11459}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.acl-long.617}, doi = {10.18653/V1/2024.ACL-LONG.617}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeKYSYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeLSHC24, author = {Young{-}Jun Lee and Dokyong Lee and Joo{-}Won Sung and Jonghwan Hyeon and Ho{-}Jin Choi}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Large Language Models can Share Images, Too!}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {692--713}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-acl.39}, doi = {10.18653/V1/2024.FINDINGS-ACL.39}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeLSHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/LeeYJ24, author = {Junghyun Lee and Se{-}Young Yun and Kwang{-}Sung Jun}, editor = {Sanjoy Dasgupta and Stephan Mandt and Yingzhen Li}, title = {Improved Regret Bounds of (Multinomial) Logistic Bandits via Regret-to-Confidence-Set Conversion}, booktitle = {International Conference on Artificial Intelligence and Statistics, 2-4 May 2024, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {238}, pages = {4474--4482}, publisher = {{PMLR}}, year = {2024}, url = {https://proceedings.mlr.press/v238/lee24c.html}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/LeeYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/FuLSLWY24, author = {Bangqi Fu and Lixin Liu and Yang Sun and Wing Ho Lau and Martin D. F. Wong and Evangeline F. Y. Young}, title = {CoPlace: Coherent Placement Engine with Layout-aware Partitioning for 3D ICs}, booktitle = {Proceedings of the 29th Asia and South Pacific Design Automation Conference, {ASPDAC} 2024, Incheon, Korea, January 22-25, 2024}, pages = {65--70}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASP-DAC58780.2024.10473808}, doi = {10.1109/ASP-DAC58780.2024.10473808}, timestamp = {Thu, 22 Aug 2024 15:31:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/FuLSLWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YangYLK024, author = {Jinho Yang and Sungwoong Yune and Sukbin Lim and Donghyuk Kim and Joo{-}Young Kim}, title = {ACane: An Efficient FPGA-based Embedded Vision Platform with Accumulation-as-Convolution Packing for Autonomous Mobile Robots}, booktitle = {Proceedings of the 29th Asia and South Pacific Design Automation Conference, {ASPDAC} 2024, Incheon, Korea, January 22-25, 2024}, pages = {533--538}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ASP-DAC58780.2024.10473872}, doi = {10.1109/ASP-DAC58780.2024.10473872}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YangYLK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimNJSCJ24, author = {Jaehyun Kim and Sungu Nam and Sangjin Jang and Youngjo Song and Byunghyuk Choi and Jaeseung Jeong}, title = {Decoding {EEG} Signals Both During and After Offset of Small and Short-Time Visual Target Stimulus}, booktitle = {12th International Winter Conference on Brain-Computer Interface, {BCI} 2024, Gangwon, Korea, Republic of, February 26-28, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BCI60775.2024.10480496}, doi = {10.1109/BCI60775.2024.10480496}, timestamp = {Wed, 10 Apr 2024 16:57:56 +0200}, biburl = {https://dblp.org/rec/conf/bci3/KimNJSCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/LeeLKLK24, author = {Young{-}Eun Lee and Seo{-}Hyun Lee and Soowon Kim and Jung{-}Sun Lee and Deok{-}Seon Kim}, title = {Enhanced Generative Adversarial Networks for Unseen Word Generation from {EEG} Signals}, booktitle = {12th International Winter Conference on Brain-Computer Interface, {BCI} 2024, Gangwon, Korea, Republic of, February 26-28, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BCI60775.2024.10480505}, doi = {10.1109/BCI60775.2024.10480505}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/LeeLKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/NamJSCKJ24, author = {Sungu Nam and Sang Jin Jang and Youngjo Song and Byunghyuk Choi and Jaehyun Kim and Jaeseung Jeong}, title = {The Impact of Mini-batch Design on {EEG} Classification in Anomaly Detection for Video Surveillance}, booktitle = {12th International Winter Conference on Brain-Computer Interface, {BCI} 2024, Gangwon, Korea, Republic of, February 26-28, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BCI60775.2024.10480474}, doi = {10.1109/BCI60775.2024.10480474}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/NamJSCKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChungHH24, author = {Hyunseok Chung and Sun{-}Young Hyun and Young{-}Guk Ha}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {Battlefield Situation Awareness Using Pretrained Generative {LLM}}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {397--398}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00087}, doi = {10.1109/BIGCOMP60711.2024.00087}, timestamp = {Thu, 18 Apr 2024 16:24:12 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/ChungHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/HongAJ024, author = {Seungkyun Hong and Sunghyun Ahn and Youngwan Jo and Sanghyun Park}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {Dual Stream Fusion U-Net Transformers for 3D Medical Image Segmentation}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {301--308}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00054}, doi = {10.1109/BIGCOMP60711.2024.00054}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/HongAJ024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimP24a, author = {Sung{-}yeon Kim and Young{-}Ho Park}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {An Emotion Analysis Method Building Love Emotion Emoji Dictionary(LED) Using Deep Neural Network}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {367--368}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00072}, doi = {10.1109/BIGCOMP60711.2024.00072}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KimP24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeBDJH24, author = {Chang{-}Eun Lee and Jaeuk Baek and Seungwon Do and Sungwoo Jun and Young{-}Guk Ha}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {Multi-Agent Based Collaborative Agent Architecture for Battlefield Situation Awareness}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {395--396}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00086}, doi = {10.1109/BIGCOMP60711.2024.00086}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeBDJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/BaekJPL24, author = {Myung{-}Sun Baek and Eui{-}Suk Jung and Young Soo Park and Yong{-}Tae Lee}, title = {Federated Digital Twin Implementation Methodology to Build a Large-Scale Digital Twin System}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2024, Toronto, ON, Canada, June 19-21, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BMSB62888.2024.10608284}, doi = {10.1109/BMSB62888.2024.10608284}, timestamp = {Thu, 15 Aug 2024 10:49:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/BaekJPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChaGWLNP24, author = {Yoon Jeong Cha and Yasemin Gunal and Alice Wou and Joyce M. Lee and Mark W. Newman and Sun Young Park}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Shared Responsibility in Collaborative Tracking for Children with Type 1 Diabetes and their Parents}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {137:1--137:20}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642344}, doi = {10.1145/3613904.3642344}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChaGWLNP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimKL24, author = {Sunbum Kim and YoungIn Kim and Geehyuk Lee}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Pressure-Based Menu Selection on a Spherical Tangible Device}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {270:1--270:6}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3651090}, doi = {10.1145/3613905.3651090}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimSKK0L24, author = {Taejun Kim and Youngbo Aram Shim and Youngin Kim and Sunbum Kim and Jaeyeon Lee and Geehyuk Lee}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {QuadStretcher: {A} Forearm-Worn Skin Stretch Display for Bare-Hand Interaction in {AR/VR}}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {409:1--409:15}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642067}, doi = {10.1145/3613904.3642067}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimSKK0L24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SuKTC24, author = {Zhaoyuan Su and Sunil P. Kamath and Pornchai Tirakitsoontorn and Yunan Chen}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Creating Safe Places: Understanding the Lived Experiences of Families Managing Cystic Fibrosis in Young Children}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {126:1--126:18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642334}, doi = {10.1145/3613904.3642334}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SuKTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KangKPJJ24, author = {Minyoung Kang and Sunghoon Kim and Youngmin Park and Sangsu Jeong and Dongsuk Jeon}, title = {A 28nm All-Digital Droop Detection and Mitigation Circuit Using a Shared Dual-Mode Delay Line with 14.8{\%} VminReduction and 42.9{\%} Throughput Gain}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10528973}, doi = {10.1109/CICC60959.2024.10528973}, timestamp = {Mon, 03 Jun 2024 20:37:04 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KangKPJJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/LeeYYPJSK24, author = {Unggi Lee and Sungjun Yoon and Joon Seo Yun and Kyoungsoo Park and Younghoon Jung and Damji Stratton and Hyeoncheol Kim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Difficulty-Focused Contrastive Learning for Knowledge Tracing with a Large Language Model-Based Difficulty Prediction}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {4891--4900}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.438}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/LeeYYPJSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/KimBLHWPL24, author = {Young Woo Kim and Seon Been Bak and Byung Wook Lee and Seung Wook Hong and Ki WookKim and Sun{-}Dong Park and Won Yung Lee}, editor = {Hossain Shahriar and Hiroyuki Ohsaki and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Yoshiaki Hori and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {Comprehensive Analysis of the Therapeutic Effects and Mechanisms of Herbal Medicine Through Network-Based Methods}, booktitle = {48th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2024, Osaka, Japan, July 2-4, 2024}, pages = {1532--1533}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMPSAC61105.2024.00224}, doi = {10.1109/COMPSAC61105.2024.00224}, timestamp = {Thu, 05 Sep 2024 13:56:33 +0200}, biburl = {https://dblp.org/rec/conf/compsac/KimBLHWPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/KimLK24, author = {Taeyoung Kim and Jihyun Lee and Sungwon Kang}, editor = {Hossain Shahriar and Hiroyuki Ohsaki and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Yoshiaki Hori and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {Cloned Code Clustering for the Software Product Line Engineering Approach to Developing a Family of Products}, booktitle = {48th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2024, Osaka, Japan, July 2-4, 2024}, pages = {1350--1355}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMPSAC61105.2024.00178}, doi = {10.1109/COMPSAC61105.2024.00178}, timestamp = {Thu, 05 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/KimLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiangHLLKCSPYYK24, author = {Youwei Liang and Junfeng He and Gang Li and Peizhao Li and Arseniy Klimovskiy and Nicholas Carolan and Jiao Sun and Jordi Pont{-}Tuset and Sarah Young and Feng Yang and Junjie Ke and Krishnamurthy Dj Dvijotham and Katherine M. Collins and Yiwen Luo and Yang Li and Kai J. Kohlhoff and Deepak Ramachandran and Vidhya Navalpakkam}, title = {Rich Human Feedback for Text-to-Image Generation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {19401--19411}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.01835}, doi = {10.1109/CVPR52733.2024.01835}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiangHLLKCSPYYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NaKHHY24, author = {Youngju Na and Woo Jae Kim and Kyu Beom Han and Suhyeon Ha and Sung{-}Eui Yoon}, title = {UFORecon: Generalizable Sparse-View Surface Reconstruction from Arbitrary and Unfavorable Sets}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {5094--5104}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.00487}, doi = {10.1109/CVPR52733.2024.00487}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/NaKHHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SeoLLMP24, author = {Juwon Seo and Sung{-}Hoon Lee and Tae{-}Young Lee and Seungjun Moon and Gyeong{-}Moon Park}, title = {Generative Unlearning for Any Identity}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {9151--9161}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.00874}, doi = {10.1109/CVPR52733.2024.00874}, timestamp = {Wed, 02 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SeoLLMP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MajiLP24, author = {Supriyo Maji and Sungyoung Lee and David Z. Pan}, title = {Analog Transistor Placement Optimization Considering Nonlinear Spatial Variations}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/DATE58400.2024.10546584}, doi = {10.23919/DATE58400.2024.10546584}, timestamp = {Mon, 07 Oct 2024 17:01:09 +0200}, biburl = {https://dblp.org/rec/conf/date/MajiLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/ParkJS24, author = {Meeyoung Park and Younghwan Jeon and Wookjoon Sung}, editor = {Hsin{-}Chung Liao and David Duenas{-}Cid and Marie Anne Macadar and Flavia Bernardini}, title = {Intelligent City: Opportunities, Risks, and Policy Responses}, booktitle = {Proceedings of the 25th Annual International Conference on Digital Government Research, {DGO} 2024, Taipei, Taiwan, June 11-14, 2024}, pages = {981--984}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3657054.3657168}, doi = {10.1145/3657054.3657168}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dgo/ParkJS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/SongPHYJGY24, author = {Jongyoon Song and Nohil Park and Bongkyu Hwang and Jaewoong Yun and Seongho Joe and Youngjune Gwon and Sungroh Yoon}, editor = {Yvette Graham and Matthew Purver}, title = {Entity-level Factual Adaptiveness of Fine-tuning based Abstractive Summarization Models}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024 - Volume 1: Long Papers, St. Julian's, Malta, March 17-22, 2024}, pages = {915--929}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-long.55}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/SongPHYJGY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/GoLL24, author = {Young{-}Ho Go and Seung{-}Hwan Lee and Sung{-}Hak Lee}, title = {Multi-Exposed Image Fusion Using Multiscale-Surround Switching Map}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457151}, doi = {10.1109/ICEIC61013.2024.10457151}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/GoLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ChoiHCLLLKKJKK24, author = {Jinwoo Choi and Yeonan Ha and Hanna Cha and Seil Lee and Sungchul Lee and Jounghoo Lee and Shinhaeng Kang and Bongjun Kim and Hanwoong Jung and Hanjun Kim and Youngsok Kim}, title = {MPC-Wrapper: Fully Harnessing the Potential of Samsung Aquabolt-XL {HBM2-PIM} on FPGAs}, booktitle = {32nd {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2024, Orlando, FL, USA, May 5-8, 2024}, pages = {162--172}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/FCCM60383.2024.00027}, doi = {10.1109/FCCM60383.2024.00027}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/ChoiHCLLLKKJKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HongCPYGK24, author = {Jeongmin Hong and Sungjun Cho and Geonwoo Park and Wonhyuk Yang and Young{-}Ho Gong and Gwangsun Kim}, title = {Bandwidth-Effective {DRAM} Cache for {GPU} s with Storage-Class Memory}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {139--155}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00021}, doi = {10.1109/HPCA57654.2024.00021}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/HongCPYGK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/HwangLOY24, author = {Sunyeol Hwang and Eungyeong Lee and Hongseok Oh and Youngmin Yi}, editor = {Patrizio Dazzi and Gabriele Mencagli and David K. Lowenthal and Rosa M. Badia}, title = {{FASOP:} Fast yet Accurate Automated Search for Optimal Parallelization of Transformers on Heterogeneous {GPU} Clusters}, booktitle = {Proceedings of the 33rd International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2024, Pisa, Italy, June 3-7, 2024}, pages = {253--266}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3625549.3658687}, doi = {10.1145/3625549.3658687}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/HwangLOY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimOLJC24, author = {Youngchul Kim and Soo{-}Cheol Oh and Sangmin Lee and Ki{-}Sung Jin and Gyuil Cha}, title = {Evaluation of {\textdollar}{\textbackslash}vert{\textbackslash}mathrm\{Y\} {\textgreater}{\textdollar} Magic State Distillation Circuit}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {221--225}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471972}, doi = {10.23919/ICACT60172.2024.10471972}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/KimOLJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimWS24, author = {Jeeho Kim and Jin{-}Chun Woo and Young Sunwoo}, title = {A Study on Real-Time Evaluation of Uncertainty of {PM-10} Concentration Determined by Tele-Measuring Instrument}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471962}, doi = {10.23919/ICACT60172.2024.10471962}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/KimWS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/BaeLLMKC024, author = {Jae{-}Sung Bae and Joun Yeop Lee and Ji{-}Hyun Lee and Seongkyu Mun and Taehwa Kang and Hoon{-}Young Cho and Chanwoo Kim}, title = {Latent Filling: Latent Space Data Augmentation for Zero-Shot Speech Synthesis}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {11166--11170}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446098}, doi = {10.1109/ICASSP48485.2024.10446098}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/BaeLLMKC024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChaLLY24, author = {Sungguk Cha and Jusung Lee and Younghyun Lee and Cheoljong Yang}, title = {Visually Dehallucinative Instruction Generation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {5510--5514}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446658}, doi = {10.1109/ICASSP48485.2024.10446658}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChaLLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChoiBLMLC024, author = {Heejin Choi and Jae{-}Sung Bae and Joun Yeop Lee and Seongkyu Mun and Jihwan Lee and Hoon{-}Young Cho and Chanwoo Kim}, title = {Mels-Tts : Multi-Emotion Multi-Lingual Multi-Speaker Text-To-Speech System Via Disentangled Style Tokens}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {12682--12686}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446852}, doi = {10.1109/ICASSP48485.2024.10446852}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChoiBLMLC024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HwangCHCP24, author = {Seorim Hwang and Jaebin Cha and Junyeong Heo and Sungpil Cho and Youngcheol Park}, title = {Multi-Label Abnormality Classification from 12-Lead {ECG} Using {A} 2D Residual U-Net}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {2265--2269}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10448259}, doi = {10.1109/ICASSP48485.2024.10448259}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HwangCHCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkMBJ24, author = {Jae Hyun Park and Joon{-}Gyu Maeng and Taejun Bak and Young{-}Sun Joo}, title = {{SYNTHE-SEES:} Face Based Text-to-Speech for Virtual Speaker}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {10321--10325}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10448433}, doi = {10.1109/ICASSP48485.2024.10448433}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkMBJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShinBSBP24, author = {Seungmin Shin and Joon Byun and Jongmo Sung and Seungkwon Beack and Youngcheol Park}, title = {Quantization Noise Masking in Perceptual Neural Audio Coder}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {1246--1250}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446359}, doi = {10.1109/ICASSP48485.2024.10446359}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShinBSBP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/MoonHKSDK24, author = {YoungGyoun Moon and Yoonseon Han and Sunghwan Kim and M. Sasank Sai and Aneesh Deshmukh and Dongmyoung Kim}, title = {Data Plane Acceleration Using Heterogeneous Programmable Network Devices Towards 6G}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2024, Denver, CO, USA, June 9-13, 2024}, pages = {421--426}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICC51166.2024.10622666}, doi = {10.1109/ICC51166.2024.10622666}, timestamp = {Mon, 02 Sep 2024 15:04:36 +0200}, biburl = {https://dblp.org/rec/conf/icc/MoonHKSDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/CheongJL24, author = {Youngjae Cheong and Woomin Jun and Sungjin Lee}, title = {Performance Enhancement Using Data Augmentation of Point Cloud Based 3D Object Detection for Autonomous Driving}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444272}, doi = {10.1109/ICCE59016.2024.10444272}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/CheongJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimLKS24, author = {Jeonghye Kim and Suyoung Lee and Woojun Kim and Youngchul Sung}, title = {Decision ConvFormer: Local Filtering in MetaFormer is Sufficient for Decision Making}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=af2c8EaKl8}, timestamp = {Mon, 29 Jul 2024 16:19:40 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimLKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkPKLKKKKLL24, author = {Gunho Park and Baeseong Park and Minsub Kim and Sungjae Lee and Jeonghoon Kim and Beomseok Kwon and Se Jung Kwon and Byeongwook Kim and Youngjoo Lee and Dongsoo Lee}, title = {{LUT-GEMM:} Quantized Matrix Multiplication based on LUTs for Efficient Inference in Large-Scale Generative Language Models}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=gLARhFLE0F}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkPKLKKKKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChoPLS24, author = {Myungsik Cho and Jongeui Park and Suyoung Lee and Youngchul Sung}, title = {Hard Tasks First: Multi-Task Reinforcement Learning Through Task Scheduling}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=haUOhXo70o}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/ChoPLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/HwangYLH24, author = {Sunil Hwang and Jaehong Yoon and Youngwan Lee and Sung Ju Hwang}, title = {{EVEREST:} Efficient Masked Video Autoencoder by Removing Redundant Spatiotemporal Tokens}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=nn5OPHom8t}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/HwangYLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KoKCY24, author = {Jongwoo Ko and Sungnyun Kim and Tianyi Chen and Se{-}Young Yun}, title = {DistiLLM: Towards Streamlined Distillation for Large Language Models}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=lsHZNNoC7r}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KoKCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ParkBKHLS24, author = {Giseung Park and Woohyeon Byeon and Seongmin Kim and Elad Havakuk and Amir Leshem and Youngchul Sung}, title = {The Max-Min Formulation of Multi-Objective Reinforcement Learning: From Theory to a Model-Free Algorithm}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=cY9g0bwiZx}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/ParkBKHLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/YoonLAO24, author = {Youngsik Yoon and Gangbok Lee and Sungsoo Ahn and Jungseul Ok}, title = {Breadth-First Exploration on Adaptive Grid for Reinforcement Learning}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=59MYoLghyk}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/YoonLAO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ShinJKHCC24, author = {Hong{-}Gi Shin and Sukhyun Jeong and Eui{-}Yeon Kim and Sungho Hong and Young{-}Jin Cho and Yong{-}Hoon Choi}, title = {Synergistic Formulaic Alpha Generation for Quantitative Trading based on Reinforcement Learning}, booktitle = {International Conference on Information Networking, {ICOIN} 2024, Ho Chi Minh City, Vietnam, January 17-19, 2024}, pages = {42--46}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICOIN59985.2024.10572120}, doi = {10.1109/ICOIN59985.2024.10572120}, timestamp = {Mon, 22 Jul 2024 15:48:05 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ShinJKHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/JeongC0PHKK024, author = {Shinnung Jeong and Sungjun Cho and Yongwoo Lee and Hyunjun Park and Seonyeong Heo and Gwangsun Kim and Youngsok Kim and Hanjun Kim}, title = {{CR2:} Community-aware Compressed Regular Representation for Graph Processing on a {GPU}}, booktitle = {Proceedings of the 53rd International Conference on Parallel Processing, {ICPP} 2024, Gotland, Sweden, August 12-15, 2024}, pages = {544--554}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3673038.3673056}, doi = {10.1145/3673038.3673056}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/JeongC0PHKK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/DoOKLJ24, author = {Youngsoo Do and Sung Bhin Oh and JongHun Kim and SeJeong Lim and Jae Wook Jeon}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Performance Analysis of Traffic Shaping Approaches in Time-Sensitive Networking(TSN)}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--8}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418407}, doi = {10.1109/IMCOM60618.2024.10418407}, timestamp = {Sat, 02 Mar 2024 07:57:37 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/DoOKLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JeonKLY24, author = {Hye{-}Seon Jeon and Seo{-}Young Kyung and Sung{-}Jae Lee and Hye{-}Yeon Yu}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Emotional Subtitles Through Speech in Films: {A} Case Study}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418268}, doi = {10.1109/IMCOM60618.2024.10418268}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JeonKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KimDOJ24, author = {JongHun Kim and Youngsoo Do and Sung Bhin Oh and JaeWook Jeon}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Entry Merging Method for Load Reduction on {SOME/IP} Service Discovery}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418373}, doi = {10.1109/IMCOM60618.2024.10418373}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KimDOJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KimPSKLLKHKKCRCLKYC24, author = {Jihun Kim and Sangsu Park and Hongju Suh and Youngjae Kwon and Seonghun Lee and Yubin Lee and Kayoung Kim and Eungu Han and Jongil Kim and Kyu Sung Kim and Hyejung Choi and Seungwook Ryu and Su Jin Chae and Seho Lee and Soo Gil Kim and Jaeyun Yi and Seonyong Cha}, title = {Realistic Noise-aware Training as a Component of the Holistic ACiM Development Platform}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536981}, doi = {10.1109/IMW59701.2024.10536981}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KimPSKLLKHKKCRCLKYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChaLDJ24, author = {Sung Keun Cha and Se Jeong Lim and Young Soo Do and Jae Wook Jeon}, title = {Allocating Auto Offset System in Periodic Task for Load Balancing in Multi-Core {ECU}}, booktitle = {33rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2024, Ulsan, Republic of Korea, June 18-21, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISIE54533.2024.10595771}, doi = {10.1109/ISIE54533.2024.10595771}, timestamp = {Fri, 02 Aug 2024 11:15:06 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChaLDJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/DoOLJ24, author = {Young Soo Do and Sung Bhin Oh and Se Jeong Lim and Jae Wook Jeon}, title = {Approach to Improving Asynchronous Traffic Shaping Performance Using a Combination of Shaper}, booktitle = {33rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2024, Ulsan, Republic of Korea, June 18-21, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISIE54533.2024.10595713}, doi = {10.1109/ISIE54533.2024.10595713}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/DoOLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/LimODJ24, author = {Se Jeong Lim and Sung Bhin Oh and Young Soo Do and Jae Wook Jeon}, title = {A Core-Combine Processing Method for Diagnostic in Multi-core {ECU}}, booktitle = {33rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2024, Ulsan, Republic of Korea, June 18-21, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISIE54533.2024.10595720}, doi = {10.1109/ISIE54533.2024.10595720}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/LimODJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/OhDLCCJ24, author = {Sung Bhin Oh and Young Soo Do and Se Jeong Lim and Hyeok Jun Choi and Sung Keun Cha and Jae Wook Jeon}, title = {Implementation of {SOME/IP-CAN} Gateway in View of Automotive Service Discovery}, booktitle = {33rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2024, Ulsan, Republic of Korea, June 18-21, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISIE54533.2024.10595801}, doi = {10.1109/ISIE54533.2024.10595801}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/OhDLCCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLJPKWHJH24, author = {Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Hyeonho Park and Jeong{-}Hun Kim and Young{-}Jin Woo and Ju{-}Pyo Hong and Haifeng Jin and Sung{-}Wan Hong}, title = {8.7 {A} 92.7{\%} Peak Efficiency 12V-to-60V Input to 1.2V Output Hybrid {DC-DC} Converter Based on a Series-Parallel-Connected Switched Capacitor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {156--158}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454344}, doi = {10.1109/ISSCC49657.2024.10454344}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLJPKWHJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSCPHKJKKLK24, author = {Ik{-}Hwan Kim and Jeong{-}Il Seo and Young{-}Hwan Choo and Seungchan Park and Jae{-}Yeol Han and Woosik Kim and Sung{-}Youb Jung and Taehyuk Ko and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {31.3 {A} 950ns 0.5-to-5.5V 5G {NR} {RF} {PA} Supply Modulator with Floating Capacitor Control for Symbol Power Tracking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {500--502}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454517}, doi = {10.1109/ISSCC49657.2024.10454517}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSCPHKJKKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCLOJYLH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Chan{-}Ho Lee and Young{-}Ju Oh and Hyunwoo Jeong and Jun{-}Hyeok Yang and Jaeseung Lee and Sung{-}Wan Hong}, title = {8.8 {A} 97.18{\%} Peak-Efficiency Asymmetrically Implemented Dual-phase {(AID)} Converter with a full Voltage-Conversion Ratio {(VCR)} between 0-and-1}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454524}, doi = {10.1109/ISSCC49657.2024.10454524}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCLOJYLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/FrangiasIHV24, author = {Korinna Frangias and Mi{-}Young Im and Hee{-}Sung Han and Dilip Vasudevan}, title = {Skyrmion-Based Ternary {CPU} Design}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2024, Knoxville, TN, USA, July 1-3, 2024}, pages = {577--584}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISVLSI61997.2024.00109}, doi = {10.1109/ISVLSI61997.2024.00109}, timestamp = {Tue, 01 Oct 2024 21:41:42 +0200}, biburl = {https://dblp.org/rec/conf/isvlsi/FrangiasIHV24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/HyunSHYO24, author = {Lee Hyun and Kim Sung{-}Bin and Seungju Han and Youngjae Yu and Tae{-}Hyun Oh}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {{SMILE:} Multimodal Dataset for Understanding Laughter in Video with Language Models}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {1149--1167}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-naacl.73}, doi = {10.18653/V1/2024.FINDINGS-NAACL.73}, timestamp = {Thu, 12 Sep 2024 13:29:32 +0200}, biburl = {https://dblp.org/rec/conf/naacl/HyunSHYO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/KimYYBHHY24, author = {Yujin Kim and Jaehong Yoon and Seonghyeon Ye and Sangmin Bae and Namgyu Ho and Sung Ju Hwang and Se{-}Young Yun}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {Carpe diem: On the Evaluation of World Knowledge in Lifelong Language Models}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (Volume 1: Long Papers), {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {5401--5415}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-long.302}, doi = {10.18653/V1/2024.NAACL-LONG.302}, timestamp = {Thu, 29 Aug 2024 17:13:57 +0200}, biburl = {https://dblp.org/rec/conf/naacl/KimYYBHHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nordichi/ShrivastavaS0K24, author = {Vaishnavi Shrivastava and Sumita Sharma and Dipanjan Chakraborty and Marianne Kinnula}, title = {Is a Sunny Day Bright and Cheerful or Hot and Uncomfortable? Young Children's Exploration of ChatGPT}, booktitle = {Proceedings of the 13th Nordic Conference on Human-Computer Interaction, NordiCHI 2024, Uppsala, Sweden, October 13-16, 2024}, pages = {61:1--61:15}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3679318.3685397}, doi = {10.1145/3679318.3685397}, timestamp = {Wed, 02 Oct 2024 09:28:19 +0200}, biburl = {https://dblp.org/rec/conf/nordichi/ShrivastavaS0K24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/ShrewsburyKKKL24, author = {Daniel Shrewsbury and Suneung Kim and Young{-}Eun Kim and Heejo Kong and Seong{-}Whan Lee}, editor = {De{-}Nian Yang and Xing Xie and Vincent S. Tseng and Jian Pei and Jen{-}Wei Huang and Jerry Chun{-}Wei Lin}, title = {Instance-Ambiguity Weighting for Multi-label Recognition with Limited Annotations}, booktitle = {Advances in Knowledge Discovery and Data Mining - 28th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2024, Taipei, Taiwan, May 7-10, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14645}, pages = {156--167}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-97-2242-6\_13}, doi = {10.1007/978-981-97-2242-6\_13}, timestamp = {Fri, 03 May 2024 21:22:12 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/ShrewsburyKKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/Kang0LOLC24, author = {Woo{-}Sung Kang and Jinkyu Lee and Youngmoon Lee and Sangeun Oh and Kilho Lee and Hoon Sung Chwa}, title = {RT-Swap: Addressing {GPU} Memory Bottlenecks for Real-Time Multi-DNN Inference}, booktitle = {30th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2024, Hong Kong, May 13-16, 2024}, pages = {373--385}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/RTAS61025.2024.00037}, doi = {10.1109/RTAS61025.2024.00037}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtas/Kang0LOLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChoiJY24, author = {Jake Choi and Sunchul Jung and Heon Young Yeom}, editor = {Jiman Hong and Juw Won Park}, title = {{GPU} Memory Reallocation Techniques in Fully Homomorphic Encryption Workloads}, booktitle = {Proceedings of the 39th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2024, Avila, Spain, April 8-12, 2024}, pages = {1525--1532}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3605098.3636037}, doi = {10.1145/3605098.3636037}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChoiJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/se/SundermannHNBYT24, author = {Chico Sundermann and Tobias He{\ss} and Michael Nieke and Paul Maximilian Bittner and Jeffrey M. Young and Thomas Th{\"{u}}m and Ina Schaefer}, editor = {Rick Rabiser and Manuel Wimmer and Iris Groher and Andreas Wortmann and Bianca Wiesmayr}, title = {Evaluating State-of-the-Art {\#}SAT Solvers on Industrial Configuration Spaces}, booktitle = {Software Engineering 2024, Fachtagung des GI-Fachbereichs Softwaretechnik, Linz, Austria, February 26 - March 1, 2024}, series = {{LNI}}, volume = {{P-343}}, pages = {67--68}, publisher = {Gesellschaft f{\"{u}}r Informatik e.V.}, year = {2024}, url = {https://doi.org/10.18420/sw2024\_18}, doi = {10.18420/SW2024\_18}, timestamp = {Mon, 11 Mar 2024 16:51:10 +0100}, biburl = {https://dblp.org/rec/conf/se/SundermannHNBYT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/IyerLLKKS24, author = {Venkatraman Iyer and Sungho Lee and Semun Lee and Juitem Joonwoo Kim and Hyunjun Kim and Youngjae Shin}, editor = {Michele Garetto and Andrea Marin and Florin Ciucu and Giulia Fanti and Rhonda Righter}, title = {Automated Backend Allocation for Multi-Model, On-Device {AI} Inference}, booktitle = {Abstracts of the 2024 {ACM} {SIGMETRICS/IFIP} {PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS/PERFORMANCE} 2024, Venice, Italy, June 10-14, 2024}, pages = {27--28}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3652963.3655046}, doi = {10.1145/3652963.3655046}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/IyerLLKKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeHJIJ24, author = {Min Seok Lee and Illhoe Hwang and Sungwook Jang and Nak Joon Im and Young Jae Jang}, title = {Markov Decision Process Approach for Battery Charging of an Automated Guided Vehicle}, booktitle = {21st International Conference on Ubiquitous Robots, {UR} 2024, New York, NY, USA, June 24-27, 2024}, pages = {138--145}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/UR61395.2024.10597469}, doi = {10.1109/UR61395.2024.10597469}, timestamp = {Wed, 14 Aug 2024 15:52:44 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeHJIJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/LiAF0L0MSW24, author = {Jingjie Li and Sunpreet Singh Arora and Kassem Fawaz and Younghyun Kim and Can Liu and Sebastian Meiser and Mohsen Minaei and Maliheh Shirvanian and Kim Wagner}, title = {Exploring the Interplay Between Interaction Experience and Security Perception of Payment Authentication in Virtual Reality}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, {VR} Workshops 2024, Orlando, FL, USA, March 16-21, 2024}, pages = {1043--1044}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VRW62533.2024.00318}, doi = {10.1109/VRW62533.2024.00318}, timestamp = {Tue, 04 Jun 2024 16:12:22 +0200}, biburl = {https://dblp.org/rec/conf/vr/LiAF0L0MSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ChaKCYM24, author = {Sungmin Cha and Naeun Ko and Heewoong Choi and Youngjoon Yoo and Taesup Moon}, title = {{NCIS:} Neural Contextual Iterative Smoothing for Purifying Adversarial Perturbations}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {3777--3787}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00375}, doi = {10.1109/WACV57701.2024.00375}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ChaKCYM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-02710, author = {Hong{-}Gi Shin and Sukhyun Jeong and Eui{-}Yeon Kim and Sungho Hong and Young{-}Jin Cho and Yong{-}Hoon Choi}, title = {Synergistic Formulaic Alpha Generation for Quantitative Trading based on Reinforcement Learning}, journal = {CoRR}, volume = {abs/2401.02710}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.02710}, doi = {10.48550/ARXIV.2401.02710}, eprinttype = {arXiv}, eprint = {2401.02710}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-02710.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15894, author = {Yun Young Choi and Minho Lee and Sun Woo Park and Seunghwan Lee and Joohwan Ko}, title = {A Gated {MLP} Architecture for Learning Topological Dependencies in Spatio-Temporal Graphs}, journal = {CoRR}, volume = {abs/2401.15894}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15894}, doi = {10.48550/ARXIV.2401.15894}, eprinttype = {arXiv}, eprint = {2401.15894}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15894.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15938, author = {Sanghoon Jeon and Hyo Geon Lee and Jae{-}Sung Lee and Bo Min Kang and Byung{-}Wook Jeon and Jun Young Yoon and Jae{-}Sang Hyun}, title = {Motion-induced error reduction for high-speed dynamic digital fringe projection system}, journal = {CoRR}, volume = {abs/2401.15938}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15938}, doi = {10.48550/ARXIV.2401.15938}, eprinttype = {arXiv}, eprint = {2401.15938}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02005, author = {Yun Young Choi and Sun Woo Park and Minho Lee and Youngho Woo}, title = {Topology-Informed Graph Transformer}, journal = {CoRR}, volume = {abs/2402.02005}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02005}, doi = {10.48550/ARXIV.2402.02005}, eprinttype = {arXiv}, eprint = {2402.02005}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02017, author = {Jeonghye Kim and Suyoung Lee and Woojun Kim and Youngchul Sung}, title = {Value-Aided Conditional Supervised Learning for Offline {RL}}, journal = {CoRR}, volume = {abs/2402.02017}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02017}, doi = {10.48550/ARXIV.2402.02017}, eprinttype = {arXiv}, eprint = {2402.02017}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03898, author = {Jongwoo Ko and Sungnyun Kim and Tianyi Chen and Se{-}Young Yun}, title = {DistiLLM: Towards Streamlined Distillation for Large Language Models}, journal = {CoRR}, volume = {abs/2402.03898}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03898}, doi = {10.48550/ARXIV.2402.03898}, eprinttype = {arXiv}, eprint = {2402.03898}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08348, author = {Sungguk Cha and Jusung Lee and Younghyun Lee and Cheoljong Yang}, title = {Visually Dehallucinative Instruction Generation}, journal = {CoRR}, volume = {abs/2402.08348}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08348}, doi = {10.48550/ARXIV.2402.08348}, eprinttype = {arXiv}, eprint = {2402.08348}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08348.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08360, author = {Jusung Lee and Sungguk Cha and Younghyun Lee and Cheoljong Yang}, title = {Visual Question Answering Instruction: Unlocking Multimodal Large Language Model To Domain-Specific Visual Multitasks}, journal = {CoRR}, volume = {abs/2402.08360}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08360}, doi = {10.48550/ARXIV.2402.08360}, eprinttype = {arXiv}, eprint = {2402.08360}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08360.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09717, author = {Sungguk Cha and Jusung Lee and Younghyun Lee and Cheoljong Yang}, title = {Visually Dehallucinative Instruction Generation: Know What You Don't Know}, journal = {CoRR}, volume = {abs/2402.09717}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09717}, doi = {10.48550/ARXIV.2402.09717}, eprinttype = {arXiv}, eprint = {2402.09717}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09717.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11253, author = {Sangkyu Lee and Sungdong Kim and Ashkan Yousefpour and Minjoon Seo and Kang Min Yoo and Youngjae Yu}, title = {Aligning Large Language Models by On-Policy Self-Judgment}, journal = {CoRR}, volume = {abs/2402.11253}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11253}, doi = {10.48550/ARXIV.2402.11253}, eprinttype = {arXiv}, eprint = {2402.11253}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11253.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11477, author = {Khushi Shelat and Sunny Rai and Devansh R. Jain and Young Min Cho and Maitreyi Redkar and Samindara Sawant and Sharath Chandra Guntuku}, title = {Studying Differential Mental Health Expressions in India}, journal = {CoRR}, volume = {abs/2402.11477}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11477}, doi = {10.48550/ARXIV.2402.11477}, eprinttype = {arXiv}, eprint = {2402.11477}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11477.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12412, author = {Sungjun Ahn and Hyun{-}Jeong Yim and Youngwan Lee and Sung{-}Ik Park}, title = {Dynamic and Super-Personalized Media Ecosystem Driven by Generative {AI:} Unpredictable Plays Never Repeating The Same}, journal = {CoRR}, volume = {abs/2402.12412}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12412}, doi = {10.48550/ARXIV.2402.12412}, eprinttype = {arXiv}, eprint = {2402.12412}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13211, author = {Dongjin Kang and Sunghwan Kim and Taeyoon Kwon and Seungjun Moon and Hyunsouk Cho and Youngjae Yu and Dongha Lee and Jinyoung Yeo}, title = {Can Large Language Models be Good Emotional Supporter? Mitigating Preference Bias on Emotional Support Conversation}, journal = {CoRR}, volume = {abs/2402.13211}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13211}, doi = {10.48550/ARXIV.2402.13211}, eprinttype = {arXiv}, eprint = {2402.13211}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14195, author = {Younghun Lee and Sungchul Kim and Tong Yu and Ryan A. Rossi and Xiang Chen}, title = {Learning to Reduce: Optimal Representations of Structured Data in Prompting Large Language Models}, journal = {CoRR}, volume = {abs/2402.14195}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14195}, doi = {10.48550/ARXIV.2402.14195}, eprinttype = {arXiv}, eprint = {2402.14195}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14195.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-15162, author = {Jongyoon Song and Nohil Park and Bongkyu Hwang and Jaewoong Yun and Seongho Joe and Youngjune L. Gwon and Sungroh Yoon}, title = {Entity-level Factual Adaptiveness of Fine-tuning based Abstractive Summarization Models}, journal = {CoRR}, volume = {abs/2402.15162}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.15162}, doi = {10.48550/ARXIV.2402.15162}, eprinttype = {arXiv}, eprint = {2402.15162}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-15162.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01382, author = {Rohan Kumar and Youngmin Kim and Sunitha Ravi and Haitian Sun and Christos Faloutsos and Ruslan Salakhutdinov and Minji Yoon}, title = {Automatic Question-Answer Generation for Long-Tail Knowledge}, journal = {CoRR}, volume = {abs/2403.01382}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01382}, doi = {10.48550/ARXIV.2403.01382}, eprinttype = {arXiv}, eprint = {2403.01382}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01382.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03652, author = {Dechuan Sun and Gregory Tanyi and Alan Lee and Chris French and Younger Liang and Christina Lim and Ranjith R. Unnithan}, title = {3D Printed Waveguide for Augmented Reality}, journal = {CoRR}, volume = {abs/2403.03652}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03652}, doi = {10.48550/ARXIV.2403.03652}, eprinttype = {arXiv}, eprint = {2403.03652}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03652.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05069, author = {Daegyu Kim and Jooyoung Choi and Chaehun Shin and Uiwon Hwang and Sungroh Yoon}, title = {Improving Diffusion-Based Generative Models via Approximated Optimal Transport}, journal = {CoRR}, volume = {abs/2403.05069}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05069}, doi = {10.48550/ARXIV.2403.05069}, eprinttype = {arXiv}, eprint = {2403.05069}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05069.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-05086, author = {Youngju Na and Woo Jae Kim and Kyu Beom Han and Suhyeon Ha and Sung{-}Eui Yoon}, title = {UFORecon: Generalizable Sparse-View Surface Reconstruction from Arbitrary and UnFavOrable Sets}, journal = {CoRR}, volume = {abs/2403.05086}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.05086}, doi = {10.48550/ARXIV.2403.05086}, eprinttype = {arXiv}, eprint = {2403.05086}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-05086.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-07257, author = {Lei Chen and Yiqi Chen and Zhufei Chu and Wenji Fang and Tsung{-}Yi Ho and Yu Huang and Sadaf Khan and Min Li and Xingquan Li and Yun Liang and Yibo Lin and Jinwei Liu and Yi Liu and Guojie Luo and Zhengyuan Shi and Guangyu Sun and Dimitrios Tsaras and Runsheng Wang and Ziyi Wang and Xinming Wei and Zhiyao Xie and Qiang Xu and Chenhao Xue and Evangeline F. Y. Young and Bei Yu and Mingxuan Yuan and Haoyi Zhang and Zuodong Zhang and Yuxiang Zhao and Hui{-}Ling Zhen and Ziyang Zheng and Binwu Zhu and Keren Zhu and Sunan Zou}, title = {The Dawn of AI-Native {EDA:} Promises and Challenges of Large Circuit Models}, journal = {CoRR}, volume = {abs/2403.07257}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.07257}, doi = {10.48550/ARXIV.2403.07257}, eprinttype = {arXiv}, eprint = {2403.07257}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-07257.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09227, author = {Chengshu Li and Ruohan Zhang and Josiah Wong and Cem Gokmen and Sanjana Srivastava and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Chen Wang and Gabrael Levine and Wensi Ai and Benjamin Jose Martinez and Hang Yin and Michael Lingelbach and Minjune Hwang and Ayano Hiranaka and Sujay Garlanka and Arman Aydin and Sharon Lee and Jiankai Sun and Mona Anvari and Manasi Sharma and Dhruva Bansal and Samuel Hunter and Kyu{-}Young Kim and Alan Lou and Caleb R. Matthews and Ivan Villa{-}Renteria and Jerry Huayang Tang and Claire Tang and Fei Xia and Yunzhu Li and Silvio Savarese and Hyowon Gweon and C. Karen Liu and Jiajun Wu and Li Fei{-}Fei}, title = {{BEHAVIOR-1K:} {A} Human-Centered, Embodied {AI} Benchmark with 1, 000 Everyday Activities and Realistic Simulation}, journal = {CoRR}, volume = {abs/2403.09227}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09227}, doi = {10.48550/ARXIV.2403.09227}, eprinttype = {arXiv}, eprint = {2403.09227}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09358, author = {Jeongmin Hong and Sungjun Cho and Geonwoo Park and Wonhyuk Yang and Young{-}Ho Gong and Gwangsun Kim}, title = {Bandwidth-Effective {DRAM} Cache for GPUs with Storage-Class Memory}, journal = {CoRR}, volume = {abs/2403.09358}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09358}, doi = {10.48550/ARXIV.2403.09358}, eprinttype = {arXiv}, eprint = {2403.09358}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09358.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10911, author = {Yeongtak Oh and Jonghyun Lee and Jooyoung Choi and Dahuin Jung and Uiwon Hwang and Sungroh Yoon}, title = {Efficient Diffusion-Driven Corruption Editor for Test-Time Adaptation}, journal = {CoRR}, volume = {abs/2403.10911}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10911}, doi = {10.48550/ARXIV.2403.10911}, eprinttype = {arXiv}, eprint = {2403.10911}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10911.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12945, author = {Alexander Khazatsky and Karl Pertsch and Suraj Nair and Ashwin Balakrishna and Sudeep Dasari and Siddharth Karamcheti and Soroush Nasiriany and Mohan Kumar Srirama and Lawrence Yunliang Chen and Kirsty Ellis and Peter David Fagan and Joey Hejna and Masha Itkina and Marion Lepert and Yecheng Jason Ma and Patrick Tree Miller and Jimmy Wu and Suneel Belkhale and Shivin Dass and Huy Ha and Arhan Jain and Abraham Lee and Youngwoon Lee and Marius Memmel and Sungjae Park and Ilija Radosavovic and Kaiyuan Wang and Albert Zhan and Kevin Black and Cheng Chi and Kyle Beltran Hatch and Shan Lin and Jingpei Lu and Jean Mercat and Abdul Rehman and Pannag R. Sanketi and Archit Sharma and Cody Simpson and Quan Vuong and Homer Rich Walke and Blake Wulfe and Ted Xiao and Jonathan Heewon Yang and Arefeh Yavary and Tony Z. Zhao and Christopher Agia and Rohan Baijal and Mateo Guaman Castro and Daphne Chen and Qiuyu Chen and Trinity Chung and Jaimyn Drake and Ethan Paul Foster and et al.}, title = {{DROID:} {A} Large-Scale In-The-Wild Robot Manipulation Dataset}, journal = {CoRR}, volume = {abs/2403.12945}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12945}, doi = {10.48550/ARXIV.2403.12945}, eprinttype = {arXiv}, eprint = {2403.12945}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14238, author = {Kyungjae Lee and Dasol Hwang and Sunghyun Park and Youngsoo Jang and Moontae Lee}, title = {Reinforcement Learning from Reflective Feedback {(RLRF):} Aligning and Improving LLMs via Fine-Grained Self-Reflection}, journal = {CoRR}, volume = {abs/2403.14238}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14238}, doi = {10.48550/ARXIV.2403.14238}, eprinttype = {arXiv}, eprint = {2403.14238}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14238.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-16431, author = {Xiaoxuan Yu and Hao Wang and Weiming Li and Qiang Wang and SoonYong Cho and Younghun Sung}, title = {{DOCTR:} Disentangled Object-Centric Transformer for Point Scene Understanding}, journal = {CoRR}, volume = {abs/2403.16431}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.16431}, doi = {10.48550/ARXIV.2403.16431}, eprinttype = {arXiv}, eprint = {2403.16431}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-16431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00060, author = {Yejin Kim and Youngbin Lee and Minyoung Choe and Sungju Oh and Yongjae Lee}, title = {Temporal Graph Networks for Graph Anomaly Detection in Financial Networks}, journal = {CoRR}, volume = {abs/2404.00060}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00060}, doi = {10.48550/ARXIV.2404.00060}, eprinttype = {arXiv}, eprint = {2404.00060}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00670, author = {Youngseo Cho and In Hee Kwak and Dohyeon Kim and Jinhee Na and Hanjoo Sung and Jeongjae Lee and Young Eun Kim and Hyeo{-}il Ma}, title = {Statistical Analysis by Semiparametric Additive Regression and {LSTM-FCN} Based Hierarchical Classification for Computer Vision Quantification of Parkinsonian Bradykinesia}, journal = {CoRR}, volume = {abs/2404.00670}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00670}, doi = {10.48550/ARXIV.2404.00670}, eprinttype = {arXiv}, eprint = {2404.00670}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00921, author = {Beomyoung Kim and Myeong{-}Yeon Yi and Joonsang Yu and Young Joon Yoo and Sung Ju Hwang}, title = {Towards Label-Efficient Human Matting: {A} Simple Baseline for Weakly Semi-Supervised Trimap-Free Human Matting}, journal = {CoRR}, volume = {abs/2404.00921}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00921}, doi = {10.48550/ARXIV.2404.00921}, eprinttype = {arXiv}, eprint = {2404.00921}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00921.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14687, author = {Raehyuk Jung and Hyojun Go and Jaehyuk Yi and Jiho Jang and Daniel Kim and Jay Suh and Aiden Seung Joon Lee and Cooper Han and Jae Lee and Jeff Kim and Jin{-}Young Kim and Junwan Kim and Kyle Park and Lucas Lee and Mars Ha and Minjoon Seo and Abraham Jo and Ed Park and Hassan Kianinejad and Sj Kim and Tony Moon and Wade Jeong and Andrei Popescu and Esther Kim and EK Yoon and Genie Heo and Henry Choi and Jenna Kang and Kevin Han and Noah Seo and Sunny Nguyen and Ryan Won and Yeonhoo Park and Anthony Giuliani and Dave Chung and Hans Yoon and James Le and Jenny Ahn and June Lee and Maninder Saini and Meredith Sanders and Soyoung Lee and Sue Kim and Travis Couture}, title = {Pegasus-v1 Technical Report}, journal = {CoRR}, volume = {abs/2404.14687}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14687}, doi = {10.48550/ARXIV.2404.14687}, eprinttype = {arXiv}, eprint = {2404.14687}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-09879, author = {Juwon Seo and Sung{-}Hoon Lee and Tae{-}Young Lee and Seungjun Moon and Gyeong{-}Moon Park}, title = {Generative Unlearning for Any Identity}, journal = {CoRR}, volume = {abs/2405.09879}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.09879}, doi = {10.48550/ARXIV.2405.09879}, eprinttype = {arXiv}, eprint = {2405.09879}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-09879.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-14918, author = {Yao Lai and Sungyoung Lee and Guojin Chen and Souradip Poddar and Mengkang Hu and David Z. Pan and Ping Luo}, title = {AnalogCoder: Analog Circuit Design via Training-Free Code Generation}, journal = {CoRR}, volume = {abs/2405.14918}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.14918}, doi = {10.48550/ARXIV.2405.14918}, eprinttype = {arXiv}, eprint = {2405.14918}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-14918.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18042, author = {Youngwan Lee and Jeffrey Ryan Willette and Jonghee Kim and Sung Ju Hwang}, title = {Visualizing the loss landscape of Self-supervised Vision Transformer}, journal = {CoRR}, volume = {abs/2405.18042}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18042}, doi = {10.48550/ARXIV.2405.18042}, eprinttype = {arXiv}, eprint = {2405.18042}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18042.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-01339, author = {Donghwi Kim and Hyungjun Yoon and Chang Min Park and Sujin Han and Youngjin Kwon and Steven Y. Ko and Sung{-}Ju Lee}, title = {Recover as It is Designed to Be: Recovering from Compatibility Mobile App Crashes by Reusing User Flows}, journal = {CoRR}, volume = {abs/2406.01339}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.01339}, doi = {10.48550/ARXIV.2406.01339}, eprinttype = {arXiv}, eprint = {2406.01339}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-01339.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-02355, author = {Seongyoon Kim and Minchan Jeong and Sungnyun Kim and Sungwoo Cho and Sumyeong Ahn and Se{-}Young Yun}, title = {FedDr+: Stabilizing Dot-regression with Global Feature Distillation for Federated Learning}, journal = {CoRR}, volume = {abs/2406.02355}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.02355}, doi = {10.48550/ARXIV.2406.02355}, eprinttype = {arXiv}, eprint = {2406.02355}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-02355.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-06650, author = {Geongyu Lee and Joonho Lee and Tae{-}Yeong Kwak and Sun Woo Kim and Youngmee Kwon and Chungyeul Kim and Hyeyoon Chang}, title = {Predicting the risk of early-stage breast cancer recurrence using H{\&}E-stained tissue images}, journal = {CoRR}, volume = {abs/2406.06650}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.06650}, doi = {10.48550/ARXIV.2406.06650}, eprinttype = {arXiv}, eprint = {2406.06650}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-06650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07736, author = {Dojun Park and Jiwoo Lee and Seohyun Park and Hyeyun Jeong and Youngeun Koo and Soonha Hwang and Seonwoo Park and Sungeun Lee}, title = {MultiPragEval: Multilingual Pragmatic Evaluation of Large Language Models}, journal = {CoRR}, volume = {abs/2406.07736}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07736}, doi = {10.48550/ARXIV.2406.07736}, eprinttype = {arXiv}, eprint = {2406.07736}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07826, author = {Giseung Park and Woohyeon Byeon and Seongmin Kim and Elad Havakuk and Amir Leshem and Youngchul Sung}, title = {The Max-Min Formulation of Multi-Objective Reinforcement Learning: From Theory to a Model-Free Algorithm}, journal = {CoRR}, volume = {abs/2406.07826}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07826}, doi = {10.48550/ARXIV.2406.07826}, eprinttype = {arXiv}, eprint = {2406.07826}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09117, author = {Injoon Hwang and Haewon Park and Youngwan Lee and Jooyoung Yang and SunJae Maeng}, title = {PC-LoRA: Low-Rank Adaptation for Progressive Model Compression with Knowledge Distillation}, journal = {CoRR}, volume = {abs/2406.09117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09117}, doi = {10.48550/ARXIV.2406.09117}, eprinttype = {arXiv}, eprint = {2406.09117}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09827, author = {Heejun Lee and Geon Park and Youngwan Lee and Jina Kim and Wonyoung Jeong and Myeongjae Jeon and Sung Ju Hwang}, title = {HiP Attention: Sparse Sub-Quadratic Attention with Hierarchical Attention Pruning}, journal = {CoRR}, volume = {abs/2406.09827}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09827}, doi = {10.48550/ARXIV.2406.09827}, eprinttype = {arXiv}, eprint = {2406.09827}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-17256, author = {Jaihyun Lew and Jooyoung Choi and Chaehun Shin and Dahuin Jung and Sungroh Yoon}, title = {Disentangled Motion Modeling for Video Frame Interpolation}, journal = {CoRR}, volume = {abs/2406.17256}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.17256}, doi = {10.48550/ARXIV.2406.17256}, eprinttype = {arXiv}, eprint = {2406.17256}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-17256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-17808, author = {Jeffrey Willette and Heejun Lee and Youngwan Lee and Myeongjae Jeon and Sung Ju Hwang}, title = {Training-Free Exponential Extension of Sliding Window Context with Cascading {KV} Cache}, journal = {CoRR}, volume = {abs/2406.17808}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.17808}, doi = {10.48550/ARXIV.2406.17808}, eprinttype = {arXiv}, eprint = {2406.17808}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-17808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-18925, author = {Jiwan Chung and Sungjae Lee and Minseo Kim and Seungju Han and Ashkan Yousefpour and Jack Hessel and Youngjae Yu}, title = {Selective Vision is the Challenge for Visual Reasoning: {A} Benchmark for Visual Argument Understanding}, journal = {CoRR}, volume = {abs/2406.18925}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.18925}, doi = {10.48550/ARXIV.2406.18925}, eprinttype = {arXiv}, eprint = {2406.18925}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-18925.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-19043, author = {Zi Wang and Fanwen Wang and Chen Qin and Jun Lyu and Ouyang Cheng and Shuo Wang and Yan Li and Mengyao Yu and Haoyu Zhang and Kunyuan Guo and Zhang Shi and Qirong Li and Ziqiang Xu and Yajing Zhang and Hao Li and Sha Hua and Binghua Chen and Longyu Sun and Mengting Sun and Qin Li and Ying{-}Hua Chu and Wenjia Bai and Jing Qin and Xiahai Zhuang and Claudia Prieto and Alistair A. Young and Michael Markl and He Wang and Lianming Wu and Guang Yang and Xiaobo Qu and Chengyan Wang}, title = {CMRxRecon2024: {A} Multi-Modality, Multi-View K-Space Dataset Boosting Universal Machine Learning for Accelerated Cardiac {MRI}}, journal = {CoRR}, volume = {abs/2406.19043}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.19043}, doi = {10.48550/ARXIV.2406.19043}, eprinttype = {arXiv}, eprint = {2406.19043}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-19043.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-02750, author = {Younghun Lee and Sungchul Kim and Ryan A. Rossi and Tong Yu and Xiang Chen}, title = {Learning to Reduce: Towards Improving Performance of Large Language Models on Structured Data}, journal = {CoRR}, volume = {abs/2407.02750}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.02750}, doi = {10.48550/ARXIV.2407.02750}, eprinttype = {arXiv}, eprint = {2407.02750}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-02750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03103, author = {Suyeon Lee and Sunghwan Kim and Minju Kim and Dongjin Kang and Dongil Yang and Harim Kim and Minseok Kang and Dayi Jung and Min Hee Kim and Seungbeen Lee and Kyoung{-}Mee Chung and Youngjae Yu and Dongha Lee and Jinyoung Yeo}, title = {Cactus: Towards Psychological Counseling Conversations using Cognitive Behavioral Theory}, journal = {CoRR}, volume = {abs/2407.03103}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03103}, doi = {10.48550/ARXIV.2407.03103}, eprinttype = {arXiv}, eprint = {2407.03103}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-03563, author = {Sungnyun Kim and Kangwook Jang and Sangmin Bae and Hoirin Kim and Se{-}Young Yun}, title = {Learning Video Temporal Dynamics with Cross-Modal Attention for Robust Audio-Visual Speech Recognition}, journal = {CoRR}, volume = {abs/2407.03563}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.03563}, doi = {10.48550/ARXIV.2407.03563}, eprinttype = {arXiv}, eprint = {2407.03563}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-03563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-04280, author = {Haechan Kim and Junho Myung and Seoyoung Kim and Sungpah Lee and Dongyeop Kang and Juho Kim}, title = {LearnerVoice: {A} Dataset of Non-Native English Learners' Spontaneous Speech}, journal = {CoRR}, volume = {abs/2407.04280}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.04280}, doi = {10.48550/ARXIV.2407.04280}, eprinttype = {arXiv}, eprint = {2407.04280}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-04280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-11348, author = {Seo{-}Bin Hwang and Han{-}Young Kim and Chae{-}Yeon Heo and Hie{-}Yong Jung and Sung{-}Ju Jung and Yeong{-}Jun Cho}, title = {Flatfish Disease Detection Based on Part Segmentation Approach and Disease Image Generation}, journal = {CoRR}, volume = {abs/2407.11348}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.11348}, doi = {10.48550/ARXIV.2407.11348}, eprinttype = {arXiv}, eprint = {2407.11348}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-11348.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13942, author = {Kyumin Park and Myung Jae Baik and YeongJun Hwang and Yen Shin and HoJae Lee and Ruda Lee and Sang Min Lee and Je Young Hannah Sun and Ah Rah Lee and Si Yeun Yoon and Dong{-}Ho Lee and Jihyung Moon and JinYeong Bak and Kyunghyun Cho and Jong{-}Woo Paik and Sungjoon Park}, title = {Harmful Suicide Content Detection}, journal = {CoRR}, volume = {abs/2407.13942}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13942}, doi = {10.48550/ARXIV.2407.13942}, eprinttype = {arXiv}, eprint = {2407.13942}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13977, author = {Junghyun Lee and Se{-}Young Yun and Kwang{-}Sung Jun}, title = {A Unified Confidence Sequence for Generalized Linear Models, with Applications to Bandits}, journal = {CoRR}, volume = {abs/2407.13977}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13977}, doi = {10.48550/ARXIV.2407.13977}, eprinttype = {arXiv}, eprint = {2407.13977}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13977.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-00137, author = {Sangwon Yu and Jongyoon Song and Bongkyu Hwang and Hoyoung Kang and Sooah Cho and Junhwa Choi and Seongho Joe and Taehee Lee and Youngjune L. Gwon and Sungroh Yoon}, title = {Correcting Negative Bias in Large Language Models through Negative Attention Score Alignment}, journal = {CoRR}, volume = {abs/2408.00137}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.00137}, doi = {10.48550/ARXIV.2408.00137}, eprinttype = {arXiv}, eprint = {2408.00137}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-00137.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-04278, author = {Sungyoon Kim and Youngjun Kim and Kihyo Moon and Minsung Jang}, title = {LaDiMo: Layer-wise Distillation Inspired MoEfier}, journal = {CoRR}, volume = {abs/2408.04278}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.04278}, doi = {10.48550/ARXIV.2408.04278}, eprinttype = {arXiv}, eprint = {2408.04278}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-04278.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-05917, author = {Min Woo Cho and Seok Hyeon Hwang and Jun{-}Young Jang and Jin Yeong Song and Sun{-}kwang Hwang and Kyoung Je Cha and Dong Yong Park and Kyungjun Song and Sang Min Park}, title = {Inverse design of Non-parameterized Ventilated Acoustic Resonator via Variational Autoencoder with Acoustic Response-encoded Latent Space}, journal = {CoRR}, volume = {abs/2408.05917}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.05917}, doi = {10.48550/ARXIV.2408.05917}, eprinttype = {arXiv}, eprint = {2408.05917}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-05917.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-10923, author = {Kangjun Noh and Baekryun Seong and Hoyoon Byun and Youngjun Choi and Sungjin Song and Kyungwoo Song}, title = {{LBC:} Language-Based-Classifier for Out-Of-Variable Generalization}, journal = {CoRR}, volume = {abs/2408.10923}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.10923}, doi = {10.48550/ARXIV.2408.10923}, eprinttype = {arXiv}, eprint = {2408.10923}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-10923.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-12894, author = {Yunji Seo and Young Sun Choi and Hyun Seung Son and Youngjung Uh}, title = {FLoD: Integrating Flexible Level of Detail into 3D Gaussian Splatting for Customizable Rendering}, journal = {CoRR}, volume = {abs/2408.12894}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.12894}, doi = {10.48550/ARXIV.2408.12894}, eprinttype = {arXiv}, eprint = {2408.12894}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-12894.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-13092, author = {Jihwan Oh and Sungnyun Kim and Gahee Kim and Sunghwan Kim and Se{-}Young Yun}, title = {Diffusion-based Episodes Augmentation for Offline Multi-Agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/2408.13092}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.13092}, doi = {10.48550/ARXIV.2408.13092}, eprinttype = {arXiv}, eprint = {2408.13092}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-13092.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-16896, author = {Younghwi Kim and Dohee Kim and Sung{-}Hyun Sim}, title = {DLFormer: Enhancing Explainability in Multivariate Time Series Forecasting using Distributed Lag Embedding}, journal = {CoRR}, volume = {abs/2408.16896}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.16896}, doi = {10.48550/ARXIV.2408.16896}, eprinttype = {arXiv}, eprint = {2408.16896}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-16896.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-16900, author = {Younghwi Kim and Seok Chan Jeong and Sung{-}Hyun Sim}, title = {Legacy Learning Using Few-Shot Font Generation Models for Automatic Text Design in Metaverse Content: Cases Studies in Korean and Chinese}, journal = {CoRR}, volume = {abs/2408.16900}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.16900}, doi = {10.48550/ARXIV.2408.16900}, eprinttype = {arXiv}, eprint = {2408.16900}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-16900.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-01989, author = {Vidushi Sharma and Andy Tek and Khanh Nguyen and Maxwell J. Giammona and Murtaza Zohair and Linda Sundberg and Young{-}Hye La}, title = {Improving Electrolyte Performance for Target Cathode Loading Using Interpretable Data-Driven Approach}, journal = {CoRR}, volume = {abs/2409.01989}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.01989}, doi = {10.48550/ARXIV.2409.01989}, eprinttype = {arXiv}, eprint = {2409.01989}, timestamp = {Sat, 05 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-01989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KnechtelEZWTQCCZLYCCHOW24, author = {Johann Knechtel and Mohammad Eslami and Peng Zou and Min Wei and Xingyu Tong and Binggang Qiu and Zhijie Cai and Guohao Chen and Benchao Zhu and Jiawei Li and Jun Yu and Jianli Chen and Chun{-}Wei Chiu and Min{-}Feng Hsieh and Chia{-}Hsiu Ou and Ting{-}Chi Wang and Bangqi Fu and Qijing Wang and Yang Sun and Qin Luo and Anthony W. H. Lau and Fangzhou Wang and Evangeline F. Y. Young and Shunyang Bi and Guangxin Guo and Haonan Wu and Zhengguang Tang and Hailong You and Cong Li and Ramesh Karri and Ozgur Sinanoglu and Samuel Pagliarini}, title = {Trojan Insertion versus Layout Defenses for Modern ICs: Red-versus-Blue Teaming in a Competitive Community Effort}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1440}, year = {2024}, url = {https://eprint.iacr.org/2024/1440}, timestamp = {Sat, 05 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KnechtelEZWTQCCZLYCCHOW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AniqueLFOJK23, author = {Farrukh Anique and Rongrong Liu and Muhammad Umar Farooq and Sung Suk Oh and Jung Ki Jo and Seong Young Ko}, title = {Multiple Tissue Sample Collection Device for {MRI} Guided Transrectal Prostate Biopsy: Optimization and {MRI} Compatibility Tests}, journal = {{IEEE} Access}, volume = {11}, pages = {76486--76497}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3293735}, doi = {10.1109/ACCESS.2023.3293735}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AniqueLFOJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCSJBBNCKLHY23, author = {Yifei Chen and Woojin Choi and Jaekyung Shin and Hyeongjin Jeon and Sooncheol Bae and Soohyun Bin and Sunwoo Nam and Young Chan Choi and Hyunuk Kang and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {New Compact Load Network for Doherty Power Amplifiers Based on L-Section Matching Network of the Carrier Amplifier and Post-Matching Network}, journal = {{IEEE} Access}, volume = {11}, pages = {66478--66487}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3291219}, doi = {10.1109/ACCESS.2023.3291219}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCSJBBNCKLHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKKKC23, author = {Seung Hun Choi and Seon Young Kim and Young Geun Kim and Joonho Kong and Sung Woo Chung}, title = {{AMBITION:} Ambient Temperature Aware {VM} Allocation for Edge Data Centers}, journal = {{IEEE} Access}, volume = {11}, pages = {68501--68511}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3292342}, doi = {10.1109/ACCESS.2023.3292342}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanKJPHSJC23, author = {Kyunghoon Han and Heejoon Koo and Sunghee Jung and Hyung{-}Bok Park and Youngtaek Hong and Hackjoon Shim and Byunghwan Jeon and Hyuk{-}Jae Chang}, title = {Reconstruction of Partially Broken Vascular Structures in X-Ray Images via Vesselness-Loss-Based Multi-Scale Generative Adversarial Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {86335--86350}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3301568}, doi = {10.1109/ACCESS.2023.3301568}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanKJPHSJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongCCYMY23, author = {Joonhyun Jeong and Sungmin Cha and Jongwon Choi and Sangdoo Yun and Taesup Moon and Youngjoon Yoo}, title = {Observations on K-Image Expansion of Image-Mixing Augmentation}, journal = {{IEEE} Access}, volume = {11}, pages = {16631--16643}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3243108}, doi = {10.1109/ACCESS.2023.3243108}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongCCYMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JuSKCS23, author = {Yonghan Ju and Jung Woo Suh and Yong Sun Kim and Tae Wook Chung and So Young Sohn}, title = {Cost-Benefit Analysis to Assess the Effectiveness of an External Airbag and Autonomous Emergency Braking System}, journal = {{IEEE} Access}, volume = {11}, pages = {40864--40877}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3267111}, doi = {10.1109/ACCESS.2023.3267111}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JuSKCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungK23, author = {Sunghun Jung and Young{-}Joon Kim}, title = {{MILS} and {HILS} Analysis of Power Management System for UAVs}, journal = {{IEEE} Access}, volume = {11}, pages = {79240--79255}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3291815}, doi = {10.1109/ACCESS.2023.3291815}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHHYHS23, author = {Youngsung Kim and Yoonsuk Hyun and Jae{-}Joon Han and Eunho Yang and Sung Ju Hwang and Jinwoo Shin}, title = {Deep Self-Supervised Diversity Promoting Learning on Hierarchical Hyperspheres for Regularization}, journal = {{IEEE} Access}, volume = {11}, pages = {146208--146222}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3346430}, doi = {10.1109/ACCESS.2023.3346430}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimHHYHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLPJKCDY23, author = {Jaeun Kim and Sunhwa Lee and Somin Park and Minkyu Ju and Youngkuk Kim and Eun{-}Chel Cho and Suresh Kumar Dhungel and Junsin Yi}, title = {Highly Efficient Bifacial Silicon/Silicon Tandem Solar Cells}, journal = {{IEEE} Access}, volume = {11}, pages = {21326--21331}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3248795}, doi = {10.1109/ACCESS.2023.3248795}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLPJKCDY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPC23, author = {Jeongwoo Kim and Joon{-}Young Paik and Eun{-}Sun Cho}, title = {Attention-Based Cross-Modal {CNN} Using Non-Disassembled Files for Malware Classification}, journal = {{IEEE} Access}, volume = {11}, pages = {22889--22903}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3253770}, doi = {10.1109/ACCESS.2023.3253770}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwakKLJHCYHHLY23, author = {Il{-}Youp Kwak and Sungsu Kwag and Junhee Lee and Youngbae Jeon and Jeong{-}Hwan Hwang and Hyoukryeol Choi and Jong{-}Hoon Yang and Soyul Han and Jun Ho Huh and Choong{-}Hoon Lee and Ji Won Yoon}, title = {Voice Spoofing Detection Through Residual Network, Max Feature Map, and Depthwise Separable Convolution}, journal = {{IEEE} Access}, volume = {11}, pages = {49140--49152}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3275790}, doi = {10.1109/ACCESS.2023.3275790}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KwakKLJHCYHHLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSKKSKK23, author = {Donggu Lee and Young Ghyu Sun and Soo Hyun Kim and Jae{-}Hyun Kim and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {Multi-Agent Reinforcement Learning-Based Resource Allocation Scheme for UAV-Assisted Internet of Remote Things Systems}, journal = {{IEEE} Access}, volume = {11}, pages = {53155--53164}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3279401}, doi = {10.1109/ACCESS.2023.3279401}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSKKSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSKS23, author = {Sunghwa Lee and Younghoon Shin and Myung Jong Kim and Jiwon Seo}, title = {{IR-UWB} Radar-Based Contactless Silent Speech Recognition of Vowels, Consonants, Words, and Phrases}, journal = {{IEEE} Access}, volume = {11}, pages = {144844--144859}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3344177}, doi = {10.1109/ACCESS.2023.3344177}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeSKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MoonJKK23, author = {Sungtae Moon and Jihun Jeon and Doyoon Kim and Yongwoo Kim}, title = {Swarm Reconnaissance Drone System for Real-Time Object Detection Over a Large Area}, journal = {{IEEE} Access}, volume = {11}, pages = {23505--23516}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2022.3233841}, doi = {10.1109/ACCESS.2022.3233841}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MoonJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkJMK23, author = {Su{-}Seong Park and Myeong{-}Seok Jeon and Sung{-}Soo Min and Rae{-}Young Kim}, title = {High-Frequency Planar Transformer Based on Interleaved Serpentine Winding Method With Low Parasitic Capacitance for High-Current Input {LLC} Resonant Converter}, journal = {{IEEE} Access}, volume = {11}, pages = {84900--84911}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3303207}, doi = {10.1109/ACCESS.2023.3303207}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkJMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonJKSPSL23, author = {Byungun Yoon and Hyejin Jang and Sunhye Kim and Youngkeun Song and Gwangman Park and Hyeonju Seol and Sungjoo Lee}, title = {Impact Analysis of Telecommunications Technology Based on Usage Scenarios: The Case of 5G Low-Latency Technology in {V2X}}, journal = {{IEEE} Access}, volume = {11}, pages = {127866--127879}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3329199}, doi = {10.1109/ACCESS.2023.3329199}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YoonJKSPSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/OhKKKKL23, author = {Jae{-}Hyeok Oh and Jeong{-}Hyeon Kim and Hee{-}Jin Kim and Young{-}Hyeun Kim and Kyoung{-}Kook Kim and Sung{-}Nam Lee}, title = {Enhanced Long-Term Memory Properties of ZnO Nanoparticle-Based Optical Synaptic Devices for Neuromorphic Computing}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {11}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300350}, doi = {10.1002/AISY.202300350}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/OhKKKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/HwangPKKJKK23, author = {Eugene Hwang and Hee{-}Sun Park and Hyun{-}Seok Kim and Jin{-}Young Kim and Hanseok Jeong and Junetae Kim and Sung{-}Hoon Kim}, title = {Development of a Bispectral index score prediction model based on an interpretable deep learning algorithm}, journal = {Artif. Intell. Medicine}, volume = {143}, pages = {102569}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102569}, doi = {10.1016/J.ARTMED.2023.102569}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/HwangPKKJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ParkLLLGFLW23, author = {Jun Young Park and Jang Jae Lee and Younghwa Lee and Dongsoo Lee and Jungsoo Gim and Lindsay A. Farrer and Kun Ho Lee and Sungho Won}, title = {Machine learning-based quantification for disease uncertainty increases the statistical power of genetic association studies}, journal = {Bioinform.}, volume = {39}, number = {9}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad534}, doi = {10.1093/BIOINFORMATICS/BTAD534}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ParkLLLGFLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeSJKYKNCKSBY23, author = {Sungyoung Lee and Choong{-}Hyun Sun and Heejun Jang and Daeyoon Kim and Sung{-}Soo Yoon and Youngil Koh and Seung Chan Na and Sung Im Cho and Man Jin Kim and Moon{-}Woo Seong and Ja Min Byun and Hongseok Yun}, title = {ITDetect: a method to detect internal tandem duplication of FMS-like tyrosine kinase {(FLT3)} from next-generation sequencing data with high sensitivity and clinical application}, journal = {{BMC} Bioinform.}, volume = {24}, number = {1}, pages = {62}, year = {2023}, url = {https://doi.org/10.1186/s12859-023-05173-8}, doi = {10.1186/S12859-023-05173-8}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeSJKYKNCKSBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/SeongPSK23, author = {Minjung Seong and Seongbeom Park and Young Hee Sung and Eung{-}Yeop Kim}, title = {Diagnostic performance of a high-spatial-resolution voxelwise analysis of neuromelanin-sensitive imaging in early-stage idiopathic Parkinson's disease}, journal = {{BMC} Medical Imaging}, volume = {23}, number = {1}, pages = {64}, year = {2023}, url = {https://doi.org/10.1186/s12880-023-01018-1}, doi = {10.1186/S12880-023-01018-1}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/SeongPSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/KimYK23, author = {Ji{-}Hoon Kim and Sungyeob Yoo and Joo{-}Young Kim}, title = {South Korea's Nationwide Effort for {AI} Semiconductor Industry}, journal = {Commun. {ACM}}, volume = {66}, number = {7}, pages = {46--51}, year = {2023}, url = {https://doi.org/10.1145/3587264}, doi = {10.1145/3587264}, timestamp = {Wed, 19 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/KimYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/KimC23, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {Predicting Residential Energy Consumption by Explainable Deep Learning with Long-Term and Short-Term Latent Variables}, journal = {Cybern. Syst.}, volume = {54}, number = {3}, pages = {270--285}, year = {2023}, url = {https://doi.org/10.1080/01969722.2022.2030003}, doi = {10.1080/01969722.2022.2030003}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cas/KimC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/AlmasniLAGCK23, author = {Mohammed A. Al{-}masni and Seul Lee and Abobakr Khalil Alshamiri and Sung{-}Min Gho and Young Hun Choi and Dong{-}Hyun Kim}, title = {A knowledge interaction learning for multi-echo {MRI} motion artifact correction towards better enhancement of {SWI}}, journal = {Comput. Biol. Medicine}, volume = {153}, pages = {106553}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106553}, doi = {10.1016/J.COMPBIOMED.2023.106553}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/AlmasniLAGCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KongLKLKCKJKS23, author = {Joon Seok Kong and Kang Hyun Lee and Oh Hyun Kim and Hee Young Lee and Chan Young Kang and Dooruh Choi and Sang Chul Kim and Hoyeon Jeong and Dae Ryong Kang and Tae{-}Eung Sung}, title = {Machine learning-based injury severity prediction of level 1 trauma center enrolled patients associated with car-to-car crashes in Korea}, journal = {Comput. Biol. Medicine}, volume = {153}, pages = {106393}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2022.106393}, doi = {10.1016/J.COMPBIOMED.2022.106393}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KongLKLKCKJKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MoonKLLJLHKRN23, author = {SeongRyeol Moon and Hee Joo Kim and Yeeun Lee and Yu Joo Lee and Sungwon Jung and Jin Sook Lee and Si Houn Hahn and Kyungdoc Kim and Joo Young Roh and Seungyoon Nam}, title = {Oncogenic signaling pathways and hallmarks of cancer in Korean patients with acral melanoma}, journal = {Comput. Biol. Medicine}, volume = {154}, pages = {106602}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106602}, doi = {10.1016/J.COMPBIOMED.2023.106602}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MoonKLLJLHKRN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ShinKSKSKPKK23, author = {Keewon Shin and Hyunjung Kim and Woo{-}Young Seo and Hyun{-}Seok Kim and Jae{-}Man Shin and Dong{-}Kyu Kim and Yong{-}Seok Park and Sung{-}Hoon Kim and Namkug Kim}, title = {Enhancing the performance of premature ventricular contraction detection in unseen datasets through deep learning with denoise and contrast attention module}, journal = {Comput. Biol. Medicine}, volume = {166}, pages = {107532}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107532}, doi = {10.1016/J.COMPBIOMED.2023.107532}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ShinKSKSKPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/LimYHIRS23, author = {Sanghun Lim and Youngjin Yook and Jea Pil Heo and Chang Gyu Im and Kyung Hwan Ryu and Su Whan Sung}, title = {A new {PID} controller design using differential operator for the integrating process}, journal = {Comput. Chem. Eng.}, volume = {170}, pages = {108105}, year = {2023}, url = {https://doi.org/10.1016/j.compchemeng.2022.108105}, doi = {10.1016/J.COMPCHEMENG.2022.108105}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/LimYHIRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/BaekJK23, author = {Sung{-}Ha Baek and Jun{-}Seo Jeon and Tae{-}Young Kwak}, title = {Color calibration of moist soil images captured under irregular lighting conditions}, journal = {Comput. Electron. Agric.}, volume = {214}, pages = {108299}, year = {2023}, url = {https://doi.org/10.1016/j.compag.2023.108299}, doi = {10.1016/J.COMPAG.2023.108299}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/BaekJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JamilSKBPK23, author = {Safdar Jamil and Abdul Salam and Awais Khan and Bernd Burgstaller and Sung{-}Soon Park and Youngjae Kim}, title = {Scalable NUMA-aware persistent B\({}^{\mbox{+}}\)-tree for non-volatile memory devices}, journal = {Clust. Comput.}, volume = {26}, number = {5}, pages = {2865--2881}, year = {2023}, url = {https://doi.org/10.1007/s10586-022-03766-1}, doi = {10.1007/S10586-022-03766-1}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/JamilSKBPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKJPPCHKKKKSKLBK23, author = {In{-}Hwan Kim and Junsik Kim and Jiheon Jeong and Jae{-}Woo Park and Kanggil Park and Jin{-}Hyoung Cho and Mihee Hong and Kyung{-}Hwa Kang and Minji Kim and Su{-}Jung Kim and Yoon{-}Ji Kim and Sang{-}Jin Sung and Young Ho Kim and Sung{-}Hoon Lim and Seung{-}Hak Baek and Namkug Kim}, title = {Orthognathic surgical planning using graph {CNN} with dual embedding module: External validations with multi-hospital datasets}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107853}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107853}, doi = {10.1016/J.CMPB.2023.107853}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimKJPPCHKKKKSKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimSKHSCJKJK23, author = {Hyun Kim and Pukyeong Seo and Min Ju Kim and Jun Il Huh and Jun{-}Sang Sunwoo and Kwang Su Cha and El Jeong and Han{-}Joon Kim and Ki{-}Young Jung and Kyung Hwan Kim}, title = {Characterization of attentional event-related potential from {REM} sleep behavior disorder patients based on explainable machine learning}, journal = {Comput. Methods Programs Biomed.}, volume = {234}, pages = {107496}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107496}, doi = {10.1016/J.CMPB.2023.107496}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimSKHSCJKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YoonKKHKC23, author = {Kyubaek Yoon and Jae{-}Young Kim and Sun{-}Jong Kim and Jong{-}Ki Huh and Jin{-}Woo Kim and Jongeun Choi}, title = {Explainable deep learning-based clinical decision support engine for MRI-based automated diagnosis of temporomandibular joint anterior disk displacement}, journal = {Comput. Methods Programs Biomed.}, volume = {233}, pages = {107465}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107465}, doi = {10.1016/J.CMPB.2023.107465}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YoonKKHKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/YuLSDP23, author = {SungJin Yu and JoonYoung Lee and Anil Kumar Sutrala and Ashok Kumar Das and Youngho Park}, title = {{LAKA-UAV:} Lightweight authentication and key agreement scheme for cloud-assisted Unmanned Aerial Vehicle using blockchain in flying ad-hoc networks}, journal = {Comput. Networks}, volume = {224}, pages = {109612}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109612}, doi = {10.1016/J.COMNET.2023.109612}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/YuLSDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/IhmPP23, author = {Sun{-}Young Ihm and So{-}Hyun Park and Young{-}Ho Park}, title = {{UB-H:} an unbalanced-hierarchical layer binary-wise construction method for high-dimensional data}, journal = {Computing}, volume = {105}, number = {3}, pages = {595--616}, year = {2023}, url = {https://doi.org/10.1007/s00607-020-00871-0}, doi = {10.1007/S00607-020-00871-0}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/IhmPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/SteinigerWBBCHHHJKLPRSYD23, author = {Klaus Steiniger and Ren{\'{e}} Widera and Sergey I. Bastrakov and Michael Bussmann and Sunita Chandrasekaran and Benjam{\'{\i}}n Hern{\'{a}}ndez and Kristina Holsapple and Axel Huebl and Guido Juckeland and Jeffrey Kelling and Matt Leinhauser and Richard Pausch and David M. Rogers and Ulrich Schramm and Jeffrey Young and Alexander Debus}, title = {{EZ:} An efficient, charge conserving current deposition algorithm for electromagnetic particle-in-cell simulations}, journal = {Comput. Phys. Commun.}, volume = {291}, pages = {108849}, year = {2023}, url = {https://doi.org/10.1016/j.cpc.2023.108849}, doi = {10.1016/J.CPC.2023.108849}, timestamp = {Wed, 25 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/SteinigerWBBCHHHJKLPRSYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/SunMJKP23, author = {Zhichao Sun and Yinan Miao and Jun Young Jeon and Yeseul Kong and Gyuhae Park}, title = {Facial feature fusion convolutional neural network for driver fatigue detection}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, pages = {106981}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106981}, doi = {10.1016/J.ENGAPPAI.2023.106981}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/SunMJKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/UllahHULB23, author = {Waseem Ullah and Tanveer Hussain and Fath U Min Ullah and Mi Young Lee and Sung Wook Baik}, title = {TransCNN: Hybrid {CNN} and transformer mechanism for surveillance anomaly detection}, journal = {Eng. Appl. Artif. Intell.}, volume = {123}, number = {Part {A}}, pages = {106173}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106173}, doi = {10.1016/J.ENGAPPAI.2023.106173}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/UllahHULB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChoiYK23, author = {Youngrak Choi and Yongjin Yeom and Ju{-}Sung Kang}, title = {Practical Entropy Accumulation for Random Number Generators with Image Sensor-Based Quantum Noise Sources}, journal = {Entropy}, volume = {25}, number = {7}, pages = {1056}, year = {2023}, url = {https://doi.org/10.3390/e25071056}, doi = {10.3390/E25071056}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ChoiYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/SundermannHNBYTS23, author = {Chico Sundermann and Tobias He{\ss} and Michael Nieke and Paul Maximilian Bittner and Jeffrey M. Young and Thomas Th{\"{u}}m and Ina Schaefer}, title = {Evaluating state-of-the-art {\#} {SAT} solvers on industrial configuration spaces}, journal = {Empir. Softw. Eng.}, volume = {28}, number = {2}, pages = {29}, year = {2023}, url = {https://doi.org/10.1007/s10664-022-10265-9}, doi = {10.1007/S10664-022-10265-9}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ese/SundermannHNBYTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KangNJPK23, author = {Young{-}Jin Kang and Yoojeong Noh and Min{-}Sung Jang and Sunyoung Park and Ju{-}Tae Kim}, title = {Hierarchical level fault detection and diagnosis of ship engine systems}, journal = {Expert Syst. Appl.}, volume = {213}, number = {Part}, pages = {118814}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2022.118814}, doi = {10.1016/J.ESWA.2022.118814}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KangNJPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/geb/HwangKL23, author = {Sung{-}Ha Hwang and Youngwoo Koh and Jingfeng Lu}, title = {Constrained contests with a continuum of battles}, journal = {Games Econ. Behav.}, volume = {142}, pages = {992--1011}, year = {2023}, url = {https://doi.org/10.1016/j.geb.2023.10.011}, doi = {10.1016/J.GEB.2023.10.011}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/geb/HwangKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KwonBCJNGCKCLHB23, author = {Oh Kwang Kwon and In Hyuk Bang and So Young Choi and Ju Mi Jeon and Ann{-}Yae Na and Yan Gao and Sam Seok Cho and Sung Hwan Ki and Youngshik Choe and Jun Nyung Lee and Yun{-}Sok Ha and Eun Ju Bae and Tae Gyun Kwon and Byung{-}Hyun Park and Sangkyu Lee}, title = {{LDHA} Desuccinylase Sirtuin 5 as {A} Novel Cancer Metastatic Stimulator in Aggressive Prostate Cancer}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {1}, pages = {177--189}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2022.02.004}, doi = {10.1016/J.GPB.2022.02.004}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KwonBCJNGCKCLHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/SeonLSKKK23, author = {Joonho Seon and Seongwoo Lee and Young Ghyu Sun and Soo Hyun Kim and Dong In Kim and Jin Young Kim}, title = {GraphSAGE with contrastive encoder for efficient fault diagnosis in industrial IoT systems}, journal = {{ICT} Express}, volume = {9}, number = {6}, pages = {1226--1232}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2023.07.012}, doi = {10.1016/J.ICTE.2023.07.012}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/SeonLSKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ShahjalalKKMKLL23, author = {Md. Shahjalal and Woojun Kim and Waqas Khalid and Seokjae Moon and Murad Khan and Shuzhi Liu and Suhyeon Lim and Eunjin Kim and Deok Won Yun and Joohyun Lee and Won Cheol Lee and Seung{-}Hoon Hwang and Dongkyun Kim and Jang{-}Won Lee and Heejung Yu and Youngchul Sung and Yeong Min Jang}, title = {Enabling technologies for {AI} empowered 6G massive radio access networks}, journal = {{ICT} Express}, volume = {9}, number = {3}, pages = {341--355}, year = {2023}, url = {https://doi.org/10.1016/j.icte.2022.07.002}, doi = {10.1016/J.ICTE.2022.07.002}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ShahjalalKKMKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/HussainKUHKLB23, author = {Tanveer Hussain and Samee Ullah Khan and Waseem Ullah and Ijaz Ul Haq and Min Je Kim and Mi Young Lee and Sung Wook Baik}, title = {eCubeLand: An Intelligent Multiview Video Data Modeling}, journal = {{IEEE} Multim.}, volume = {30}, number = {4}, pages = {5--15}, year = {2023}, url = {https://doi.org/10.1109/MMUL.2023.3289953}, doi = {10.1109/MMUL.2023.3289953}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeemm/HussainKUHKLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/LimKOLJK23, author = {Sung{-}Gyun Lim and Dong{-}Ha Kim and Kwan{-}Jung Oh and Gwangsoon Lee and Jun Young Jeong and Jae{-}Gon Kim}, title = {Wider Depth Dynamic Range Using Occupancy Map Correction for Immersive Video Coding}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {106}, number = {5}, pages = {1102--1105}, year = {2023}, url = {https://doi.org/10.1587/transinf.2022edl8077}, doi = {10.1587/TRANSINF.2022EDL8077}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/LimKOLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsa/HongCKK23, author = {Minsung Hong and Namho Chung and Chulmo Koo and Sun{-}Young Koh}, title = {{TPEDTR:} temporal preference embedding-based deep tourism recommendation with card transaction data}, journal = {Int. J. Data Sci. Anal.}, volume = {16}, number = {2}, pages = {147--162}, year = {2023}, url = {https://doi.org/10.1007/s41060-022-00380-7}, doi = {10.1007/S41060-022-00380-7}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsa/HongCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/DennisBDBSKC23, author = {John M. Dennis and Allison H. Baker and Brian Dobbins and Michael M. Bell and Jian Sun and Youngsung Kim and Ting{-}Yu Cha}, title = {Enabling efficient execution of a variational data assimilation application}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {37}, number = {2}, pages = {101--114}, year = {2023}, url = {https://doi.org/10.1177/10943420221119801}, doi = {10.1177/10943420221119801}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/DennisBDBSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/VetterDFKMTTVYBCWLLMSM23, author = {Jeffrey S. Vetter and Prasanna Date and Farah Fahim and Shruti R. Kulkarni and Petro Maksymovych and A. Alec Talin and Marc Gonz{\'{a}}lez Tallada and Pruek Vanna{-}Iampikul and Aaron R. Young and David Brooks and Yu Cao and Gu{-}Yeon Wei and Sung Kyu Lim and Frank Liu and Matthew J. Marinella and Bobby G. Sumpter and Narasinga Rao Miniskar}, title = {Abisko: Deep codesign of an architecture for spiking neural networks using novel neuromorphic materials}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {37}, number = {3-4}, pages = {351--379}, year = {2023}, url = {https://doi.org/10.1177/10943420231178537}, doi = {10.1177/10943420231178537}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/VetterDFKMTTVYBCWLLMSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLCSSLLHKPJKL23, author = {Jemin Kim and Changyoon Lee and Sungchul Choi and Da{-}In Sung and Jeonga Seo and Yun Na Lee and Joo Hee Lee and Eun Jin Han and Ah Young Kim and Hyun Suk Park and Hye Jeong Jung and Jong Hoon Kim and Ju Hee Lee}, title = {Augmented Decision-Making in wound Care: Evaluating the clinical utility of a Deep-Learning model for pressure injury staging}, journal = {Int. J. Medical Informatics}, volume = {180}, pages = {105266}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105266}, doi = {10.1016/J.IJMEDINF.2023.105266}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLCSSLLHKPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/KimKRC23, author = {Taeyoung Kim and Suntae Kim and Duksan Ryu and Jaehyuk Cho}, title = {Deep Tasks Summarization for Comprehending Mixed Tasks in a Commit}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {33}, number = {2}, pages = {207--229}, year = {2023}, url = {https://doi.org/10.1142/S0218194022500711}, doi = {10.1142/S0218194022500711}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijseke/KimKRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/ParkKL23, author = {Sang Young Park and Su Sung Kim and Sungjoo Lee}, title = {An analysis of the research trends and collaboration strategy of university and industry on digital twin technology}, journal = {Int. J. Serv. Technol. Manag.}, volume = {28}, number = {3/4}, pages = {272--293}, year = {2023}, url = {https://doi.org/10.1504/IJSTM.2023.131717}, doi = {10.1504/IJSTM.2023.131717}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/ParkKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ParkOC23, author = {Ji{-}Sun Park and Sang{-}Young Oh and Ho{-}Lim Choi}, title = {On robust approximate feedback linearisation with an event-triggered controller}, journal = {Int. J. Syst. Sci.}, volume = {54}, number = {7}, pages = {1399--1409}, year = {2023}, url = {https://doi.org/10.1080/00207721.2023.2177901}, doi = {10.1080/00207721.2023.2177901}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/ParkOC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/HuhKKPPCLKL23, author = {Jun Ho Huh and Sungsu Kwag and Iljoo Kim and Alexandr Popov and Younghan Park and Geumhwan Cho and Juwon Lee and Hyoungshick Kim and Choong{-}Hoon Lee}, title = {On the Long-Term Effects of Continuous Keystroke Authentication: Keeping User Frustration Low through Behavior Adaptation}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {2}, pages = {58:1--58:32}, year = {2023}, url = {https://doi.org/10.1145/3596236}, doi = {10.1145/3596236}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/HuhKKPPCLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/KangBSLGK23, author = {Dong{-}Sig Kang and Eunsu Baek and Sungwook Son and Youngki Lee and Taesik Gong and Hyung{-}Sin Kim}, title = {{MIRROR:} Towards Generalizable On-Device Video Virtual Try-On for Mobile Shopping}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {4}, pages = {163:1--163:27}, year = {2023}, url = {https://doi.org/10.1145/3631420}, doi = {10.1145/3631420}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imwut/KangBSLGK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ParkSCYZDAA23, author = {Jung Wook Park and Sienna Xin Sun and Tingyu Cheng and Dong Whi Yoo and Jiawei Zhou and Youngwook Do and Gregory D. Abowd and Rosa I. Arriaga}, title = {Exergy: {A} Toolkit to Simplify Creative Applications of Wind Energy Harvesting}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {7}, number = {1}, pages = {25:1--25:28}, year = {2023}, url = {https://doi.org/10.1145/3580814}, doi = {10.1145/3580814}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ParkSCYZDAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/LeeHSY23, author = {Kyung Young Lee and Sumin Han and Soo Il Shin and Sung{-}Byung Yang}, title = {Investigating the net benefits of contactless technologies in quick-service restaurants: the moderating roles of social interaction anxiety and language proficiency}, journal = {Internet Res.}, volume = {33}, number = {1}, pages = {308--343}, year = {2023}, url = {https://doi.org/10.1108/INTR-07-2021-0462}, doi = {10.1108/INTR-07-2021-0462}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/intr/LeeHSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KhanKULB23, author = {Noman Khan and Samee Ullah Khan and Fath U Min Ullah and Mi Young Lee and Sung Wook Baik}, title = {AI-Assisted Hybrid Approach for Energy Management in IoT-Based Smart Microgrid}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {21}, pages = {18861--18875}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3293800}, doi = {10.1109/JIOT.2023.3293800}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/KhanKULB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/RachimBKKP23, author = {Vega Pradana Rachim and Jin{-}Hyeok Baek and Youngsoo Kim and Younho Kim and Sung{-}Min Park}, title = {High Sampling Rate Smartphone-PPG via Built-in Rolling Shutter Image Sensor}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {1}, pages = {512--525}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3201910}, doi = {10.1109/JIOT.2022.3201910}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/RachimBKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isr/ChengJKP23, author = {Hsing Kenneth Cheng and Kyung Sung Jung and Young Kwark and Jingchuan Pu}, title = {Impact of Own Brand Product Introduction on Optimal Pricing Models for Platform and Incumbent Sellers}, journal = {Inf. Syst. Res.}, volume = {34}, number = {3}, pages = {1131--1147}, year = {2023}, url = {https://doi.org/10.1287/isre.2022.1175}, doi = {10.1287/ISRE.2022.1175}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isr/ChengJKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isr/Um0WY23, author = {Sungyong Um and Bin Zhang and Sunil Wattal and Youngjin Yoo}, title = {Software Components and Product Variety in a Platform Ecosystem: {A} Dynamic Network Analysis of WordPress}, journal = {Inf. Syst. Res.}, volume = {34}, number = {4}, pages = {1339--1374}, year = {2023}, url = {https://doi.org/10.1287/isre.2022.1172}, doi = {10.1287/ISRE.2022.1172}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isr/Um0WY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/HleePPKC23, author = {Sunyoung Hlee and Jaehyun Park and Hyunsun Park and Chulmo Koo and Younghoon Chang}, title = {Understanding customer's meaningful engagement with AI-powered service robots}, journal = {Inf. Technol. People}, volume = {36}, number = {3}, pages = {1020--1047}, year = {2023}, url = {https://doi.org/10.1108/ITP-10-2020-0740}, doi = {10.1108/ITP-10-2020-0740}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itp/HleePPKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itsm/KimCK23, author = {Sangheon Kim and Youngsung Cho and Sunwoo Kim}, title = {Expected Effect of Adopting the eCall System on Reducing Traffic-Related Deaths and Social Costs}, journal = {{IEEE} Intell. Transp. Syst. Mag.}, volume = {15}, number = {1}, pages = {193--211}, year = {2023}, url = {https://doi.org/10.1109/MITS.2022.3155178}, doi = {10.1109/MITS.2022.3155178}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itsm/KimCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/AnHGAPOCN23, author = {Seungchan An and Seok Young Hwang and Junpyo Gong and Sungjin Ahn and In Guk Park and Soyeon Oh and Young{-}Won Chin and Minsoo Noh}, title = {Computational Prediction of the Phenotypic Effect of Flavonoids on Adiponectin Biosynthesis}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {3}, pages = {856--869}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c00033}, doi = {10.1021/ACS.JCIM.3C00033}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/AnHGAPOCN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/SharmaGZTNSCL23, author = {Vidushi Sharma and Maxwell Giammona and Dmitry Zubarev and Andy Tek and Khanh Nugyuen and Linda Sundberg and Daniele Congiu and Young{-}Hye La}, title = {Formulation Graphs for Mapping Structure-Composition of Battery Electrolytes to Device Performance}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {22}, pages = {6998--7010}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c01030}, doi = {10.1021/ACS.JCIM.3C01030}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/SharmaGZTNSCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/HanPPKLP23, author = {Jungwook Han and Hansol Park and Kyurin Park and Sun{-}Young Kim and Jae Yong Lee and Chunseon Pyo}, title = {Field demonstration of advanced autonomous navigation technique for a fully unmanned surface vehicle in complex coastal traffic areas}, journal = {J. Field Robotics}, volume = {40}, number = {8}, pages = {1887--1905}, year = {2023}, url = {https://doi.org/10.1002/rob.22225}, doi = {10.1002/ROB.22225}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/HanPPKLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/BakhshiAJXB23, author = {Mahmood Bakhshi and Sun Shin Ahn and Young Bae Jun and Xiao Long Xin and Rajab Ali Borzooei}, title = {Construction of some algebras of logic by using fuzzy ideals in mv-modules}, journal = {J. Intell. Fuzzy Syst.}, volume = {44}, number = {3}, pages = {4509--4519}, year = {2023}, url = {https://doi.org/10.3233/JIFS-221552}, doi = {10.3233/JIFS-221552}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/BakhshiAJXB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/YoonCK23, author = {Sungmin Yoon and Youngwoong Choi and Jabeom Koo}, title = {In situ virtual sensors in building digital twins: framework and methodology}, journal = {J. Ind. Inf. Integr.}, volume = {36}, pages = {100532}, year = {2023}, url = {https://doi.org/10.1016/j.jii.2023.100532}, doi = {10.1016/J.JII.2023.100532}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiii/YoonCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/OhPYOY23, author = {Sung{-}Mook Oh and Jin Park and Jinsun Yang and Younggyun Oh and Kyung{-}Woo Yi}, title = {Smart classification method to detect irregular nozzle spray patterns inside carbon black reactor using ensemble transfer learning}, journal = {J. Intell. Manuf.}, volume = {34}, number = {6}, pages = {2729--2745}, year = {2023}, url = {https://doi.org/10.1007/s10845-022-01951-y}, doi = {10.1007/S10845-022-01951-Y}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/OhPYOY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/DoAKKCKL23, author = {Yoonah Do and Soo Ho Ahn and Sungjun Kim and Jin Kyem Kim and Byoung Wook Choi and Hwiyoung Kim and Young Han Lee}, title = {Detection of Pacemaker and Identification of MRI-conditional Pacemaker Based on Deep-learning Convolutional Neural Networks to Improve Patient Safety}, journal = {J. Medical Syst.}, volume = {47}, number = {1}, pages = {80}, year = {2023}, url = {https://doi.org/10.1007/s10916-023-01981-w}, doi = {10.1007/S10916-023-01981-W}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/DoAKKCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LeeGC23, author = {Young Seo Lee and Young{-}Ho Gong and Sung Woo Chung}, title = {Scale-CIM: Precision-scalable computing-in-memory for energy-efficient quantized neural networks}, journal = {J. Syst. Archit.}, volume = {134}, pages = {102787}, year = {2023}, url = {https://doi.org/10.1016/j.sysarc.2022.102787}, doi = {10.1016/J.SYSARC.2022.102787}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/LeeGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyuokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Suhyeon Lee and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28-nm Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {12}, pages = {3408--3420}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3317433}, doi = {10.1109/JSSC.2023.3317433}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/KimAY23, author = {Taeyoung Kim and Inkyu An and Sung{-}Eui Yoon}, title = {Inexpensive indoor acoustic material estimation for realistic sound propagation}, journal = {Comput. Animat. Virtual Worlds}, volume = {34}, number = {5}, year = {2023}, url = {https://doi.org/10.1002/cav.2131}, doi = {10.1002/CAV.2131}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvca/KimAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/ChoiKRBK23, author = {Jiwon Choi and Taeyoung Kim and Duksan Ryu and Jongmoon Baik and Suntae Kim}, title = {Just-in-Time Defect Prediction for Self-driving Software via a Deep Learning Model}, journal = {J. Web Eng.}, volume = {22}, number = {2}, pages = {303--326}, year = {2023}, url = {https://doi.org/10.13052/jwe1540-9589.2225}, doi = {10.13052/JWE1540-9589.2225}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/ChoiKRBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ZhuangSY23, author = {Jing Zhuang and Jianli Sun and Guoliang Yuan}, title = {Arrhythmia diagnosis of young martial arts athletes based on deep learning for smart medical care}, journal = {Neural Comput. Appl.}, volume = {35}, number = {20}, pages = {14641--14652}, year = {2023}, url = {https://doi.org/10.1007/s00521-021-06159-4}, doi = {10.1007/S00521-021-06159-4}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/ZhuangSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/HanKHLH23, author = {Kyeongtak Han and Youngeun Kim and Dongyoon Han and Hojun Lee and Sungeun Hong}, title = {{TL-ADA:} Transferable Loss-based Active Domain Adaptation}, journal = {Neural Networks}, volume = {161}, pages = {670--681}, year = {2023}, url = {https://doi.org/10.1016/j.neunet.2023.02.004}, doi = {10.1016/J.NEUNET.2023.02.004}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/HanKHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JooRKYKLKKKP23, author = {Young Su Joo and Tyler Hyungtaek Rim and Hee Byung Koh and Joseph Yi and Hyeonmin Kim and Geunyoung Lee and Young Ah Kim and Shin{-}Wook Kang and Sung Soo Kim and Jung Tak Park}, title = {Non-invasive chronic kidney disease risk stratification tool derived from retina-based deep learning and clinical factors}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00860-5}, doi = {10.1038/S41746-023-00860-5}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JooRKYKLKKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/OrzikulovaCCHLL23, author = {Adiba Orzikulova and Hyunsung Cho and Hye{-}Young Chung and Hwajung Hong and Uichin Lee and Sung{-}Ju Lee}, title = {FinerMe: Examining App-level and Feature-level Interventions to Regulate Mobile Social Media Use}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW2}}, pages = {1--30}, year = {2023}, url = {https://doi.org/10.1145/3610065}, doi = {10.1145/3610065}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/OrzikulovaCCHLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/SunGK00KH23, author = {Tong Steven Sun and Yuyang Gao and Shubham Khaladkar and Sijia Liu and Liang Zhao and Young{-}Ho Kim and Sungsoo Ray Hong}, title = {Designing a Direct Feedback Loop between Humans and Convolutional Neural Networks through Local Explanations}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{CSCW2}}, pages = {1--32}, year = {2023}, url = {https://doi.org/10.1145/3610187}, doi = {10.1145/3610187}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/SunGK00KH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pomacs/IyerLLKKS23, author = {Venkatraman Iyer and Sungho Lee and Semun Lee and Juitem Joonwoo Kim and Hyunjun Kim and Youngjae Shin}, title = {Automated Backend Allocation for Multi-Model, On-Device {AI} Inference}, journal = {Proc. {ACM} Meas. Anal. Comput. Syst.}, volume = {7}, number = {3}, pages = {62:1--62:33}, year = {2023}, url = {https://doi.org/10.1145/3626793}, doi = {10.1145/3626793}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pomacs/IyerLLKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKGJ23, author = {Min{-}Seong Kim and Byung Hyuk Kwon and Tae{-}Young Goo and Sueng{-}Pil Jung}, title = {Dropsonde-Based Heat Fluxes and Mixed Layer Height over the Sea Surface near the Korean Peninsula}, journal = {Remote. Sens.}, volume = {15}, number = {1}, pages = {25}, year = {2023}, url = {https://doi.org/10.3390/rs15010025}, doi = {10.3390/RS15010025}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKGJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKKK23, author = {A Ran Kim and Ha Seon Kim and Chang Ho Kang and Sun Young Kim}, title = {The Design of the 1D {CNN-GRU} Network Based on the {RCS} for Classification of Multiclass Missiles}, journal = {Remote. Sens.}, volume = {15}, number = {3}, pages = {577}, year = {2023}, url = {https://doi.org/10.3390/rs15030577}, doi = {10.3390/RS15030577}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZoJLLLK23, author = {Il{-}Sung Zo and Joon{-}Bum Jee and Kyu{-}Tae Lee and Kwon{-}Ho Lee and Mi{-}Young Lee and Yong{-}Soon Kwon}, title = {Radiative Energy Budget for East Asia Based on {GK-2A/AMI} Observation Data}, journal = {Remote. Sens.}, volume = {15}, number = {6}, pages = {1558}, year = {2023}, url = {https://doi.org/10.3390/rs15061558}, doi = {10.3390/RS15061558}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZoJLLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sac/KangCYPKP23, author = {Il{-}Suk Kang and Hosik Choi and Young Joo Yoon and Junyoung Park and Soon{-}Sun Kwon and Cheolwoo Park}, title = {Fr{\'{e}}chet distance-based cluster analysis for multi-dimensional functional data}, journal = {Stat. Comput.}, volume = {33}, number = {4}, pages = {75}, year = {2023}, url = {https://doi.org/10.1007/s11222-023-10237-z}, doi = {10.1007/S11222-023-10237-Z}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sac/KangCYPKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekPJK23, author = {Sung{-}Ha Baek and Ka{-}Hyun Park and Jun{-}Seo Jeon and Tae{-}Young Kwak}, title = {A Novel Method for Calibration of Digital Soil Images Captured under Irregular Lighting Conditions}, journal = {Sensors}, volume = {23}, number = {1}, pages = {296}, year = {2023}, url = {https://doi.org/10.3390/s23010296}, doi = {10.3390/S23010296}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekPJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongHCK23, author = {Sungkook Hong and Youngjib Ham and Jaeyoul Chun and Hyunsoo Kim}, title = {Productivity Measurement through IMU-Based Detailed Activity Recognition Using Machine Learning: {A} Case Study of Masonry Work}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7635}, year = {2023}, url = {https://doi.org/10.3390/s23177635}, doi = {10.3390/S23177635}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HongHCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungKKHLKKH23, author = {Younhyun Jung and Suhyeon Kim and Jihu Kim and Byunghoon Hwang and Sungmin Lee and Eun Young Kim and Jeong Ho Kim and Hyoseok Hwang}, title = {Abdominal Aortic Thrombus Segmentation in Postoperative Computed Tomography Angiography Images Using Bi-Directional Convolutional Long Short-Term Memory Architecture}, journal = {Sensors}, volume = {23}, number = {1}, pages = {175}, year = {2023}, url = {https://doi.org/10.3390/s23010175}, doi = {10.3390/S23010175}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungKKHLKKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimBPHJKL23, author = {Donggyu Kim and Sung{-}June Byun and YoungGun Pu and Hyungki Huh and Yeonjae Jung and Seokkee Kim and Kang{-}Yoon Lee}, title = {Design of a Current Sensing System with {TIA} Gain of 160 dB{\(\Omega\)} and Input-Referred Noise of 1.8 pArms for Biosensor}, journal = {Sensors}, volume = {23}, number = {6}, pages = {3019}, year = {2023}, url = {https://doi.org/10.3390/s23063019}, doi = {10.3390/S23063019}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimBPHJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimRKPJHYKL23, author = {Myonggwan Kim and Reza E. Rad and Sungjin Kim and Younggun Pu and Yeonjae Jung and Hyungki Huh and Joonmo Yoo and Seokkee Kim and Kangyoon Lee}, title = {A 5.8 GHz 1.8 {V} +20 dBm 32.5{\%} {PAE} Power Amplifier for a Short-Range Over-the-Air {WPT} Application}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5279}, year = {2023}, url = {https://doi.org/10.3390/s23115279}, doi = {10.3390/S23115279}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimRKPJHYKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeK0NBD023, author = {JoonYoung Lee and MyeongHyun Kim and KiSung Park and SungKee Noh and Abhishek Bisht and Ashok Kumar Das and Youngho Park}, title = {Blockchain-Based Data Access Control and Key Agreement System in IoT Environment}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5173}, year = {2023}, url = {https://doi.org/10.3390/s23115173}, doi = {10.3390/S23115173}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeK0NBD023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLLY23, author = {Kyungroul Lee and Jaehyuk Lee and Sun{-}Young Lee and Kangbin Yim}, title = {Effective Ransomware Detection Using Entropy Estimation of Files for Cloud Services}, journal = {Sensors}, volume = {23}, number = {6}, pages = {3023}, year = {2023}, url = {https://doi.org/10.3390/s23063023}, doi = {10.3390/S23063023}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeLLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLYBKJK23, author = {Jin{-}Han Lee and Jun{-}Hee Lee and Kwang{-}Su Yun and Han Byeol Bae and Sun Young Kim and Jae{-}Hoon Jeong and Jin{-}Pyung Kim}, title = {A Study on Wheel Member Condition Recognition Using Machine Learning (Support Vector Machine)}, journal = {Sensors}, volume = {23}, number = {20}, pages = {8455}, year = {2023}, url = {https://doi.org/10.3390/s23208455}, doi = {10.3390/S23208455}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLYBKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLYL23, author = {Jaehyuk Lee and Sun{-}Young Lee and Kangbin Yim and Kyungroul Lee}, title = {Neutralization Method of Ransomware Detection Technology Using Format Preserving Encryption}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4728}, year = {2023}, url = {https://doi.org/10.3390/s23104728}, doi = {10.3390/S23104728}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeLYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LuoKPLJ23, author = {Chenglong Luo and Sung{-}Woo Kim and Hun{-}Young Park and Kiwon Lim and Hoeryong Jung}, title = {Viewpoint-Agnostic Taekwondo Action Recognition Using Synthesized Two-Dimensional Skeletal Datasets}, journal = {Sensors}, volume = {23}, number = {19}, pages = {8049}, year = {2023}, url = {https://doi.org/10.3390/s23198049}, doi = {10.3390/S23198049}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LuoKPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamYKKSK23, author = {Yejin Nam and Sumin Yang and Jongman Kim and Bummo Koo and Sung{-}Hyuk Song and Youngho Kim}, title = {Quantification of Comfort for the Development of Binding Parts in a Standing Rehabilitation Robot}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2206}, year = {2023}, url = {https://doi.org/10.3390/s23042206}, doi = {10.3390/S23042206}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamYKKSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SejanRAKYS23, author = {Mohammad Abrar Shakil Sejan and Md. Habibur Rahman and Md. Abdul Aziz and Dong{-}Sun Kim and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {A Comprehensive Survey on {MIMO} Visible Light Communication: Current Research, Machine Learning and Future Trends}, journal = {Sensors}, volume = {23}, number = {2}, pages = {739}, year = {2023}, url = {https://doi.org/10.3390/s23020739}, doi = {10.3390/S23020739}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SejanRAKYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SinghYJKK23, author = {Lovedeep Singh and Sungjin You and Byung Jang Jeong and Chiwan Koo and Youngwook Kim}, title = {Remote Estimation of Blood Pressure Using Millimeter-Wave Frequency-Modulated Continuous-Wave Radar}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6517}, year = {2023}, url = {https://doi.org/10.3390/s23146517}, doi = {10.3390/S23146517}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SinghYJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Yu023, author = {SungJin Yu and Youngho Park}, title = {Robust and Efficient Authentication and Group-Proof Scheme Using Physical Unclonable Functions for Wearable Computing}, journal = {Sensors}, volume = {23}, number = {12}, pages = {5747}, year = {2023}, url = {https://doi.org/10.3390/s23125747}, doi = {10.3390/S23125747}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Yu023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangCTCLC23, author = {Yifan Zhang and William W. Clark and Bryan Tillman and Young Jae Chun and Stephanie Liu and Sung Kwon Cho}, title = {A System to Track Stent Location in the Human Body by Fusing Magnetometer and Accelerometer Measurements}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4887}, year = {2023}, url = {https://doi.org/10.3390/s23104887}, doi = {10.3390/S23104887}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhangCTCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/JeongLLS23, author = {Deok{-}Hwa Jeong and Dong{-}Ryul Lee and Kyu{-}Lim Lee and Jun{-}Young Sung}, title = {Gravitational Acceleration Test Results According to Functional Movement Screen and Morphological Symmetry Results of Air Force Cadets}, journal = {Symmetry}, volume = {15}, number = {4}, pages = {804}, year = {2023}, url = {https://doi.org/10.3390/sym15040804}, doi = {10.3390/SYM15040804}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/JeongLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeHLK23, author = {Youngkwang Lee and Donghyun Han and Sooryeong Lee and Sungho Kang}, title = {Novel Error-Tolerant Voltage-Divider-Based Through-Silicon-Via Test Architecture}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {1}, pages = {308--321}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3172058}, doi = {10.1109/TCAD.2022.3172058}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LeeHLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KoKSPKJ23, author = {Yejun Ko and Sunghoon Kim and Kwanghyun Shin and Youngmin Park and Sundo Kim and Dongsuk Jeon}, title = {A 65 nm 12.92-nJ/Inference Mixed-Signal Neuromorphic Processor for Image Classification}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {8}, pages = {2804--2808}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3252501}, doi = {10.1109/TCSII.2023.3252501}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KoKSPKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LimCCKLKK23, author = {Jeong{-}Taek Lim and Han{-}Woong Choi and Sun{-}Kyu Choi and Ki{-}Jin Kim and Hi{-}Deok Lee and Hyoungho Ko and Choul{-}Young Kim}, title = {Bulk {CMOS} Low Noise Amplifier With Two Stage {HPF} Noise Matching Structure}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {6}, pages = {1866--1870}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3233537}, doi = {10.1109/TCSII.2022.3233537}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LimCCKLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/MertAKSYLR23, author = {Ahmet Can Mert and Aikata and Sunmin Kwon and Youngsam Shin and Donghoon Yoo and Yongwoo Lee and Sujoy Sinha Roy}, title = {Medha: Microcoded Hardware Accelerator for computing on Encrypted Data}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2023}, number = {1}, pages = {463--500}, year = {2023}, url = {https://doi.org/10.46586/tches.v2023.i1.463-500}, doi = {10.46586/TCHES.V2023.I1.463-500}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tches/MertAKSYLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/NamL23, author = {Youngwon Nam and Sunwoo T. Lee}, title = {Behind the growth of FinTech in South Korea: Digital divide in the use of digital financial services}, journal = {Telematics Informatics}, volume = {81}, pages = {101995}, year = {2023}, url = {https://doi.org/10.1016/j.tele.2023.101995}, doi = {10.1016/J.TELE.2023.101995}, timestamp = {Mon, 17 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tele/NamL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoJK23, author = {Jung{-}Hoon Cho and Sunghun Jung and Young{-}Joon Kim}, title = {Wireless Power Transfer for Variable Load, Distance, and Power Division Ratio in a Loosely-Coupled Multiple-Receiver Relay System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {7}, pages = {6809--6818}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3201302}, doi = {10.1109/TIE.2022.3201302}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YoonCBL23, author = {Dongkwan Yoon and Younghoon Cho and Sunho Bae and Jaeho Lee}, title = {An Input-Series Output-Series Noninverting Buck-Boost Converter for 1500 {V} {DC} Bus With Wide Input and Output Voltage Ranges}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {11}, pages = {11231--11241}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231265}, doi = {10.1109/TIE.2022.3231265}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YoonCBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YoonJKYB23, author = {Joanne Yoon and Raehun Jung and Young{-}il Kim and Sung{-}Joon Ye and Young{-}Bong Bang}, title = {A Fast Actuation Mechanism and Energy-Effective Driving Method for Pulse-Closers}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {2}, pages = {1331--1341}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3159915}, doi = {10.1109/TIE.2022.3159915}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YoonJKYB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/ParkLSNM23, author = {Young Soo Park and Sangyoon Lee and Inkyung Sung and Peter Nielsen and Ilkyeong Moon}, title = {Facility Location-Allocation Problem for Emergency Medical Service With Unmanned Aerial Vehicle}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {24}, number = {2}, pages = {1465--1479}, year = {2023}, url = {https://doi.org/10.1109/TITS.2022.3223509}, doi = {10.1109/TITS.2022.3223509}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/ParkLSNM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JangKHLH23, author = {Sung{-}Su Jang and Cheol{-}Jin Kim and Seong{-}yeon Hwang and Myung{-}Jae Lee and Young{-}Guk Ha}, title = {{L-GAN:} landmark-based generative adversarial network for efficient face de-identification}, journal = {J. Supercomput.}, volume = {79}, number = {7}, pages = {7132--7159}, year = {2023}, url = {https://doi.org/10.1007/s11227-022-04954-x}, doi = {10.1007/S11227-022-04954-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/JangKHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/HyunKJKS23, author = {Daijoon Hyun and Sunwha Koh and Younggwang Jung and Taeyoung Kim and Youngsoo Shin}, title = {Routability Optimization of Extreme Aspect Ratio Design through Non-uniform Placement Utilization and Selective Flip-flop Stacking}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {4}, pages = {50:1--50:19}, year = {2023}, url = {https://doi.org/10.1145/3573387}, doi = {10.1145/3573387}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/HyunKJKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeHK23, author = {Youngkwang Lee and Donghyun Han and Sungho Kang}, title = {{TSV} Built-In Self-Repair Architecture for Improving the Yield and Reliability of {HBM}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {4}, pages = {578--590}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2023.3248042}, doi = {10.1109/TVLSI.2023.3248042}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/RyuOK23, author = {Sungju Ryu and Youngtaek Oh and Jae{-}Joon Kim}, title = {Binaryware: {A} High-Performance Digital Hardware Accelerator for Binary Neural Networks}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {12}, pages = {2137--2141}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2023.3324834}, doi = {10.1109/TVLSI.2023.3324834}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/RyuOK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/AhnCKK23, author = {Seok{-}Ki Ahn and Sung Ho Chae and Kwang Taik Kim and Young{-}Han Kim}, title = {Successive Cancellation Integer Forcing via Practical Binary Codes}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {9}, pages = {6383--6396}, year = {2023}, url = {https://doi.org/10.1109/TWC.2023.3243179}, doi = {10.1109/TWC.2023.3243179}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/AhnCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/NohSSLLY23, author = {Song Noh and Kyungsik Seo and Youngchul Sung and David J. Love and Junse Lee and Heejung Yu}, title = {Joint Direct and Indirect Channel Estimation for RIS-Assisted Millimeter-Wave Systems Based on Array Signal Processing}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {11}, pages = {8378--8391}, year = {2023}, url = {https://doi.org/10.1109/TWC.2023.3262492}, doi = {10.1109/TWC.2023.3262492}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/NohSSLLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/NguyenJHBYKPJN23, author = {Tuan Anh Nguyen and Hyeongjun Jeon and Daegyu Han and Duck{-}Ho Bae and Youngjin Yu and Kyeungpyo Kim and Sungsoon Park and Jinkyu Jeong and Beomseok Nam}, title = {NVMe-Driven Lazy Cache Coherence for Immutable Data with NVMe over Fabrics}, booktitle = {16th {IEEE} International Conference on Cloud Computing, {CLOUD} 2023, Chicago, IL, USA, July 2-8, 2023}, pages = {394--400}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CLOUD60044.2023.00053}, doi = {10.1109/CLOUD60044.2023.00053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/NguyenJHBYKPJN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/BaeKKLNY23, author = {Sangmin Bae and Sungnyun Kim and Jongwoo Ko and Gihun Lee and Seungjong Noh and Se{-}Young Yun}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Self-Contrastive Learning: Single-Viewed Supervised Contrastive Framework Using Sub-network}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {197--205}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i1.25091}, doi = {10.1609/AAAI.V37I1.25091}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/BaeKKLNY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/BakLBYBJ23, author = {Taejun Bak and Junmo Lee and Hanbin Bae and Jinhyeok Yang and Jae{-}Sung Bae and Young{-}Sun Joo}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Avocodo: Generative Adversarial Network for Artifact-Free Vocoder}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {12562--12570}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i11.26479}, doi = {10.1609/AAAI.V37I11.26479}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/BakLBYBJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/JeongHCCSLCH23, author = {Yongbin Jeong and Ju{-}Hyuck Han and Kyung Min Chae and Yousang Cho and Hyunbin Seo and Kyungtae Lim and Key{-}Sun Choi and Younggyun Hahm}, editor = {Tristan Naumann and Asma Ben Abacha and Steven Bethard and Kirk Roberts and Anna Rumshisky}, title = {Teddysum at MEDIQA-Chat 2023: an analysis of fine-tuning strategy for long dialog summarization}, booktitle = {Proceedings of the 5th Clinical Natural Language Processing Workshop, ClinicalNLP@ACL 2023, Toronto, Canada, July 14, 2023}, pages = {394--402}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.clinicalnlp-1.42}, doi = {10.18653/V1/2023.CLINICALNLP-1.42}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/JeongHCCSLCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KimKLHYLL23, author = {Yunsoo Kim and Hyuk Ko and Jane Lee and Hyun Young Heo and Jinyoung Yang and Sungsoo Lee and Kyu{-}Hwang Lee}, editor = {Sunayana Sitaram and Beata Beigman Klebanov and Jason D. Williams}, title = {Chemical Language Understanding Benchmark}, booktitle = {Proceedings of the The 61st Annual Meeting of the Association for Computational Linguistics: Industry Track, {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {404--411}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-industry.39}, doi = {10.18653/V1/2023.ACL-INDUSTRY.39}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KimKLHYLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/MokDLTYY23, author = {Jisoo Mok and Jaeyoung Do and Sungjin Lee and Tara Taghavi and Seunghak Yu and Sungroh Yoon}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Large-scale Lifelong Learning of In-context Instructions and How to Tackle It}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {12573--12589}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.703}, doi = {10.18653/V1/2023.ACL-LONG.703}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/MokDLTYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SongPHYJGY23, author = {Jongyoon Song and Nohil Park and Bongkyu Hwang and Jaewoong Yun and Seongho Joe and Youngjune Gwon and Sungroh Yoon}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Model Intrinsic Features of Fine-tuning based Text Summarization Models for Factual Consistency}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {13884--13898}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.872}, doi = {10.18653/V1/2023.FINDINGS-ACL.872}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/SongPHYJGY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/YoonJKPSY23, author = {Hyeong Min Yoon and Jae Woo Jung and Eun Kyu Kim and Jeong Min Park and Jong Min Sung and Jun Young Yoon}, title = {Design and Control of 3-DOF Reluctance-force-type Magnetic Levitator Module for Fine-positioning Short-stroke Stage}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, pages = {525--530}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIM46323.2023.10196140}, doi = {10.1109/AIM46323.2023.10196140}, timestamp = {Mon, 14 Aug 2023 15:14:40 +0200}, biburl = {https://dblp.org/rec/conf/aimech/YoonJKPSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/JeongPPK23, author = {Young{-}Min Jeong and Tae{-}Sung Park and Jeong{-}Hyeok Park and Jong{-}Ok Kim}, title = {Low-Light Image Enhancement via Distillation of NIR-to-RGB Conversion Knowledge}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {714--718}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317205}, doi = {10.1109/APSIPAASC58517.2023.10317205}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/JeongPPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Donghun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Changsik Yoo and Tae{-}Young Oh}, title = {A 1.01V 8.5Gb/s/pin 16Gb LPDDR5x {SDRAM} with Self-Pre-Emphasized Stacked-Tx, Supply Voltage Insensitive Rx, and Optimized Clock Using 4th-Generation 10nm {DRAM} Process for High-Speed and Low-Power Applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348005}, doi = {10.1109/A-SSCC58667.2023.10348005}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungCRPLKJKYIYCCK23, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348011}, doi = {10.1109/A-SSCC58667.2023.10348011}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JungCRPLKJKYIYCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/KimJCS23, author = {Woojun Kim and Whiyoung Jung and Myungsik Cho and Youngchul Sung}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {A Variational Approach to Mutual Information-Based Coordination for Multi-Agent Reinforcement Learning}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {40--48}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598617}, doi = {10.5555/3545946.3598617}, timestamp = {Tue, 23 May 2023 14:27:14 +0200}, biburl = {https://dblp.org/rec/conf/atal/KimJCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/KimS23, author = {Woojun Kim and Youngchul Sung}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {Parameter Sharing with Network Pruning for Scalable Multi-Agent Deep Reinforcement Learning}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {1942--1950}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598863}, doi = {10.5555/3545946.3598863}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/KimS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/LeeKLLKL23, author = {Young{-}Eun Lee and Sang{-}Ho Kim and Seo{-}Hyun Lee and Jung{-}Sun Lee and Soowon Kim and Seong{-}Whan Lee}, title = {Speech Synthesis from Brain Signals Based on Generative Model}, booktitle = {11th International Winter Conference on Brain-Computer Interface, {BCI} 2023, Gangwon, Korea, Republic of, February 20-22, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCI57258.2023.10078574}, doi = {10.1109/BCI57258.2023.10078574}, timestamp = {Thu, 06 Apr 2023 09:38:22 +0200}, biburl = {https://dblp.org/rec/conf/bci3/LeeKLLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimHH23, author = {Pil{-}Song Kim and Sun{-}Young Hyun and Young{-}Guk Ha}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {Battlefield Situation Awareness Model Using Convolutional {LSTM}}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {369--370}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00086}, doi = {10.1109/BIGCOMP57234.2023.00086}, timestamp = {Mon, 27 Mar 2023 16:44:40 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KimHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/RyuKJMLCKKKCNHRJ23, author = {Seokhyun Ryu and Sohyun Kim and Jaeyung Jun and Donguk Moon and Kyungsoo Lee and Jungmin Choi and Sunwoong Kim and Hyungsoo Kim and Luke Kim and Won Ha Choi and Moohyeon Nam and Dooyoung Hwang and Hongchan Roh and Young{-}Pyo Joo}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {System Optimization of Data Analytics Platforms using Compute Express Link {(CXL)} Memory}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {9--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00011}, doi = {10.1109/BIGCOMP57234.2023.00011}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/RyuKJMLCKKKCNHRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HongJW23, author = {Jisoo Hong and Youngjin Jung and Kang Sung Woo}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Image Data Augmentation and Detection Study for Pothole Detection Algorithm}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {6162--6164}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386900}, doi = {10.1109/BIGDATA59044.2023.10386900}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/HongJW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/JungHKW23, author = {Youngjin Jung and Jisoo Hong and Solip Kim and Kang Sung Woo}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Ammunition Management in the {AI} Era: Towards {CBM+} and Shelf-life Analysis}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {6180--6182}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386840}, doi = {10.1109/BIGDATA59044.2023.10386840}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/JungHKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/BaekJPL23, author = {Myung{-}Sun Baek and Eui{-}Suk Jung and Young Soo Park and Yong{-}Tae Lee}, title = {{IC} and Signal Detection based on {LSTM} for {FTN} Signaling-based Digital Twin Synchronization System in Multipath Channel}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2023, Beijing, China, June 14-16, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BMSB58369.2023.10211611}, doi = {10.1109/BMSB58369.2023.10211611}, timestamp = {Tue, 29 Aug 2023 20:54:28 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/BaekJPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/GoKSKPAH23, author = {Youngbin Go and Hyeongseok Kim and Kwon Seol and Jeongchang Kim and Sung{-}Ik Park and Seok{-}Ki Ahn and Namho Hur}, title = {DNN-Based Calibration Factor Estimation for Effective {SINR} Mapping in {CQI} Selection of 5G {NR}}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2023, Beijing, China, June 14-16, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BMSB58369.2023.10211174}, doi = {10.1109/BMSB58369.2023.10211174}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/GoKSKPAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KwonBKSP23, author = {Haechan Kwon and JaeHwui Bae and Youngsu Kim and Jae{-}Hyun Seo and Sung{-}Ik Park}, title = {A New {MATV} system with integrated {UHD} and {HD} broadcasting: Implementation and Test Results}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2023, Beijing, China, June 14-16, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BMSB58369.2023.10211184}, doi = {10.1109/BMSB58369.2023.10211184}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/KwonBKSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimLSLNYPYLS23, author = {Taeyeop Kim and Jaeseong Lee and Jaeho Song and Dongwoo Lee and Jun{-}Chae Na and Sung{-}Il Yang and Kyong{-}Jin Park and Young Jin Yoo and Juhye Lee and Won{-}Yong Shin}, title = {AI-Empowered Database Management Platform for New Materials Discovery for Consumer Electronics}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {929--930}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060412}, doi = {10.1109/CCNC51644.2023.10060412}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/KimLSLNYPYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChaWSLNP23, author = {Yoon Jeong Cha and Alice Wou and Arpita Saxena and Joyce M. Lee and Mark W. Newman and Sun Young Park}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {It's Like an Educated Guessing Game: Parents' Strategies for Collaborative Diabetes Management with Their Children}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {471:1--471:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581522}, doi = {10.1145/3544548.3581522}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChaWSLNP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/KimYKSK23, author = {Dong{-}Kyu Kim and Hong{-}Cheol Yoon and Hyun{-}Seok Kim and Woo{-}Young Seo and Sung{-}Hoon Kim}, title = {Predicting Neurological Outcome After Cardiac Arrest Using a Pretrained Model with Electroencephalography Augmentation}, booktitle = {Computing in Cardiology, CinC 2023, Atlanta, GA, USA, October 1-4, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.22489/CinC.2023.077}, doi = {10.22489/CINC.2023.077}, timestamp = {Mon, 22 Jan 2024 19:57:57 +0100}, biburl = {https://dblp.org/rec/conf/cinc/KimYKSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/colt/MosselNSSZ23, author = {Elchanan Mossel and Jonathan Niles{-}Weed and Youngtak Sohn and Nike Sun and Ilias Zadik}, editor = {Gergely Neu and Lorenzo Rosasco}, title = {Sharp thresholds in inference of planted subgraphs}, booktitle = {The Thirty Sixth Annual Conference on Learning Theory, {COLT} 2023, 12-15 July 2023, Bangalore, India}, series = {Proceedings of Machine Learning Research}, volume = {195}, pages = {5573--5577}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v195/mossel23a.html}, timestamp = {Wed, 06 Sep 2023 17:49:05 +0200}, biburl = {https://dblp.org/rec/conf/colt/MosselNSSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/KimLK23, author = {Taeyoung Kim and Jihyun Lee and Sungwon Kang}, editor = {Hossain Shahriar and Yuuichi Teranishi and Alfredo Cuzzocrea and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Hiroki Kashiwazaki and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {Extracting Common and Variable Code using the {LCS} Algorithm for Migration to {SPLE}}, booktitle = {47th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2023, Torino, Italy, June 26-30, 2023}, pages = {1004--1005}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COMPSAC57700.2023.00148}, doi = {10.1109/COMPSAC57700.2023.00148}, timestamp = {Mon, 07 Aug 2023 15:56:21 +0200}, biburl = {https://dblp.org/rec/conf/compsac/KimLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimBY23, author = {Sungnyun Kim and Sangmin Bae and Se{-}Young Yun}, title = {Coreset Sampling from Open-Set for Fine-Grained Self-Supervised Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {7537--7547}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00728}, doi = {10.1109/CVPR52729.2023.00728}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimBY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimOLYDT23, author = {Siwon Kim and Jinoh Oh and Sungjin Lee and Seunghak Yu and Jaeyoung Do and Tara Taghavi}, title = {Grounding Counterfactual Explanation of Image Classifiers to Textual Concept Space}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {10942--10950}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01053}, doi = {10.1109/CVPR52729.2023.01053}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimOLYDT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/Park0JAKK23, author = {Sungheon Park and Minjung Son and Seokhwan Jang and Young Chun Ahn and Ji{-}Yeon Kim and Nahyup Kang}, title = {Temporal Interpolation is all You Need for Dynamic Neural Radiance Fields}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {4212--4221}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00410}, doi = {10.1109/CVPR52729.2023.00410}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/Park0JAKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkKKCYUK23, author = {Jihye Park and Sunwoo Kim and Soohyun Kim and Seokju Cho and Jaejun Yoo and Youngjung Uh and Seungryong Kim}, title = {{LANIT:} Language-Driven Image-to-Image Translation for Unlabeled Data}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {23401--23411}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02241}, doi = {10.1109/CVPR52729.2023.02241}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ParkKKCYUK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuCYHPLZA0K023, author = {Youngjae Yu and Jiwan Chung and Heeseung Yun and Jack Hessel and Jae Sung Park and Ximing Lu and Rowan Zellers and Prithviraj Ammanabrolu and Ronan Le Bras and Gunhee Kim and Yejin Choi}, title = {Fusing Pre-Trained Language Models with Multimodal Prompts through Reinforcement Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {10845--10856}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01044}, doi = {10.1109/CVPR52729.2023.01044}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuCYHPLZA0K023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaeCGC23, author = {Hyeong Kon Bae and Myung Jae Chung and Young{-}Ho Gong and Sung Woo Chung}, title = {Twin {ECC:} {A} Data Duplication Based {ECC} for Strong {DRAM} Error Resilience}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137096}, doi = {10.23919/DATE56975.2023.10137096}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/BaeCGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/LiuLZSZ23, author = {Xiaokang Liu and Jiangtao Li and Yanyan Zhao and Yiyue Sun and Haibo Zhang}, editor = {Leonard Barolli}, title = {A Kind of Online Game Addictive Treatment Model About Young Person}, booktitle = {Advances in Internet, Data {\&} Web Technologies - The 11th International Conference on Emerging Internet, Data {\&} Web Technologies, {EIDWT} 2023, Semarang, Indonesia, 23-25 February 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {161}, pages = {108--117}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-26281-4\_11}, doi = {10.1007/978-3-031-26281-4\_11}, timestamp = {Wed, 19 Apr 2023 14:30:08 +0200}, biburl = {https://dblp.org/rec/conf/eidwt/LiuLZSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChoRUSG23, author = {Young Min Cho and Sunny Rai and Lyle H. Ungar and Jo{\~{a}}o Sedoc and Sharath Chandra Guntuku}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {An "Integrative Survey on Mental Health Conversational Agents to Bridge Computer Science and Medical Perspectives"}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11346--11369}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.698}, doi = {10.18653/V1/2023.EMNLP-MAIN.698}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChoRUSG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MoonLCJPKMPP23, author = {Jihyung Moon and Dong{-}Ho Lee and Hyundong Cho and Woojeong Jin and Chan Young Park and Minwoo Kim and Jonathan May and Jay Pujara and Sungjoon Park}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Analyzing Norm Violations in Live-Stream Chat}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {852--868}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.55}, doi = {10.18653/V1/2023.EMNLP-MAIN.55}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/MoonLCJPKMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HwangLKZ23, author = {Jaejin Hwang and Sungchul Lee and Yanghee Kim and Mobasshira Zaman}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Evaluating Young Children's Computational Thinking Skills Using a Mixed-Reality Environment}, booktitle = {{HCI} International 2023 Posters - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {1834}, pages = {251--258}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35998-9\_35}, doi = {10.1007/978-3-031-35998-9\_35}, timestamp = {Sun, 12 Nov 2023 02:12:38 +0100}, biburl = {https://dblp.org/rec/conf/hci/HwangLKZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZhangKHL023, author = {Sunxiya Zhang and Emiran Kaisar and Ting Han and Ruoyu Liang and Shi Qiu}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Designing At-Home Workout Products to Improve Sub-health of Young People}, booktitle = {{HCI} International 2023 Posters - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1833}, pages = {224--229}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35992-7\_31}, doi = {10.1007/978-3-031-35992-7\_31}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZhangKHL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/ChoiLJY23, author = {Jake Choi and Jaejin Lee and Sunchul Jung and Heon Young Yeom}, title = {Hybrid {CUDA} Unified Memory Management in Fully Homomorphic Encryption Workloads}, booktitle = {30th {IEEE} International Conference on High Performance Computing, Data, and Analytics, HiPC 2023, Goa, India, December 18-21, 2023}, pages = {21--30}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HiPC58850.2023.00017}, doi = {10.1109/HIPC58850.2023.00017}, timestamp = {Tue, 16 Apr 2024 13:57:14 +0200}, biburl = {https://dblp.org/rec/conf/hipc/ChoiLJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/ByeonRJK023, author = {Sungjin Byeon and Joseph Ro and Safdar Jamil and Jeong{-}Uk Kang and Youngjae Kim}, editor = {Ali Anwar and Ningfang Mi and Vasily Tarasov and Yiying Zhang}, title = {A Free-Space Adaptive Runtime Zone-Reset Algorithm for Enhanced {ZNS} Efficiency}, booktitle = {Proceedings of the 15th {ACM/USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2023, Boston, MA, USA, 9 July 2023}, pages = {109--115}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599691.3603410}, doi = {10.1145/3599691.3603410}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotstorage/ByeonRJK023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/YooKKPKO23, author = {Sungyeob Yoo and Hyunsung Kim and Jinseok Kim and Sunghyun Park and Joo{-}Young Kim and Jinwook Oh}, title = {LightTrader: {A} Standalone High-Frequency Trading System with Deep Learning Inference Accelerators and Proactive Scheduler}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {1017--1030}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10070930}, doi = {10.1109/HPCA56546.2023.10070930}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/YooKKPKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcasia/ElwasifGHHHJKLM23, author = {Wael R. Elwasif and William F. Godoy and Nick Hagerty and James Austin Harris and Oscar R. Hernandez and B{\'{a}}lint Jo{\'{o}} and Paul R. C. Kent and Damien Lebrun{-}Grandi{\'{e}} and Elijah A. MacCarthy and Ver{\'{o}}nica G. Melesse Vergara and Bronson Messer and Ross Miller and Sarp Oral and Sergei I. Bastrakov and Michael Bussmann and Alexander Debus and Klaus Steiniger and Jan Stephan and Ren{\'{e}} Widera and Spencer H. Bryngelson and Henry Le Berre and Anand Radhakrishnan and Jeffrey Young and Sunita Chandrasekaran and Florina M. Ciorba and Osman Simsek and Kate Clark and Filippo Spiga and Jeff R. Hammond and John E. Stone and David J. Hardy and Sebastian Keller and Jean{-}Guillaume Piccinali and Christian Trott}, title = {Application Experiences on a GPU-Accelerated Arm-based {HPC} Testbed}, booktitle = {Proceedings of the {HPC} Asia 2023 Workshops, {HPC} Asia 2023, Singapore, 27 February 2023 - 2 March 2023}, pages = {35--49}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581576.3581621}, doi = {10.1145/3581576.3581621}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcasia/ElwasifGHHHJKLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/HwangCK23, author = {Sungjin Hwang and Youngwug Cho and Kwanguk (Kenny) Kim}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Zhongyi Zhou}, title = {User-Independent Motion and Location Analysis for Sussex-Huawei Locomotion Data}, booktitle = {Adjunct Proceedings of the 2023 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing {\&} the 2023 {ACM} International Symposium on Wearable Computing, Cancun, Quintana Roo, Mexico, October 8-12, 2023}, pages = {517--522}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3594739.3610748}, doi = {10.1145/3594739.3610748}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/HwangCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/KimAH23, author = {Jun Young Kim and Minsung Ahn and Jeakweon Han}, title = {Enhancing AdultSize Humanoid Localization Accuracy: {A} Vision-based aMCL Leveraging Object Detection Model and Hungarian Algorithm}, booktitle = {22nd {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2023, Austin, TX, USA, December 12-14, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/Humanoids57100.2023.10375159}, doi = {10.1109/HUMANOIDS57100.2023.10375159}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/KimAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ByunSPSB23, author = {Joon Byun and Seungmin Shin and Youngcheol Park and Jongmo Sung and Seungkwon Beack}, title = {A Perceptual Neural Audio Coder with a Mean-Scale Hyperprior}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096009}, doi = {10.1109/ICASSP49357.2023.10096009}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ByunSPSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HwangHJ23, author = {In{-}Sun Hwang and Youngsub Han and Byoung{-}Ki Jeon}, title = {CyFi-TTS: Cyclic Normalizing Flow with Fine-Grained Representation for End-to-End Text-to-Speech}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10095323}, doi = {10.1109/ICASSP49357.2023.10095323}, timestamp = {Sun, 05 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HwangHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSPJ23, author = {Jaewoo Lee and Kapje Sung and Daeul Park and Younghan Jeon}, title = {{KEPS-NET:} Robust Parking slot Detection based Keypoint estimation for High Localization Accuracy}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094990}, doi = {10.1109/ICASSP49357.2023.10094990}, timestamp = {Sun, 05 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeSPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeoSCYKSKK23, author = {Jaehoon Heo and Yongwon Shin and Sangjin Choi and Sungwoong Yune and Jung{-}Hoon Kim and Hyojin Sung and Youngjin Kwon and Joo{-}Young Kim}, title = {{PRIMO:} {A} Full-Stack Processing-in-DRAM Emulation Framework for Machine Learning Workloads}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323637}, doi = {10.1109/ICCAD57390.2023.10323637}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/HeoSCYKSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeOJPKLKLH23, author = {Yoon Hyeok Lee and Youngmin Oh and Gyohun Jeong and Mingyu Pi and Hyukil Kwon and Hakyoung Lim and Eungchae Kim and Sunghee Lee and Bosun Hwang}, title = {{GRAFT:} Graph-Assisted Reinforcement Learning for Automated {SSD} Firmware Testing}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323794}, doi = {10.1109/ICCAD57390.2023.10323794}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeOJPKLKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuSLPRC0023, author = {Yongseung Yu and Donghyun Son and Younghyun Lee and Sunghyun Park and Giha Ryu and Myeongjin Cho and Jiwon Seo and Yongjun Park}, title = {Tailoring {CUTLASS} {GEMM} using Supervised Learning}, booktitle = {41st {IEEE} International Conference on Computer Design, {ICCD} 2023, Washington, DC, USA, November 6-8, 2023}, pages = {465--474}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCD58817.2023.00077}, doi = {10.1109/ICCD58817.2023.00077}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuSLPRC0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JeongCJKL23, author = {Yue Ri Jeong and Kwonneung Cho and Young Woo Jeong and Sun Beom Kwon and Seung Eun Lee}, title = {A Real-Time Reconfigurable {AI} Processor Based on {FPGA}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043575}, doi = {10.1109/ICCE56470.2023.10043575}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/JeongCJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HwangKKBK23, author = {Sunwook Hwang and Youngseok Kim and Seongwon Kim and Saewoong Bahk and Hyung{-}Sin Kim}, title = {UpCycling: Semi-supervised 3D Object Detection without Sharing Raw-level Unlabeled Scenes}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {23294--23304}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.02134}, doi = {10.1109/ICCV51070.2023.02134}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HwangKKBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KangMLR23, author = {Wooyoung Kang and Jonghwan Mun and Sungjun Lee and Byungseok Roh}, title = {Noise-aware Learning from Web-crawled Image-Text Data for Image Captioning}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {2930--2940}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00275}, doi = {10.1109/ICCV51070.2023.00275}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/KangMLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimL023, author = {Junho Kim and Eun Sun Lee and Young Min Kim}, title = {Calibrating Panoramic Depth Estimation for Practical Localization and Mapping}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {8796--8806}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00811}, doi = {10.1109/ICCV51070.2023.00811}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/KimL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LeeLNYDT23, author = {Jungbeom Lee and Sungjin Lee and Jinseok Nam and Seunghak Yu and Jaeyoung Do and Tara Taghavi}, title = {Weakly Supervised Referring Image Segmentation with Intra-Chunk and Inter-Chunk Consistency}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {21813--21824}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01999}, doi = {10.1109/ICCV51070.2023.01999}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LeeLNYDT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ShinSBCKBU23, author = {Minjung Shin and Yunji Seo and Jeongmin Bae and Young Sun Choi and Hyunsu Kim and Hyeran Byun and Youngjung Uh}, title = {BallGAN: 3D-aware Image Synthesis with a Spherical Background}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {7234--7245}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00668}, doi = {10.1109/ICCV51070.2023.00668}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/ShinSBCKBU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/JangZSDPTMSCZDZ23, author = {Youngkyoon Jang and Jiali Zheng and Jifei Song and Helisa Dhamo and Eduardo P{\'{e}}rez{-}Pellitero and Thomas Tanay and Matteo Maggioni and Richard Shaw and Sibi Catley{-}Chandar and Yiren Zhou and Jiankang Deng and Ruijie Zhu and Jiahao Chang and Ziyang Song and Jiahuan Yu and Tianzhu Zhang and Khanh{-}Binh Nguyen and Joon{-}Sung Yang and Andreea Dogaru and Bernhard Egger and Heng Yu and Aarush Gupta and Joel Julin and L{\'{a}}szl{\'{o}} A. Jeni and Hyeseong Kim and Jungbin Cho and Dosik Hwang and Deukhee Lee and Doyeon Kim and Dongseong Seo and SeungJin Jeon and YoungDon Choi and Jun Seok Kang and Ahmet Cagatay Seker and Sang Chul Ahn and Ales Leonardis and Stefanos Zafeiriou}, title = {{VSCHH} 2023: {A} Benchmark for the View Synthesis Challenge of Human Heads}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1113--1120}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00120}, doi = {10.1109/ICCVW60793.2023.00120}, timestamp = {Wed, 10 Jan 2024 14:20:12 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/JangZSDPTMSCZDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkKKYJGC23, author = {Doyoung Park and Sunjin Kim and Minkyu Kim and Naresh Reddy Yarram and Seongho Joe and Youngjune Gwon and Jongwon Choi}, title = {Document Change Detection With Hierarchical Patch Comparison}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {665--669}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10222407}, doi = {10.1109/ICIP49359.2023.10222407}, timestamp = {Tue, 21 Nov 2023 12:38:06 +0100}, biburl = {https://dblp.org/rec/conf/icip/ParkKKYJGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/WenLKJK23, author = {Muchen Wen and Junming Liu and Juhee Kwon and Kyung Sung Jung and Young Kwark}, editor = {Rahul De' and Souren Paul and Suprateek Sarker and Virpi Kristiina Tuunainen and Walter D. Fern{\'{a}}ndez and Joe Nandhakumar and Radhika Santhanam}, title = {Spillover in Sharing Economies: Network Effect of Bike-sharing Services on Home-sharing Performance}, booktitle = {Proceedings of the 44th International Conference on Information Systems, {ICIS} 2023, Rising Like a Phoenix: Emerging from the Pandemic and Reshaping Human Endeavors with Digital Technologies, Hyderrabad, India, December 10-13, 2023}, publisher = {Association for Information Systems}, year = {2023}, url = {https://aisel.aisnet.org/icis2023/sharing\_econ/sharing\_econ/1}, timestamp = {Mon, 22 Jul 2024 11:13:28 +0200}, biburl = {https://dblp.org/rec/conf/icis/WenLKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiPWC23, author = {Yun Young Choi and Sun Woo Park and Youngho Woo and U Jin Choi}, title = {Cycle to Clique (Cy2C) Graph Neural Network: {A} Sight to See beyond Neighborhood Aggregation}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=7d-g8KozkiE}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiPWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimSASS23, author = {Junsu Kim and Younggyo Seo and Sungsoo Ahn and Kyunghwan Son and Jinwoo Shin}, title = {Imitating Graph-Based Planning with Goal-Conditioned Policies}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=6lUEy1J5R7p}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimSASS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeKLH23, author = {Heejun Lee and Minki Kang and Youngwan Lee and Sung Ju Hwang}, title = {Sparse Token Transformer with Attention Back Tracking}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=VV0hSE8AxCw}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeWK0H23, author = {Youngwan Lee and Jeffrey Ryan Willette and Jonghee Kim and Juho Lee and Sung Ju Hwang}, title = {Exploring The Role of Mean Teachers in Self-supervised Masked Auto-Encoders}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=7sn6Vxp92xV}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeWK0H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/HwangSJKKHK23, author = {HyeongJoo Hwang and Seokin Seo and Youngsoo Jang and Sungyoon Kim and Geon{-}Hyeong Kim and Seunghoon Hong and Kee{-}Eung Kim}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Information-Theoretic State Space Model for Multi-View Reinforcement Learning}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {14249--14282}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/hwang23c.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/HwangSJKKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimKS23, author = {Woojun Kim and Jeonghye Kim and Youngchul Sung}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {{LESSON:} Learning to Integrate Exploration Strategies for Reinforcement Learning via an Option Framework}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16619--16638}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23k.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimPJKKK23, author = {Junetae Kim and Kyoungsuk Park and Hanseok Jeong and Youngwook Kim and Jeongseon Kim and Sun{-}Young Kim}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {SurProGenes: Survival Risk-Ordered Representation of Cancer Patients and Genes for the Identification of Prognostic Genes}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16771--16786}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23s.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimPJKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimS23, author = {Woojun Kim and Youngchul Sung}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {An Adaptive Entropy-Regularization Framework for Multi-Agent Reinforcement Learning}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16829--16852}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23v.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/BakAP23, author = {Eun{-}Sang Bak and Youngeun An and Sung Bum Pan}, title = {A Novel Multi-Label Evaluation Measure with Comparative Analysis}, booktitle = {International Conference on Machine Learning and Applications, {ICMLA} 2023, Jacksonville, FL, USA, December 15-17, 2023}, pages = {536--542}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMLA58977.2023.00080}, doi = {10.1109/ICMLA58977.2023.00080}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmla/BakAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/HanMMHHKKKPS23, author = {Siho Han and Jihwan Min and Jui Ma and Gyuil Hwang and Taeyeong Heo and Young Eun Kim and Sungjin Kang and Hyojun Kim and Sangjong Park and Kisuk Sung}, title = {Deep Learning-Based Virtual Metrology in Multivariate Time Series}, booktitle = {{IEEE} International Conference on Prognostics and Health Management, {ICPHM} 2023, Montreal, QC, Canada, June 5-7, 2023}, pages = {30--37}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPHM57936.2023.10194015}, doi = {10.1109/ICPHM57936.2023.10194015}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icphm/HanMMHHKKKPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimCSY23, author = {Youngrae Kim and Sunghyun Choi and Jinhyeok Song and Dongwon Yun}, title = {Modular Multi-axis Elastic Actuator with Torque Sensing Capable p-CFH for Highly Impact Resistive Robot Leg}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {7331--7337}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161131}, doi = {10.1109/ICRA48891.2023.10161131}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimCSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimKLPP23, author = {Sunin Kim and Jaewoon Kwon and Taeyoon Lee and Younghyo Park and Julien Perez}, title = {Safety-Aware Unsupervised Skill Discovery}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {894--900}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10160985}, doi = {10.1109/ICRA48891.2023.10160985}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimKLPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeLSYPCK23, author = {Dong{-}Jae Lee and Jae Young Lee and Hyunguk Shon and Eojindl Yi and Yeong{-}Hun Park and Sung{-}Sik Cho and Junmo Kim}, title = {Lightweight Monocular Depth Estimation via Token-Sharing Transformer}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {4895--4901}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10160566}, doi = {10.1109/ICRA48891.2023.10160566}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeLSYPCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkYHYPC23, author = {Jeongeun Park and Taerim Yoon and Jejoon Hong and Youngjae Yu and Matthew Pan and Sungjoon Choi}, title = {Zero-shot Active Visual Search {(ZAVIS):} Intelligent Object Search for Robotic Assistants}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {2004--2010}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161345}, doi = {10.1109/ICRA48891.2023.10161345}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkYHYPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/LeeMDPLH23, author = {Yong{-}Seok Lee and Nguyen Xuan Mung and Truong{-}Dong Do and Joon{-}Young Park and Choong Hyun Lee and Sung Kyung Hong}, title = {Design of a Nanosatellite Attitude Control Hardware-in-the-loop Simulation System}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2023, Ho Chi Minh, Vietnam, July 27-28, 2023}, pages = {609--613}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSSE58758.2023.10227144}, doi = {10.1109/ICSSE58758.2023.10227144}, timestamp = {Sat, 17 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsse/LeeMDPLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/BaeKKSP23, author = {JaeHwui Bae and Haechan Kwon and Youngsu Kim and Jae{-}Hyun Seo and Sung{-}Ik Park}, title = {Test of {UHD/HD} Integrated {MATV} Functions in real environment}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1143--1145}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392542}, doi = {10.1109/ICTC58733.2023.10392542}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/BaeKKSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiPLK23, author = {Youngjoon Choi and Sungsik Park and Junwook Lee and Yongwoo Kim}, title = {Development and verification of usage prediction service for intelligent smart water service}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1668--1672}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392897}, doi = {10.1109/ICTC58733.2023.10392897}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiPLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/EomZPKSLP23, author = {Boyun Eom and Muhammad Zubair and Dong{-}Hwan Park and Hyunhak Kim and Young{-}Ho Suh and Sunhwan Lim and Chanwon Park}, title = {Federated Learning in Prediction of Dementia Stage: An Experimental Study}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1785--1788}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392680}, doi = {10.1109/ICTC58733.2023.10392680}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/EomZPKSLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangHK23, author = {Sungmin Kang and Joonyong Hwang and Younghoon Kim}, title = {{DAQS:} Dynamic and Accurate QoS for {SR-IOV}}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1440--1442}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392450}, doi = {10.1109/ICTC58733.2023.10392450}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangKLC23, author = {Young Eun Kang and Woo{-}Sung Kang and Taehun Lee and Hoon Sung Chwa}, title = {Paste-and-Cut: Collective Image Localization and Classification for Real-Time Multi-Camera Object Detection}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {740--742}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393851}, doi = {10.1109/ICTC58733.2023.10393851}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimCK23, author = {Yong Sun Kim and Kapseok Chang and Young{-}Jo Ko}, title = {Performance Evaluation for Absolute Time Synchronization in Wireless Time-Sensitive Service}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {262--264}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393559}, doi = {10.1109/ICTC58733.2023.10393559}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimOLJC23, author = {Youngchul Kim and Soo{-}Cheol Oh and Sangmin Lee and Ki{-}Sung Jin and Gyu{-}Il Cha}, title = {Lattice surgery-based logical operations in a fault-tolerant quantum software framework}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {173--176}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393193}, doi = {10.1109/ICTC58733.2023.10393193}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimOLJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkCLK23a, author = {Sungsik Park and Youngjoon Choi and Junwook Lee and Yongwoo Kim}, title = {Development of real-time freezing burst diagnosis service using smart water meter reading data}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1673--1676}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392340}, doi = {10.1109/ICTC58733.2023.10392340}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkCLK23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkKJCK23, author = {Soon{-}Gi Park and Jun{-}Sik Kim and Sung{-}Cheol Jang and Yong{-}Seouk Choi and Young{-}Jo Ko}, title = {Multiple Control Plane Joint Operation Method under Overlaid Cell Structure Environment for Future Mobile Communications}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {760--765}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392504}, doi = {10.1109/ICTC58733.2023.10392504}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkKJCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SonHC23, author = {Young{-}Sung Son and Hyonyong Han and Joonmyun Cho}, title = {Usefulness of using Nvidia IsaacSim and IsaacGym for {AI} robot manipulation training}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1725--1728}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393380}, doi = {10.1109/ICTC58733.2023.10393380}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SonHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SuhWEPLP23, author = {Young{-}Ho Suh and Sungpil Woo and Boyun Eom and Dong{-}Hwan Park and Sunhwan Lim and Chanwon Park}, title = {Design Issues in Implementation of Decentralized AI-data commons Framework}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1510--1512}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392898}, doi = {10.1109/ICTC58733.2023.10392898}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SuhWEPLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/EomLSWP23, author = {Boyun Eom and Sunhwan Lim and Young{-}Ho Suh and Sungpil Woo and Chanwon Park}, title = {Federated Learning Using Blockchain-based Marketplace}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {795--797}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10199626}, doi = {10.1109/ICUFN57995.2023.10199626}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/EomLSWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/OhJKLP23, author = {Ju{-}Won Oh and JongWan Jo and Yeong{-}Hun Kim and Sung{-}Jae Lee and YoungGun Pu}, title = {A 316.5nA Quiescent Current of {DC-DC} Converter with 92.8{\%} Peak Efficiency for a IoT Application}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {736--739}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10199436}, doi = {10.1109/ICUFN57995.2023.10199436}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/OhJKLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ParkKBLPJ23, author = {Hyunho Park and Eunjung Kwon and Sungwon Byon and Minjung Lee and Young Soo Park and Eui{-}Suk Jung}, title = {Implementation of Danger Degree Calculation System for Public Safety Services}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {29--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10199672}, doi = {10.1109/ICUFN57995.2023.10199672}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ParkKBLPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ChiKS23, author = {Younghyun Chi and Jang{-}Hyun Kim and Seungjong Sun}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Korean Language {NLP} Model Based Emotional Analysis of {LGBTQ} Social Media Communities}, booktitle = {17th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2023, Seoul, Korea, Republic of, January 3-5, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMCOM56909.2023.10035659}, doi = {10.1109/IMCOM56909.2023.10035659}, timestamp = {Fri, 17 Feb 2023 18:27:18 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/ChiKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/KimLK23, author = {Sunghyun Kim and Hyung Jin Lee and Yoon Young Kim}, title = {Method for Enhancing Transduction of Antisymmetric Lamb Waves}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10324857}, doi = {10.1109/SENSORS56945.2023.10324857}, timestamp = {Thu, 14 Dec 2023 11:20:49 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/KimLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/LeeLSCL23, author = {Sungmin Lee and Minjeong Lee and Younghoon Shin and Byung{-}Jae Choi and Miran Lee}, title = {PRV-based Stress Analysis on Display Color Temperatur in {VR} Environments}, booktitle = {International Conference on Fuzzy Theory and Its Applications, iFUZZY 2023, Penghu, Taiwan, October 26-29, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iFUZZY60076.2023.10324222}, doi = {10.1109/IFUZZY60076.2023.10324222}, timestamp = {Sat, 09 Dec 2023 09:49:47 +0100}, biburl = {https://dblp.org/rec/conf/ifuzzy/LeeLSCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SonLKOHB23, author = {Young{-}Sun Son and Gilljae Lee and Kwang{-}Eun Kim and Il{-}Hwan Oh and Chul{-}Ho Heo and Hyunseob Baik}, title = {The Potential of Hyperspectral Data for Detection Lithium-Bearing Pegmatite: {A} Case Study at Uljin, South Korea}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {3690--3692}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283433}, doi = {10.1109/IGARSS52108.2023.10283433}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/SonLKOHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/LeeWHSPC23, author = {Boon{-}Giin Lee and Xiaoying Wang and Renzhi Han and Linjing Sun and Matthew Pike and Wan{-}Young Chung}, editor = {Bong Jun Choi and Dhananjay Singh and Uma Shanker Tiwary and Wan{-}Young Chung}, title = {Deep Learning Approach for Enhanced Object Recognition and Assembly Guidance with Augmented Reality}, booktitle = {Intelligent Human Computer Interaction - 15th International Conference, {IHCI} 2023, Daegu, South Korea, November 8-10, 2023, Revised Selected Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14532}, pages = {105--114}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-53830-8\_11}, doi = {10.1007/978-3-031-53830-8\_11}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ihci/LeeWHSPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/SunLPC23, author = {Linjing Sun and Boon{-}Giin Lee and Matthew Pike and Wan{-}Young Chung}, editor = {Bong Jun Choi and Dhananjay Singh and Uma Shanker Tiwary and Wan{-}Young Chung}, title = {Optimizing Interface and Interaction Design for Non-immersive {VR} Firefighting Games: {A} User Experience Approach}, booktitle = {Intelligent Human Computer Interaction - 15th International Conference, {IHCI} 2023, Daegu, South Korea, November 8-10, 2023, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14531}, pages = {344--352}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-53827-8\_30}, doi = {10.1007/978-3-031-53827-8\_30}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ihci/SunLPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/KimJL23, author = {Si{-}On Kim and Da{-}Wit Jeong and Sun{-}Young Lee}, editor = {Leonard Barolli}, title = {Method of Facial De-identification Using Machine Learning in Real-Time Video}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 17th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2023), Toronto, ON, Canada, 5-7 July 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {177}, pages = {201--208}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35836-4\_22}, doi = {10.1007/978-3-031-35836-4\_22}, timestamp = {Tue, 20 Jun 2023 15:24:35 +0200}, biburl = {https://dblp.org/rec/conf/imis/KimJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/BaeKCBSLHTKY23, author = {Sangmin Bae and June{-}Woo Kim and Won{-}Yang Cho and Hyerim Baek and Soyoun Son and Byungjo Lee and Changwan Ha and Kyongpil Tae and Sungnyun Kim and Se{-}Young Yun}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Patch-Mix Contrastive Learning with Audio Spectrogram Transformer on Respiratory Sound Classification}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {5436--5440}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1426}, doi = {10.21437/INTERSPEECH.2023-1426}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/BaeKCBSLHTKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ByunSSBP23, author = {Joon Byun and Seungmin Shin and Jongmo Sung and Seungkwon Beack and Youngcheol Park}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Perceptual Improvement of Deep Neural Network {(DNN)} Speech Coder Using Parametric and Non-parametric Density Models}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {859--863}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-2305}, doi = {10.21437/INTERSPEECH.2023-2305}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ByunSSBP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JangKYK23, author = {Kangwook Jang and Sungnyun Kim and Se{-}Young Yun and Hoirin Kim}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Recycle-and-Distill: Universal Compression Strategy for Transformer-based Speech {SSL} Models with Attention Map Reusing and Masking Distillation}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {316--320}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1329}, doi = {10.21437/INTERSPEECH.2023-1329}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JangKYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeBMLLC023, author = {Joun Yeop Lee and Jae{-}Sung Bae and Seongkyu Mun and Jihwan Lee and Ji{-}Hyun Lee and Hoon{-}Young Cho and Chanwoo Kim}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Hierarchical Timbre-Cadence Speaker Encoder for Zero-shot Speech Synthesis}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {4334--4338}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1128}, doi = {10.21437/INTERSPEECH.2023-1128}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeBMLLC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/LeeCSKW23, author = {Nakyung Lee and Youngsun Cho and Minseong Son and Sungkeun Kwak and Jihwan Woo}, title = {AInBody: Are you in shape? - An integrated deep learning model that tracks your body measurement}, booktitle = {Image Processing: Algorithms and Systems XXI, San Francisco, CA, USA, January 15-19, 2023}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2023}, url = {https://doi.org/10.2352/EI.2023.35.9.IPAS-297}, doi = {10.2352/EI.2023.35.9.IPAS-297}, timestamp = {Wed, 19 Jul 2023 17:21:16 +0200}, biburl = {https://dblp.org/rec/conf/ipas/LeeCSKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimHYKK23, author = {Sung{-}Woo Kim and Cheog gyu Hwang and Sunkyum Yoo and Youngdae Ko and Sungchul Kang}, title = {Novel Gripper with Rotatable Distal Joints for Home Robots: Picking and Placing Tableware}, booktitle = {{IROS}}, pages = {4865--4872}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342249}, doi = {10.1109/IROS55552.2023.10342249}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KimHYKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkKHSKKYKHL23, author = {Junghoon Park and Dong Hyun Kim and Seungyong Hyung and Gyowook Shin and Youngtae G. Kim and Sang{-}Hun Kim and Chiyul Yoon and Sungchan Ko and Kyoungwoon Hahm and Minhyung Lee}, title = {Design of a Cable Driven Wearable Fitness Device for Upper Limb Exercise}, booktitle = {{IROS}}, pages = {6456--6461}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342373}, doi = {10.1109/IROS55552.2023.10342373}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkKHSKKYKHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RathoreJKGBSB23, author = {Sunil Rathore and Rajeewa Kumar Jaisawal and P. N. Kondekar and Navneet Gandhi and Shashank Banchhor and Young Suh Song and Navjeet Bagga}, title = {Self-Heating Aware Threshold Voltage Modulation Conforming to Process and Ambient Temperature Variation for Reliable Nanosheet {FET}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10117918}, doi = {10.1109/IRPS48203.2023.10117918}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RathoreJKGBSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RheeKPUHCKRC23, author = {SungMan Rhee and Hyunjin Kim and Sangku Park and Taiki Uemura and Yuchul Hwang and Seungjin Choo and Jinju Kim and Hwasung Rhee and Shin{-}Young Chung}, title = {Machine Learning Based V-ramp {VBD} Predictive Model Using OCD-measured Fab Parameters for Early Detection of {MOL} Reliability Risk}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10117962}, doi = {10.1109/IRPS48203.2023.10117962}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RheeKPUHCKRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCJLCIJSKKLK23, author = {Wonseok Lee and Kyeongjong Lim and Jeonghyeon Cheon and Soyi Jeong and Jinyeon Lim and Youngsung Cho and Shusaku Ishikawa and Seongwon Jo and Seongwook Song and Minsu Kang and Kyungil Kim and Seunghyun Lim and Youngjin Kim and Sunghoo Choi and Jungchan Kyoung}, title = {A Multi-Pixel Compression for Low-Power Imaging System and Architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181603}, doi = {10.1109/ISCAS46773.2023.10181603}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCJLCIJSKKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiniskarVYL0YMT23, author = {Narasinga Rao Miniskar and Pruek Vanna{-}Iampikul and Aaron R. Young and Sung Kyu Lim and Frank Liu and Jieun Yoo and Corrinne Mills and Nhan Tran and Farah Fahim and Jeffrey S. Vetter}, title = {A 3D Implementation of Convolutional Neural Network for Fast Inference}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181622}, doi = {10.1109/ISCAS46773.2023.10181622}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiniskarVYL0YMT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyeokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Chiyoung Ahn and Hoon Kang and Sukjin Jung and Hyukjun Sung and Yeongdae Kim and Donghan Kim and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant 6.5-to-8GHz {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28nm Process}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {462--463}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067364}, doi = {10.1109/ISSCC42615.2023.10067364}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeWJOKKJLSLK23, author = {Jong{-}Kyoung Lee and Sunsik Woo and Wooyoung Jeong and Kwang{-}Seok Oh and Donghyeon Kim and Youngwoon Ko and Jinyong Jeon and Jooho Lee and Young{-}Suk Son and Sang{-}Gug Lee and Kyeongha Kwon}, title = {{ASIL-D} Compliant Battery Monitoring {IC} with High Measurement Accuracy and Robust Communication}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {322--323}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067607}, doi = {10.1109/ISSCC42615.2023.10067607}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeWJOKKJLSLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KoPOIJCKCC23, author = {Soo Jeong Ko and Sunghyeon Park and Seol Whan Oh and Yun Seon Im and Surin Jung and Bo Yeon Choi and Jaeyoon Kim and Wona Choi and In Young Choi}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Text Extraction and Standardization System Development for Pathological Records in the Korea Biobank Network}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {1440--1441}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231234}, doi = {10.3233/SHTI231234}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KoPOIJCKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/OhKIJCKPCC23, author = {Seol Whan Oh and Soo Jeong Ko and Yun Seon Im and Surin Jung and Bo Yeon Choi and Jae Yoon Kim and Sunghyeon Park and Wona Choi and In Young Choi}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Development of Integrated Data Quality Management System for Observational Medical Outcomes Partnership Common Data Model}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {349--353}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI230985}, doi = {10.3233/SHTI230985}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/OhKIJCKPCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/YooKCC23, author = {Sung Goo Yoo and Dai Jin Kim and Ji Won Chun and In Young Choi}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Developing a Cost-Effectiveness Model of Digital Therapeutics for Smoking Cessation}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {1548--1549}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231287}, doi = {10.3233/SHTI231287}, timestamp = {Thu, 08 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/YooKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/KoPOIJCKCC23, author = {Soo Jeong Ko and Sunghyeon Park and Seol Whan Oh and Yun Seon Im and Surin Jung and Bo Yeon Choi and Jaeyoon Kim and Wona Choi and In Young Choi}, editor = {Maria H{\"{a}}gglund and Madeleine Blusi and Stefano Bonacina and Lina Nilsson and Inge Cort Madsen and Sylvia Pelayo and Anne Moen and Arriel Benis and Lars Lindsk{\"{o}}ld and Parisis Gallos}, title = {Text Extraction and Standardization System Development for Pathological Records in the Korea Biobank Network}, booktitle = {Caring is Sharing - Exploiting the Value in Data for Health and Innovation - Proceedings of {MIE} 2023, Gothenburg, Sweden, 22 - 25 May 2023}, series = {Studies in Health Technology and Informatics}, volume = {302}, pages = {392--393}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI230156}, doi = {10.3233/SHTI230156}, timestamp = {Sun, 04 Aug 2024 19:37:05 +0200}, biburl = {https://dblp.org/rec/conf/mie/KoPOIJCKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmasia/OnKYL23, author = {Sung Kwon On and Songhyon Kim and Kwangjin Yang and Younggun Lee}, editor = {Wen{-}Huang Cheng and Wei{-}Ta Chu and Min{-}Chun Hu and Jiaying Liu and Munchurl Kim and Wei Zhang}, title = {Monocular 3D Pose Estimation of Very Small Airplane in the Air}, booktitle = {{ACM} Multimedia Asia 2023, MMAsia 2023, Tainan, Taiwan, December 6-8, 2023}, pages = {82:1--82:7}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3595916.3626456}, doi = {10.1145/3595916.3626456}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmasia/OnKYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChoiHKCJS23, author = {Sungho Choi and Seungyul Han and Woojun Kim and Jongseong Chae and Whiyoung Jung and Youngchul Sung}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Domain Adaptive Imitation Learning with Visual Observation}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/899511e37a8e01e1bd6f6f1d377cc250-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChoiHKCJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JangKLSKLL23, author = {Youngsoo Jang and Geon{-}Hyeong Kim and Jongmin Lee and Sungryull Sohn and Byoungjip Kim and Honglak Lee and Moontae Lee}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {SafeDICE: Offline Safe Imitation Learning with Non-Preferred Demonstrations}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/ed2fb79f2664c3d9ba878be7e575b2af-Abstract-Conference.html}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/JangKLSKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimSPS23, author = {Woojun Kim and Yongjae Shin and Jongeui Park and Youngchul Sung}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Sample-Efficient and Safe Deep Reinforcement Learning via Reset Deep Ensemble Agents}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/a6f6a5c517b2b92f3d309786af64086c-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimSPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeCS23, author = {Suyoung Lee and Myungsik Cho and Youngchul Sung}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Parameterizing Non-Parametric Meta-Reinforcement Learning Tasks via Subtask Decomposition}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/86c1fd74fa25bd6be0072937803e0bd1-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LeeCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkHCLLWYHGF023, author = {Jae Sung Park and Jack Hessel and Khyathi Raghavi Chandu and Paul Pu Liang and Ximing Lu and Peter West and Youngjae Yu and Qiuyuan Huang and Jianfeng Gao and Ali Farhadi and Yejin Choi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Localized Symbolic Knowledge Distillation for Visual Commonsense Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/257be12f31dfa7cc158dda99822c6fd1-Abstract-Conference.html}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkHCLLWYHGF023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pasc/MeyerHPWGBHJKLR23, author = {Felix Meyer and Benjam{\'{\i}}n Hern{\'{a}}ndez and Richard Pausch and Ren{\'{e}} Widera and David Gro{\ss} and Sergei I. Bastrakov and Axel Huebl and Guido Juckeland and Jeffrey Kelling and Matt Leinhauser and David M. Rogers and Ulrich Schramm and Klaus Steiniger and Stefan Gumhold and Jeff Young and Michael Bussmann and Sunita Chandrasekaran and Alexander Debus}, editor = {Axel Huebl and Cristina Silvano and Timothy Robinson}, title = {Hardware-Agnostic Interactive Exascale In Situ Visualization of Particle-In-Cell Simulations}, booktitle = {Proceedings of the Platform for Advanced Scientific Computing Conference, {PASC} 2023, Davos, Switzerland, June 26-28, 2023}, pages = {9:1--9:14}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3592979.3593408}, doi = {10.1145/3592979.3593408}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pasc/MeyerHPWGBHJKLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/DingJPC23, author = {Yan Ding and Rize Jin and Joon{-}Young Paik and Tae{-}Sun Chung}, editor = {Fenrong Liu and Arun Anand Sadanandan and Duc Nghia Pham and Petrus Mursanto and Dickson Lukose}, title = {Unsupervised Contrastive Learning of Sentence Embeddings Through Optimized Sample Construction and Knowledge Distillation}, booktitle = {{PRICAI} 2023: Trends in Artificial Intelligence - 20th Pacific Rim International Conference on Artificial Intelligence, {PRICAI} 2023, Jakarta, Indonesia, November 15-19, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14326}, pages = {375--381}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-7022-3\_35}, doi = {10.1007/978-981-99-7022-3\_35}, timestamp = {Fri, 17 Nov 2023 16:25:43 +0100}, biburl = {https://dblp.org/rec/conf/pricai/DingJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/LiJPC23, author = {Jiaxin Li and Rize Jin and Joon{-}Young Paik and Tae{-}Sun Chung}, editor = {Fenrong Liu and Arun Anand Sadanandan and Duc Nghia Pham and Petrus Mursanto and Dickson Lukose}, title = {Neural Machine Translation with an Awareness of Semantic Similarity}, booktitle = {{PRICAI} 2023: Trends in Artificial Intelligence - 20th Pacific Rim International Conference on Artificial Intelligence, {PRICAI} 2023, Jakarta, Indonesia, November 15-19, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14326}, pages = {223--235}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-7022-3\_20}, doi = {10.1007/978-981-99-7022-3\_20}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pricai/LiJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChoiAL23, author = {Chan{-}Young Choi and Sung{-}Yoon Ahn and Sang{-}Woong Lee}, title = {Enhanced Polyp Segmentation with a Hybrid Approach Integrating Transformers and Convolutional Neural Networks}, booktitle = {Proceedings of the 2023 International Conference on Research in Adaptive and Convergent Systems, {RACS} 2023, Gdansk, Poland, August 6-10, 2023}, pages = {19:1--19:3}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599957.3606248}, doi = {10.1145/3599957.3606248}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/ChoiAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/JangCWS23, author = {Youngsun Jang and Hyung Do Choi and Kwanghee Won and Sung Y. Shin}, title = {FulltextAttention: Full-text Classification of Scientific Papers Using Combined Model of BiGRU and Bahdanau Attention}, booktitle = {Proceedings of the 2023 International Conference on Research in Adaptive and Convergent Systems, {RACS} 2023, Gdansk, Poland, August 6-10, 2023}, pages = {26:1--26:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599957.3606231}, doi = {10.1145/3599957.3606231}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/JangCWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/LeeIALL23, author = {Eun Young Lee and Ngagaba Gogo Dae Il and Gi{-}hong An and Sungchul Lee and Kiho Lim}, title = {ChatGPT-Based Debate Game Application Utilizing Prompt Engineering}, booktitle = {Proceedings of the 2023 International Conference on Research in Adaptive and Convergent Systems, {RACS} 2023, Gdansk, Poland, August 6-10, 2023}, pages = {29:1--29:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599957.3606244}, doi = {10.1145/3599957.3606244}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/LeeIALL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KimPSHAKH23, author = {Jaeseop Kim and Juw Won Park and Sung Y. Shin and Austin Hanson and Jae{-}Hoon An and Younghwan Kim and Jiman Hong}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {An Efficient Profiling Tool for Baseboard Management Controllers}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {1318--1324}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577723}, doi = {10.1145/3555776.3577723}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/KimPSHAKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeJLJPKKKK23, author = {Jinhoon Lee and Yeonwoo Jung and Suyeon Lee and Safdar Jamil and Sungyong Park and Kwangwon Koh and Hongyeon Kim and Youngjae Kim and Kangho Kim}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {MFence: Defending Against Memory Access Interference in a Disaggregated Cloud Memory Platform}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {1309--1317}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577714}, doi = {10.1145/3555776.3577714}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeJLJPKKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/RegassaSKYS23, author = {Dereje Regassa and Dong Kyu Sung and Sunggon Kim and Heon Young Yeom and Yongseok Son}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {{EHS:} An Efficient Hashing Scheme for Persistent Memory}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {301--304}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577850}, doi = {10.1145/3555776.3577850}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/RegassaSKYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/OkCSKTS23, author = {Jin{-}Sung Ok and Youngeun Chae and Harin Seo and Soon{-}Do Kwon and Byungchul Tak and Young{-}Kyoon Suh}, title = {{ECM:} An Energy-efficient {HVAC} Control Framework for Stable Construction Environment}, booktitle = {20th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2023, Madrid, Spain, September 11-14, 2023}, pages = {249--257}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SECON58729.2023.10287528}, doi = {10.1109/SECON58729.2023.10287528}, timestamp = {Mon, 06 Nov 2023 17:21:38 +0100}, biburl = {https://dblp.org/rec/conf/secon/OkCSKTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimJCB23, author = {Youngchan Kim and Wonjoon Jin and Sunghyun Cho and Seung{-}Hwan Baek}, editor = {June Kim and Ming C. Lin and Bernd Bickel}, title = {Neural Spectro-polarimetric Fields}, booktitle = {{SIGGRAPH} Asia 2023 Conference Papers, {SA} 2023, Sydney, NSW, Australia, December 12-15, 2023}, pages = {109:1--109:11}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610548.3618172}, doi = {10.1145/3610548.3618172}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimJCB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/Kim00CSL23, author = {Hye{-}young Kim and Minjin Choi and Sunkyung Lee and Eunseong Choi and Young{-}In Song and Jongwuk Lee}, editor = {Hsin{-}Hsi Chen and Wei{-}Jou (Edward) Duh and Hen{-}Hsen Huang and Makoto P. Kato and Josiane Mothe and Barbara Poblete}, title = {ConQueR: Contextualized Query Reduction using Search Logs}, booktitle = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, pages = {1899--1903}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539618.3591966}, doi = {10.1145/3539618.3591966}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/Kim00CSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/LeeKSLCY23, author = {Hosun Lee and Jeasung Kwon and Minjun Shin and Sungon Lee and Nak Young Chong and Woosung Yang}, title = {Development of Rail-guided Smart Patrol System for Surveillance and Monitoring of Facilities Safety}, booktitle = {{IEEE/SICE} International Symposium on System Integration, {SII} 2023, Atlanta, GA, USA, January 17-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SII55687.2023.10039462}, doi = {10.1109/SII55687.2023.10039462}, timestamp = {Thu, 23 Feb 2023 17:35:09 +0100}, biburl = {https://dblp.org/rec/conf/sii/LeeKSLCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcloud/LeeSQL23, author = {Sungmin Lee and Younghoon Shin and Wei Qun and Miran Lee}, title = {Heart Sound Analysis for Smart Stethoscope in Mobile Environments}, booktitle = {8th {IEEE} International Conference on Smart Cloud, SmartCloud 2023, Tokyo, Japan, September 16-18, 2023}, pages = {200--201}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SmartCloud58862.2023.00042}, doi = {10.1109/SMARTCLOUD58862.2023.00042}, timestamp = {Mon, 29 Jan 2024 10:01:33 +0100}, biburl = {https://dblp.org/rec/conf/smartcloud/LeeSQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KimKC23, author = {Young{-}Joo Kim and Sungjoo Kang and Ingeol Chun}, title = {EdgeCPS-AI Knowledge Sharing Model for Supporting Computing Partition Services}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {1745--1751}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10394602}, doi = {10.1109/SMC53992.2023.10394602}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/KimKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/LiSHBKSF23, author = {Jingjie Li and Kaiwen Sun and Brittany Skye Huff and Anna Marie Bierley and Younghyun Kim and Florian Schaub and Kassem Fawaz}, title = {"It's up to the Consumer to be Smart": Understanding the Security and Privacy Attitudes of Smart Home Users on Reddit}, booktitle = {44th {IEEE} Symposium on Security and Privacy, {SP} 2023, San Francisco, CA, USA, May 21-25, 2023}, pages = {2850--2866}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SP46215.2023.10179344}, doi = {10.1109/SP46215.2023.10179344}, timestamp = {Mon, 31 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sp/LiSHBKSF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stacs/ChrobakHLPRSY23, author = {Marek Chrobak and Samuel Haney and Mehraneh Liaee and Debmalya Panigrahi and Rajmohan Rajaraman and Ravi Sundaram and Neal E. Young}, editor = {Petra Berenbrink and Patricia Bouyer and Anuj Dawar and Mamadou Moustapha Kant{\'{e}}}, title = {Online Paging with Heterogeneous Cache Slots}, booktitle = {40th International Symposium on Theoretical Aspects of Computer Science, {STACS} 2023, March 7-9, 2023, Hamburg, Germany}, series = {LIPIcs}, volume = {254}, pages = {23:1--23:24}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/LIPIcs.STACS.2023.23}, doi = {10.4230/LIPICS.STACS.2023.23}, timestamp = {Wed, 21 Aug 2024 22:46:00 +0200}, biburl = {https://dblp.org/rec/conf/stacs/ChrobakHLPRSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/OhLJYBWCY23, author = {Myoungwon Oh and Sungmin Lee and Samuel Just and Youngjin Yu and Duck{-}Ho Bae and Sage A. Weil and Sangyeun Cho and Heon Y. Yeom}, editor = {Julia Lawall and Dan Williams}, title = {TiDedup: {A} New Distributed Deduplication Architecture for Ceph}, booktitle = {Proceedings of the 2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {117--131}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/oh}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/OhLJYBWCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vehits/ChenBM23, author = {Won Sun Chen and James Boylan and Denny Meyer}, editor = {Alexey V. Vinel and Jeroen Ploeg and Karsten Berns and Oleg Gusikhin}, title = {Examination of the Relationship Between Smartphone Dependency and Driving Behaviour in Young Drivers: Preliminary Analysis}, booktitle = {Proceedings of the 9th International Conference on Vehicle Technology and Intelligent Transport Systems, {VEHITS} 2023, Prague, Czech Republic, April 26-28, 2023}, pages = {428--435}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://doi.org/10.5220/0012028500003479}, doi = {10.5220/0012028500003479}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vehits/ChenBM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/BangKCKPLLJKJHK23, author = {Jun{-}Suk Bang and Dongsu Kim and Young{-}Hwan Choo and Ik{-}Hwan Kim and Seungchan Park and Jeongkwang Lee and Sang{-}Han Lee and Young{-}Ho Jung and Jae{-}Young Ko and Sung{-}Youb Jung and Jae{-}Yeol Han and Woosik Kim and Ji{-}Seon Paek and Jongwoo Lee}, title = {5G {NR} {RF} {PA} Supply Modulator Supporting 179ns 0.5-to-5.5V Symbol Power Tracking and Envelope Tracking}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185384}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185384}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/BangKCKPLLJKJHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HanCKSCC23, author = {Hyeonho Han and Woojun Choi and Jaehyun Kim and Jaesuk Sung and Heonjin Choi and Youngcheol Chae}, title = {A Highly-Digital PWM-Based Impedance Monitoring {IC} with 143.2dB {DR} and 17.7fFrms Resolution}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185363}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185363}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HanCKSCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongLMMLKMCSKC23, author = {Jaehun Jeong and Sanghyeon Lee and Sada{-}Aki Masuoka and Shincheol Min and Sanghoon Lee and Seungkwon Kim and Taehun Myung and Byungha Choi and Chang{-}Woo Sohn and Sung Won Kim and Jeongmin Choi and Jungmin Park and Hyungjong Lee and Taeyoung Kim and Seokhoon Kim and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {World's First {GAA} 3nm Foundry platform Technology {(SF3)} with Novel Multi-Bridge-Channel-FET (MBCFET{\texttrademark}) Process}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185353}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185353}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongLMMLKMCSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKKCPLSYPKLSKL23, author = {Youngmin Jo and Anil Kavala and Tongsung Kim and Byung{-}Kwan Chun and Jungjune Park and Taesung Lee and Jungmin Seo and Manjae Yang and Taehyeon Park and Hyunjin Kwon and Cheolhui Lee and Younghoon Son and Junghwan Kwak and Younggyu Lee and Hwan{-}Seok Ku and Dae{-}Hoon Na and Changyeon Yu and Jonghoon Park and Jae{-}Hwan Kim and Hyojin Kwon and Chan{-}ho Kim and Moon{-}Ki Jung and Chanjin Park and Donghyun Seo and Moosung Kim and Seungjae Lee and Jin{-}Yub Lee and Dongku Kang and Chiweon Yoon and Sunghoi Hur}, title = {A 3.0 Gb/s/pin 4\({}^{\mbox{th}}\) generation F-chip with Toggle 5.0 Specification for 16Tb {NAND} Flash Memory Multi chip Package}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185391}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185391}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKKCPLSYPKLSKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimKKCPALSCRKNC23, author = {Whayoung Kim and Jaehyeon Kim and Dongjin Ko and Jun{-}Hwe Cha and Gyeongcheol Park and Youngbae Ahn and Jong{-}Young Lee and Minchul Sung and Hyejung Choi and Seung Wook Ryu and Seiyon Kim and Myung{-}Hee Na and Seonyong Cha}, title = {Demonstration of crystalline {IGZO} transistor with high thermal stability for memory applications}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185258}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185258}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimKKCPALSCRKNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePCCJH23, author = {Chan{-}Ho Lee and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Young{-}Jun Jeon and Sung{-}Wan Hong}, title = {A 1V 20.7{\(\mu\)}W Four-Stage Amplifier Capable of Driving a 4-to-12nF Capacitive Load with {\textgreater}1.07MHz {GBW} with an Improved Active Zero}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185347}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185347}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeePCCJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LinPZSCY23, author = {Yu{-}Cheng Lin and Chanmin Park and Wenda Zhao and Nan Sun and Youngcheol Chae and Chia{-}Hsiang Yang}, title = {A 26.4mW, 18.6MS/s Image Reconstruction Processor for IoT Compressive Sensing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185357}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185357}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LinPZSCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkKLSKCBKASLA23, author = {Jiheon Park and Daeyun Kim and Hoyong Lee and Seung{-}Chul Shin and Myoungoh Ki and Bumsik Chung and Myunghan Bae and Myeonggyun Kye and Jonghan Ahn and Inho Song and Sunhwa Lee and Jaeil An and Il{-}Pyeong Hwang and Taemin An and Young{-}Gu Jin and Youngchan Kim and Youngsun Oh and Juhyun Ko and Haechang Lee and Joonseo Yim}, title = {An Indirect Time-of-Flight {CMOS} Image Sensor Achieving Sub-ms Motion Lagging and 60fps Depth Image from On-chip {ISP}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185418}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185418}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/ParkKLSKCBKASLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/SonPJKKCYKJMHJS23, author = {Kihwang Son and Seulki Park and Kyunghoon Jung and Jun{-}Gyu Kim and Younggun Ko and Keonyong Cheon and Changkeun Yoon and Jiho Kim and Jaehun Jeong and Taehun Myung and Changmin Hong and Weonwi Jang and Min{-}Chul Sun and Sungil Jo and Ju{-}Youn Kim and Byungmoo Song and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {Highly Reliable/Manufacturable 4nm FinFET Platform Technology {(SF4X)} for {HPC} Application with Dual-CPP/HP-HD Standard Cells}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185365}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185365}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/SonPJKKCYKJMHJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YoonHKCKMKNC23, author = {Sunghyun Yoon and Sung{-}In Hong and Daehyun Kim and Garam Choi and Young Mo Kim and Kyunghoon Min and Seiyon Kim and Myung{-}Hee Na and Seonyong Cha}, title = {{QLC} Programmable 3D Ferroelectric {NAND} Flash Memory by Memory Window Expansion using Cell Stack Engineering}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185294}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185294}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YoonHKCKMKNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeeLK23, author = {Haeyoung Lee and Sunyoung Lee and Youngwook Ko}, title = {Multichannel Relay assisted {NOMA-ALOHA} with Reinforcement Learning based Random Access}, booktitle = {97th {IEEE} Vehicular Technology Conference, {VTC} Spring 2023, Florence, Italy, June 20-23, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTC2023-Spring57618.2023.10200766}, doi = {10.1109/VTC2023-SPRING57618.2023.10200766}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeeLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/KimMKJKH23, author = {Gooyoung Kim and Youngseon Moon and Jongmin Kim and Jaeyong Jeong and Eun{-}Kyoung Kim and Sunghoi Hur}, title = {Kernel Smoothing Technique Based on Multiple-Coordinate System for Screening Potential Failures in {NAND} Flash Memory}, booktitle = {41st {IEEE} {VLSI} Test Symposium, {VTS} 2023, San Diego, CA, USA, April 24-26, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTS56346.2023.10139974}, doi = {10.1109/VTS56346.2023.10139974}, timestamp = {Sat, 10 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/KimMKJKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/LeeJJJLJS23, author = {Jaeung Lee and Yooeui Jin and Sungwook Jang and Young Jae Jang and Il Kyu Lim and Seungmin Jeong and Eoksu Sim}, title = {Sequential Decision-Making Framework for Robotic Mobile Fulfillment System-Based Automated Kitting System}, booktitle = {Winter Simulation Conference, {WSC} 2023, San Antonio, TX, USA, December 10-13, 2023}, pages = {1972--1983}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WSC60868.2023.10407259}, doi = {10.1109/WSC60868.2023.10407259}, timestamp = {Sat, 24 Feb 2024 20:42:44 +0100}, biburl = {https://dblp.org/rec/conf/wsc/LeeJJJLJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/ParkCYK23, author = {Sunyoung Park and Kyuri Choi and Haeun Yu and Youngjoong Ko}, editor = {Tat{-}Seng Chua and Hady W. Lauw and Luo Si and Evimaria Terzi and Panayiotis Tsaparas}, title = {Never Too Late to Learn: Regularizing Gender Bias in Coreference Resolution}, booktitle = {Proceedings of the Sixteenth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2023, Singapore, 27 February 2023 - 3 March 2023}, pages = {15--23}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539597.3570473}, doi = {10.1145/3539597.3570473}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsdm/ParkCYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wisa/2022, editor = {Ilsun You and Taek{-}Young Youn}, title = {Information Security Applications - 23rd International Conference, {WISA} 2022, Jeju Island, South Korea, August 24-26, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13720}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-25659-2}, doi = {10.1007/978-3-031-25659-2}, isbn = {978-3-031-25658-5}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisa/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/SeoLPPHKKRBS23, author = {Yong{-}Sin Seo and Jae{-}Young Lee and Chanhun Park and Jongwoo Park and Byung{-}Kil Han and Je{-}Sung Koh and Uikyum Kim and Hugo Rodrigue and Jeongae Bak and Sung{-}Hyuk Song}, title = {Supplementary materials and video files}, publisher = {{IEEE} DataPort}, year = {2023}, month = feb, howpublished = {\url{https://doi.org/10.21227/xps8-wv71}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/xps8-wv71}, doi = {10.21227/XPS8-WV71}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/SeoLPPHKKRBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-05843, author = {Jing Wei and Sungdong Kim and Hyunhoon Jung and Young{-}Ho Kim}, title = {Leveraging Large Language Models to Power Chatbots for Collecting User Self-Reported Data}, journal = {CoRR}, volume = {abs/2301.05843}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.05843}, doi = {10.48550/ARXIV.2301.05843}, eprinttype = {arXiv}, eprint = {2301.05843}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-05843.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-06324, author = {Youngjae Song and Sung Kuk Shyn and Kwangsu Kim}, title = {Img2Tab: Automatic Class Relevant Concept Discovery from StyleGAN Features for Explainable Image Classification}, journal = {CoRR}, volume = {abs/2301.06324}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.06324}, doi = {10.48550/ARXIV.2301.06324}, eprinttype = {arXiv}, eprint = {2301.06324}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-06324.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09091, author = {Minjung Shin and Yunji Seo and Jeongmin Bae and Young Sun Choi and Hyunsu Kim and Hyeran Byun and Youngjung Uh}, title = {BallGAN: 3D-aware Image Synthesis with a Spherical Background}, journal = {CoRR}, volume = {abs/2301.09091}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09091}, doi = {10.48550/ARXIV.2301.09091}, eprinttype = {arXiv}, eprint = {2301.09091}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09091.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-00612, author = {Seunghyun Lee and Da Young Lee and Sujeong Im and Nan Hee Kim and Sung{-}Min Park}, title = {Clinical Decision Transformer: Intended Treatment Recommendation through Goal Prompting}, journal = {CoRR}, volume = {abs/2302.00612}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.00612}, doi = {10.48550/ARXIV.2302.00612}, eprinttype = {arXiv}, eprint = {2302.00612}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-00612.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-09311, author = {Sungheon Park and Minjung Son and Seokhwan Jang and Young Chun Ahn and Ji{-}Yeon Kim and Nahyup Kang}, title = {Temporal Interpolation Is All You Need for Dynamic Neural Radiance Fields}, journal = {CoRR}, volume = {abs/2302.09311}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.09311}, doi = {10.48550/ARXIV.2302.09311}, eprinttype = {arXiv}, eprint = {2302.09311}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-09311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-11870, author = {Luca Masserano and Syama Sundar Rangapuram and Shubham Kapoor and Rajbir{-}Singh Nirwan and Youngsuk Park and Michael Bohlke{-}Schneider}, title = {Adaptive Sampling for Probabilistic Forecasting under Distribution Shift}, journal = {CoRR}, volume = {abs/2302.11870}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.11870}, doi = {10.48550/ARXIV.2302.11870}, eprinttype = {arXiv}, eprint = {2302.11870}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-11870.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-12172, author = {Hyungyung Lee and Da Young Lee and Wonjae Kim and Jin{-}Hwa Kim and Tackeun Kim and Jihang Kim and Leonard Sunwoo and Edward Choi}, title = {Unified Chest X-ray and Radiology Report Generation Model with Multi-view Chest X-rays}, journal = {CoRR}, volume = {abs/2302.12172}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.12172}, doi = {10.48550/ARXIV.2302.12172}, eprinttype = {arXiv}, eprint = {2302.12172}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-12172.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-14830, author = {Elchanan Mossel and Jonathan Niles{-}Weed and Youngtak Sohn and Nike Sun and Ilias Zadik}, title = {Sharp thresholds in inference of planted subgraphs}, journal = {CoRR}, volume = {abs/2302.14830}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.14830}, doi = {10.48550/ARXIV.2302.14830}, eprinttype = {arXiv}, eprint = {2302.14830}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-14830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-00451, author = {Woojun Kim and Whiyoung Jung and Myungsik Cho and Youngchul Sung}, title = {A Variational Approach to Mutual Information-Based Coordination for Multi-Agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/2303.00451}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.00451}, doi = {10.48550/ARXIV.2303.00451}, eprinttype = {arXiv}, eprint = {2303.00451}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-00451.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-00912, author = {Woojun Kim and Youngchul Sung}, title = {Parameter Sharing with Network Pruning for Scalable Multi-Agent Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/2303.00912}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.00912}, doi = {10.48550/ARXIV.2303.00912}, eprinttype = {arXiv}, eprint = {2303.00912}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-00912.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-11101, author = {Sungnyun Kim and Sangmin Bae and Se{-}Young Yun}, title = {Coreset Sampling from Open-Set for Fine-Grained Self-Supervised Learning}, journal = {CoRR}, volume = {abs/2303.11101}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.11101}, doi = {10.48550/ARXIV.2303.11101}, eprinttype = {arXiv}, eprint = {2303.11101}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-11101.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-11166, author = {Junsu Kim and Younggyo Seo and Sungsoo Ahn and Kyunghwan Son and Jinwoo Shin}, title = {Imitating Graph-Based Planning with Goal-Conditioned Policies}, journal = {CoRR}, volume = {abs/2303.11166}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.11166}, doi = {10.48550/ARXIV.2303.11166}, eprinttype = {arXiv}, eprint = {2303.11166}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-11166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-11575, author = {Jingjie Li and Sunpreet Singh Arora and Kassem Fawaz and Younghyun Kim and Can Liu and Sebastian Meiser and Mohsen Minaei and Maliheh Shirvanian and Kim Wagner}, title = {"I Want the Payment Process to be Cool": Understanding How Interaction Factors into Security and Privacy Perception of Authentication in Virtual Reality}, journal = {CoRR}, volume = {abs/2303.11575}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.11575}, doi = {10.48550/ARXIV.2303.11575}, eprinttype = {arXiv}, eprint = {2303.11575}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-11575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15768, author = {Jaeseong Lee and Taewoo Kim and Sunghyun Park and Younggun Lee and Jaegul Choo}, title = {RobustSwap: {A} Simple yet Robust Face Swapping Model against Attribute Leakage}, journal = {CoRR}, volume = {abs/2303.15768}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15768}, doi = {10.48550/ARXIV.2303.15768}, eprinttype = {arXiv}, eprint = {2303.15768}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-02260, author = {Jeongwoo Kim and Eun{-}Sun Cho and Joon{-}Young Paik}, title = {Feature Engineering Using File Layout for Malware Detection}, journal = {CoRR}, volume = {abs/2304.02260}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.02260}, doi = {10.48550/ARXIV.2304.02260}, eprinttype = {arXiv}, eprint = {2304.02260}, timestamp = {Mon, 17 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-02260.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-04162, author = {Wenrui Hao and Sun Lee and Young Ju Lee}, title = {Companion-Based Multi-Level Finite Element Method for Computing Multiple Solutions of Nonlinear Differential Equations}, journal = {CoRR}, volume = {abs/2305.04162}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.04162}, doi = {10.48550/ARXIV.2305.04162}, eprinttype = {arXiv}, eprint = {2305.04162}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-04162.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-10731, author = {Jihyung Moon and Dong{-}Ho Lee and Hyundong Cho and Woojeong Jin and Chan Young Park and Minwoo Kim and Jonathan May and Jay Pujara and Sungjoon Park}, title = {Analyzing Norm Violations in Live-Stream Chat}, journal = {CoRR}, volume = {abs/2305.10731}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.10731}, doi = {10.48550/ARXIV.2305.10731}, eprinttype = {arXiv}, eprint = {2305.10731}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-10731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-11685, author = {Kangwook Jang and Sungnyun Kim and Se{-}Young Yun and Hoirin Kim}, title = {Recycle-and-Distill: Universal Compression Strategy for Transformer-based Speech {SSL} Models with Attention Map Reusing and Masking Distillation}, journal = {CoRR}, volume = {abs/2305.11685}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.11685}, doi = {10.48550/ARXIV.2305.11685}, eprinttype = {arXiv}, eprint = {2305.11685}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-11685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-12662, author = {Hye{-}young Kim and Minjin Choi and Sunkyung Lee and Eunseong Choi and Young{-}In Song and Jongwuk Lee}, title = {ConQueR: Contextualized Query Reduction using Search Logs}, journal = {CoRR}, volume = {abs/2305.12662}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.12662}, doi = {10.48550/ARXIV.2305.12662}, eprinttype = {arXiv}, eprint = {2305.12662}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-12662.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13857, author = {Takyoung Kim and Jamin Shin and Young{-}Ho Kim and Sanghwan Bae and Sungdong Kim}, title = {Revealing User Familiarity Bias in Task-Oriented Dialogue via Interactive Evaluation}, journal = {CoRR}, volume = {abs/2305.13857}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13857}, doi = {10.48550/ARXIV.2305.13857}, eprinttype = {arXiv}, eprint = {2305.13857}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13857.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14032, author = {Sangmin Bae and June{-}Woo Kim and Won{-}Yang Cho and Hyerim Baek and Soyoun Son and Byungjo Lee and Changwan Ha and Kyongpil Tae and Sungnyun Kim and Se{-}Young Yun}, title = {Patch-Mix Contrastive Learning with Audio Spectrogram Transformer on Respiratory Sound Classification}, journal = {CoRR}, volume = {abs/2305.14032}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14032}, doi = {10.48550/ARXIV.2305.14032}, eprinttype = {arXiv}, eprint = {2305.14032}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-15779, author = {Jooyoung Choi and Yunjey Choi and Yunji Kim and Junho Kim and Sungroh Yoon}, title = {Custom-Edit: Text-Guided Image Editing with Customized Diffusion Models}, journal = {CoRR}, volume = {abs/2305.15779}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.15779}, doi = {10.48550/ARXIV.2305.15779}, eprinttype = {arXiv}, eprint = {2305.15779}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-15779.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17051, author = {Hwiyeon Kim and Joohee Kim and Yunha Han and Hwajung Hong and Oh{-}Sang Kwon and Young{-}Woo Park and Niklas Elmqvist and Sungahn Ko and Bum Chul Kwon}, title = {Towards Visualization Thumbnail Designs that Entice Reading Data-driven Articles}, journal = {CoRR}, volume = {abs/2305.17051}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17051}, doi = {10.48550/ARXIV.2305.17051}, eprinttype = {arXiv}, eprint = {2305.17051}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18726, author = {Daegyu Kim and Chaehun Shin and Jooyoung Choi and Dahuin Jung and Sungroh Yoon}, title = {Diffusion-Stego: Training-free Diffusion Generative Steganography via Message Projection}, journal = {CoRR}, volume = {abs/2305.18726}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18726}, doi = {10.48550/ARXIV.2305.18726}, eprinttype = {arXiv}, eprint = {2305.18726}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18726.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04323, author = {Hongsu Byun and Safdar Jamil and Jungwook Han and Sungyong Park and Myungcheol Lee and Changsoo Kim and Beongjun Choi and Youngjae Kim}, title = {An Analytical Model-based Capacity Planning Approach for Building CSD-based Storage Systems}, journal = {CoRR}, volume = {abs/2306.04323}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04323}, doi = {10.48550/ARXIV.2306.04323}, eprinttype = {arXiv}, eprint = {2306.04323}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04823, author = {Ting{-}Wei Wu and Fatemeh Sheikholeslami and Mohammad Kachuee and Jaeyoung Do and Sungjin Lee}, title = {Data Augmentation for Improving Tail-traffic Robustness in Skill-routing for Dialogue Systems}, journal = {CoRR}, volume = {abs/2306.04823}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04823}, doi = {10.48550/ARXIV.2306.04823}, eprinttype = {arXiv}, eprint = {2306.04823}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04823.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05682, author = {Dong{-}Jae Lee and Jae Young Lee and Hyounguk Shon and Eojindl Yi and Yeong{-}Hun Park and Sung{-}Sik Cho and Junmo Kim}, title = {Lightweight Monocular Depth Estimation via Token-Sharing Transformer}, journal = {CoRR}, volume = {abs/2306.05682}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05682}, doi = {10.48550/ARXIV.2306.05682}, eprinttype = {arXiv}, eprint = {2306.05682}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05682.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-10376, author = {Jeongeun Park and Seungwon Lim and Joonhyung Lee and Sangbeom Park and Minsuk Chang and Youngjae Yu and Sungjoon Choi}, title = {{CLARA:} Classifying and Disambiguating User Commands for Reliable Interactive Robotic Agents}, journal = {CoRR}, volume = {abs/2306.10376}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.10376}, doi = {10.48550/ARXIV.2306.10376}, eprinttype = {arXiv}, eprint = {2306.10376}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-10376.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-12562, author = {Youngchan Kim and Wonjoon Jin and Sunghyun Cho and Seung{-}Hwan Baek}, title = {Neural Spectro-polarimetric Fields}, journal = {CoRR}, volume = {abs/2306.12562}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.12562}, doi = {10.48550/ARXIV.2306.12562}, eprinttype = {arXiv}, eprint = {2306.12562}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-12562.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-03811, author = {Vidushi Sharma and Maxwell Giammona and Dmitry Zubarev and Andy Tek and Khanh Nugyuen and Linda Sundberg and Daniele Congiu and Young{-}Hye La}, title = {Formulation Graphs for Mapping Structure-Composition of Battery Electrolytes to Device Performance}, journal = {CoRR}, volume = {abs/2307.03811}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.03811}, doi = {10.48550/ARXIV.2307.03811}, eprinttype = {arXiv}, eprint = {2307.03811}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-03811.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-04036, author = {Tong Steven Sun and Yuyang Gao and Shubham Khaladkar and Sijia Liu and Liang Zhao and Young{-}Ho Kim and Sungsoo Ray Hong}, title = {Designing a Direct Feedback Loop between Humans and Convolutional Neural Networks through Local Explanations}, journal = {CoRR}, volume = {abs/2307.04036}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.04036}, doi = {10.48550/ARXIV.2307.04036}, eprinttype = {arXiv}, eprint = {2307.04036}, timestamp = {Fri, 11 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-04036.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-05862, author = {Jun Ma and Yao Zhang and Song Gu and Cheng Ge and Shihao Ma and Adamo Young and Cheng Zhu and Kangkang Meng and Xin Yang and Ziyan Huang and Fan Zhang and Wentao Liu and YuanKe Pan and Shoujin Huang and Jiacheng Wang and Mingze Sun and Weixin Xu and Dengqiang Jia and Jae Won Choi and Nat{\'{a}}lia Alves and Bram De Wilde and Gregor Koehler and Yajun Wu and Manuel Wiesenfarth and Qiongjie Zhu and Guoqiang Dong and Jian He and the FLARE Challenge Consortium and Bo Wang}, title = {Unleashing the Strengths of Unlabeled Data in Pan-cancer Abdominal Organ Quantification: the {FLARE22} Challenge}, journal = {CoRR}, volume = {abs/2308.05862}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.05862}, doi = {10.48550/ARXIV.2308.05862}, eprinttype = {arXiv}, eprint = {2308.05862}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-05862.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-14005, author = {Junho Kim and Eun Sun Lee and Young Min Kim}, title = {Calibrating Panoramic Depth Estimation for Practical Localization and Mapping}, journal = {CoRR}, volume = {abs/2308.14005}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.14005}, doi = {10.48550/ARXIV.2308.14005}, eprinttype = {arXiv}, eprint = {2308.14005}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-14005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00208, author = {Junwon Sung and Woojin Heo and Yunkyung Byun and Youngsam Kim}, title = {Large Language Models for Semantic Monitoring of Corporate Disclosures: {A} Case Study on Korea's Top 50 {KOSPI} Companies}, journal = {CoRR}, volume = {abs/2309.00208}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00208}, doi = {10.48550/ARXIV.2309.00208}, eprinttype = {arXiv}, eprint = {2309.00208}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00208.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01961, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Woo{-}Young Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, journal = {CoRR}, volume = {abs/2309.01961}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01961}, doi = {10.48550/ARXIV.2309.01961}, eprinttype = {arXiv}, eprint = {2309.01961}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02833, author = {Inug Yoon and Tae{-}Min Choi and Sun{-}Kyung Lee and Young{-}Min Kim and Jong{-}Hwan Kim}, title = {Image-Object-Specific Prompt Learning for Few-Shot Class-Incremental Learning}, journal = {CoRR}, volume = {abs/2309.02833}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02833}, doi = {10.48550/ARXIV.2309.02833}, eprinttype = {arXiv}, eprint = {2309.02833}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04655, author = {Jinwoo Lee and Kangkyu Kwon and Ira Soltis and Jared Matthews and Yoonjae Lee and Hojoong Kim and Lissette Romero and Nathan Zavanelli and Youngjin Kwon and Shinjae Kwon and Jimin Lee and Yewon Na and Sung Hoon Lee and Ki Jun Yu and Minoru Shinohara and Frank L. Hammond and Woon{-}Hong Yeo}, title = {Intelligent upper-limb exoskeleton using deep learning to predict human intention for sensory-feedback augmentation}, journal = {CoRR}, volume = {abs/2309.04655}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04655}, doi = {10.48550/ARXIV.2309.04655}, eprinttype = {arXiv}, eprint = {2309.04655}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04655.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-05999, author = {Sungwoo Lee and Younghyun Oh and Hyunhoe An and Hyebhin Yoon and Karl J. Friston and Seok Jun Hong and Choong{-}Wan Woo}, title = {Life-inspired Interoceptive Artificial Intelligence for Autonomous and Adaptive Agents}, journal = {CoRR}, volume = {abs/2309.05999}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.05999}, doi = {10.48550/ARXIV.2309.05999}, eprinttype = {arXiv}, eprint = {2309.05999}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-05999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02120, author = {Heungseok Park and Aeree Cho and Hyojun Jeon and Hayoung Lee and Youngil Yang and Sungjae Lee and Heungsub Lee and Jaegul Choo}, title = {HPCClusterScape: Increasing Transparency and Efficiency of Shared High-Performance Computing Clusters for Large-scale {AI} Models}, journal = {CoRR}, volume = {abs/2310.02120}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02120}, doi = {10.48550/ARXIV.2310.02120}, eprinttype = {arXiv}, eprint = {2310.02120}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03022, author = {Jeonghye Kim and Suyoung Lee and Woojun Kim and Youngchul Sung}, title = {Decision ConvFormer: Local Filtering in MetaFormer is Sufficient for Decision Making}, journal = {CoRR}, volume = {abs/2310.03022}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03022}, doi = {10.48550/ARXIV.2310.03022}, eprinttype = {arXiv}, eprint = {2310.03022}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03342, author = {Woojun Kim and Jeonghye Kim and Youngchul Sung}, title = {{LESSON:} Learning to Integrate Exploration Strategies for Reinforcement Learning via an Option Framework}, journal = {CoRR}, volume = {abs/2310.03342}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03342}, doi = {10.48550/ARXIV.2310.03342}, eprinttype = {arXiv}, eprint = {2310.03342}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-07430, author = {Seonghyun Park and Narae Ryu and Gahee Kim and Dongyeop Woo and Se{-}Young Yun and Sungsoo Ahn}, title = {Non-backtracking Graph Neural Networks}, journal = {CoRR}, volume = {abs/2310.07430}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.07430}, doi = {10.48550/ARXIV.2310.07430}, eprinttype = {arXiv}, eprint = {2310.07430}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-07430.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-17017, author = {Young Min Cho and Sunny Rai and Lyle H. Ungar and Jo{\~{a}}o Sedoc and Sharath Chandra Guntuku}, title = {An Integrative Survey on Mental Health Conversational Agents to Bridge Computer Science and Medical Perspectives}, journal = {CoRR}, volume = {abs/2310.17017}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.17017}, doi = {10.48550/ARXIV.2310.17017}, eprinttype = {arXiv}, eprint = {2310.17017}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-17017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18554, author = {Junghyun Lee and Se{-}Young Yun and Kwang{-}Sung Jun}, title = {Improved Regret Bounds of (Multinomial) Logistic Bandits via Regret-to-Confidence-Set Conversion}, journal = {CoRR}, volume = {abs/2310.18554}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18554}, doi = {10.48550/ARXIV.2310.18554}, eprinttype = {arXiv}, eprint = {2310.18554}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-20287, author = {Woojun Kim and Yongjae Shin and Jongeui Park and Youngchul Sung}, title = {Sample-Efficient and Safe Deep Reinforcement Learning via Reset Deep Ensemble Agents}, journal = {CoRR}, volume = {abs/2310.20287}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.20287}, doi = {10.48550/ARXIV.2310.20287}, eprinttype = {arXiv}, eprint = {2310.20287}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-20287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02838, author = {Seok{-}Young Chung and Qiyu Sun}, title = {Barron Space for Graph Convolution Neural Networks}, journal = {CoRR}, volume = {abs/2311.02838}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02838}, doi = {10.48550/ARXIV.2311.02838}, eprinttype = {arXiv}, eprint = {2311.02838}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02838.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-08106, author = {Yujin Kim and Jaehong Yoon and Seonghyeon Ye and Sung Ju Hwang and Se{-}young Yun}, title = {Carpe Diem: On the Evaluation of World Knowledge in Lifelong Language Models}, journal = {CoRR}, volume = {abs/2311.08106}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.08106}, doi = {10.48550/ARXIV.2311.08106}, eprinttype = {arXiv}, eprint = {2311.08106}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-08106.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-15876, author = {Kwanyoung Kim and Yujin Oh and Sangjoon Park and Hwa Kyung Byun and Jin Sung Kim and Yong Bae Kim and Jong Chul Ye}, title = {RO-LLaMA: Generalist {LLM} for Radiation Oncology via Noise Augmentation and Consistency Regularization}, journal = {CoRR}, volume = {abs/2311.15876}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.15876}, doi = {10.48550/ARXIV.2311.15876}, eprinttype = {arXiv}, eprint = {2311.15876}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-15876.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-17923, author = {Young{-}Eun Lee and Seo{-}Hyun Lee and Soowon Kim and Jung{-}Sun Lee and Deok{-}Seon Kim and Seong{-}Whan Lee}, title = {Enhanced Generative Adversarial Networks for Unseen Word Generation from {EEG} Signals}, journal = {CoRR}, volume = {abs/2311.17923}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.17923}, doi = {10.48550/ARXIV.2311.17923}, eprinttype = {arXiv}, eprint = {2311.17923}, timestamp = {Tue, 05 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-17923.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-00548, author = {Sungho Choi and Seungyul Han and Woojun Kim and Jongseong Chae and Whiyoung Jung and Youngchul Sung}, title = {Domain Adaptive Imitation Learning with Visual Observation}, journal = {CoRR}, volume = {abs/2312.00548}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.00548}, doi = {10.48550/ARXIV.2312.00548}, eprinttype = {arXiv}, eprint = {2312.00548}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-00548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01129, author = {Yeongtak Oh and Jooyoung Choi and Yongsung Kim and Minjun Park and Chaehun Shin and Sungroh Yoon}, title = {ControlDreamer: Stylized 3D Generation with Multi-View ControlNet}, journal = {CoRR}, volume = {abs/2312.01129}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01129}, doi = {10.48550/ARXIV.2312.01129}, eprinttype = {arXiv}, eprint = {2312.01129}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01129.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04005, author = {Youngwan Lee and Kwanyong Park and Yoorhim Cho and Yong{-}Ju Lee and Sung Ju Hwang}, title = {{KOALA:} Self-Attention Matters in Knowledge Distillation of Latent Diffusion Models for Memory-Efficient and Fast Image Synthesis}, journal = {CoRR}, volume = {abs/2312.04005}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04005}, doi = {10.48550/ARXIV.2312.04005}, eprinttype = {arXiv}, eprint = {2312.04005}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04837, author = {Jae Sung Park and Jack Hessel and Khyathi Raghavi Chandu and Paul Pu Liang and Ximing Lu and Peter West and Youngjae Yu and Qiuyuan Huang and Jianfeng Gao and Ali Farhadi and Yejin Choi}, title = {Localized Symbolic Knowledge Distillation for Visual Commonsense Models}, journal = {CoRR}, volume = {abs/2312.04837}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04837}, doi = {10.48550/ARXIV.2312.04837}, eprinttype = {arXiv}, eprint = {2312.04837}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04837.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09572, author = {Sunghwa Lee and Younghoon Shin and Myung Jong Kim and Jiwon Seo}, title = {{IR-UWB} Radar-Based Contactless Silent Speech Recognition of Vowels, Consonants, Words, and Phrases}, journal = {CoRR}, volume = {abs/2312.09572}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09572}, doi = {10.48550/ARXIV.2312.09572}, eprinttype = {arXiv}, eprint = {2312.09572}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09572.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09818, author = {Lee Hyun and Kim Sung{-}Bin and Seungju Han and Youngjae Yu and Tae{-}Hyun Oh}, title = {{SMILE:} Multimodal Dataset for Understanding Laughter in Video with Language Models}, journal = {CoRR}, volume = {abs/2312.09818}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09818}, doi = {10.48550/ARXIV.2312.09818}, eprinttype = {arXiv}, eprint = {2312.09818}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09818.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10240, author = {Youwei Liang and Junfeng He and Gang Li and Peizhao Li and Arseniy Klimovskiy and Nicholas Carolan and Jiao Sun and Jordi Pont{-}Tuset and Sarah Young and Feng Yang and Junjie Ke and Krishnamurthy Dj Dvijotham and Katie Collins and Yiwen Luo and Yang Li and Kai J. Kohlhoff and Deepak Ramachandran and Vidhya Navalpakkam}, title = {Rich Human Feedback for Text-to-Image Generation}, journal = {CoRR}, volume = {abs/2312.10240}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10240}, doi = {10.48550/ARXIV.2312.10240}, eprinttype = {arXiv}, eprint = {2312.10240}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10240.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11890, author = {Unggi Lee and Sungjun Yoon and Joon Seo Yun and Kyoungsoo Park and Younghoon Jung and Damji Stratton and Hyeoncheol Kim}, title = {Difficulty-Focused Contrastive Learning for Knowledge Tracing with a Large Language Model-Based Difficulty Prediction}, journal = {CoRR}, volume = {abs/2312.11890}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11890}, doi = {10.48550/ARXIV.2312.11890}, eprinttype = {arXiv}, eprint = {2312.11890}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11890.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-16388, author = {Sunoh Kim and Jungchan Cho and Joonsang Yu and Youngjoon Yoo and Jin Young Choi}, title = {Gaussian Mixture Proposals with Pull-Push Learning Scheme to Capture Diverse Events for Weakly Supervised Temporal Video Grounding}, journal = {CoRR}, volume = {abs/2312.16388}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.16388}, doi = {10.48550/ARXIV.2312.16388}, eprinttype = {arXiv}, eprint = {2312.16388}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-16388.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BanLSCY22, author = {Younghoon Ban and Sunjun Lee and Dokyung Song and Haehyun Cho and Jeong Hyun Yi}, title = {{FAM:} Featuring Android Malware for Deep Learning-Based Familial Analysis}, journal = {{IEEE} Access}, volume = {10}, pages = {20008--20018}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3151357}, doi = {10.1109/ACCESS.2022.3151357}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BanLSCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoOKSYPP22, author = {Yeongjae Cho and Ji{-}Hyeon Oh and Deok Kyu Kwon and Seunghwan Son and SungJin Yu and Yohan Park and Youngho Park}, title = {A Secure Three-Factor Authentication Protocol for E-Governance System Based on Multiserver Environments}, journal = {{IEEE} Access}, volume = {10}, pages = {74351--74365}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3191419}, doi = {10.1109/ACCESS.2022.3191419}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoOKSYPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HurYH22, author = {Youngbum Hur and Eunho Yang and Sung Ju Hwang}, title = {A Simple Framework for Robust Out-of-Distribution Detection}, journal = {{IEEE} Access}, volume = {10}, pages = {23086--23097}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3153723}, doi = {10.1109/ACCESS.2022.3153723}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HurYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonKKMK22, author = {Jihun Jeon and Jaemyung Kim and Jin{-}Ku Kang and Sungtae Moon and Yongwoo Kim}, title = {Target Capacity Filter Pruning Method for Optimized Inference Time Based on YOLOv5 in Embedded Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {70840--70849}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3188323}, doi = {10.1109/ACCESS.2022.3188323}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeonKKMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongBLKKLJL22, author = {Deuk{-}Young Jeong and Myung{-}Sun Baek and Tae{-}Beom Lim and Yong{-}Woon Kim and Sehan Kim and Yong{-}Tae Lee and Woo{-}Sug Jung and In{-}Bok Lee}, title = {Digital Twin: Technology Evolution Stages and Implementation Layers With Technology Elements}, journal = {{IEEE} Access}, volume = {10}, pages = {52609--52620}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3174220}, doi = {10.1109/ACCESS.2022.3174220}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongBLKKLJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JuPYKKKSLP22, author = {Chanyang Ju and Eunkyoung Park and Eunbi Ye and Yu{-}Gyeong Kim and Taekyung Kim and Minhee Kang and Young{-}Min Shon and Kyu{-}Sung Lee and Sung{-}Min Park}, title = {Fabrication of a Flexible Three-Dimensional Hybrid Directional Lead for Deep Brain Stimulation and Control of Micturition Reflex in Rats}, journal = {{IEEE} Access}, volume = {10}, pages = {86833--86843}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3198980}, doi = {10.1109/ACCESS.2022.3198980}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JuPYKKKSLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JunKLKKJ22, author = {Sung{-}Bae Jun and Chan{-}ho Kim and Jin Hwan Lee and Jun{-}Kyu Kang and Yong{-}Jae Kim and Sang{-}Yong Jung}, title = {Parameter Optimization for Reducing Torque Ripple and Harmonic Losses of Multi-Layered Interior Permanent-Magnet Synchronous Motors}, journal = {{IEEE} Access}, volume = {10}, pages = {10536--10552}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3145186}, doi = {10.1109/ACCESS.2022.3145186}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JunKLKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHLYS22, author = {Hwajung Kim and Inhwi Hwang and Jeongeun Lee and Heon Y. Yeom and Hanul Sung}, title = {Concurrent and Robust End-to-End Data Integrity Verification Scheme for Flash-Based Storage Devices}, journal = {{IEEE} Access}, volume = {10}, pages = {36350--36361}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3163729}, doi = {10.1109/ACCESS.2022.3163729}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHLYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimS0P22, author = {Sunwoo Kim and Youngho Seo and Sungkyung Park and Chester Sungchung Park}, title = {Optimization of Multi-Core Accelerator Performance Based on Accurate Performance Estimation}, journal = {{IEEE} Access}, volume = {10}, pages = {19629--19642}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3151876}, doi = {10.1109/ACCESS.2022.3151876}, timestamp = {Fri, 11 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimS0P22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimY22a, author = {Sungnyun Kim and Se{-}Young Yun}, title = {Calibration of Few-Shot Classification Tasks: Mitigating Misconfidence From Distribution Mismatch}, journal = {{IEEE} Access}, volume = {10}, pages = {53894--53908}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3176090}, doi = {10.1109/ACCESS.2022.3176090}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimY22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Lakshminarayana22, author = {Shanthala Lakshminarayana and Younghun Park and Hyusim Park and Sungyong Jung}, title = {A Readout System for High Speed Interface of Wide Range Chemiresistive Sensor Array}, journal = {{IEEE} Access}, volume = {10}, pages = {45726--45735}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3170486}, doi = {10.1109/ACCESS.2022.3170486}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Lakshminarayana22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKHLJ22, author = {Jong{-}Sung Lee and Suncheul Kim and Donghoon Han and Myoung{-}Gyu Lee and Young{-}Chang Joo}, title = {Stress Analysis of Tungsten Deposition in a 3D Trench Mold With Regard to Initial Nuclei Shape}, journal = {{IEEE} Access}, volume = {10}, pages = {100675--100681}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3207284}, doi = {10.1109/ACCESS.2022.3207284}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKHLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSCJJW22, author = {Sungdong Lee and Leonard Sunwoo and Youngwon Choi and Jae Hyup Jung and Seung Chai Jung and Joong{-}Ho Won}, title = {Impact of Diffusion-Perfusion Mismatch on Predicting Final Infarction Lesion Using Deep Learning}, journal = {{IEEE} Access}, volume = {10}, pages = {97879--97887}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3204048}, doi = {10.1109/ACCESS.2022.3204048}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSCJJW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSSKKK22, author = {Jiyoung Lee and Young Ghyu Sun and Isaac Sim and Soo Hyun Kim and Dong In Kim and Jin Young Kim}, title = {Non-Technical Loss Detection Using Deep Reinforcement Learning for Feature Cost Efficiency and Imbalanced Dataset}, journal = {{IEEE} Access}, volume = {10}, pages = {27084--27095}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3156948}, doi = {10.1109/ACCESS.2022.3156948}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeSSKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKMSH22, author = {Hyun Joon Park and Taehyeong Kim and Young Seok Kim and Jinhong Min and Ki Woo Sung and Sung Won Han}, title = {CRFormer: Complementary Reliability Perspective Transformer for Automotive Components Reliability Prediction Based on Claim Data}, journal = {{IEEE} Access}, volume = {10}, pages = {88457--88468}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3200472}, doi = {10.1109/ACCESS.2022.3200472}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKKMSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKPKY22, author = {Seongmin Park and SungMoon Kwon and Youngkwon Park and Dowon Kim and Ilsun You}, title = {Session Management for Security Systems in 5G Standalone Network}, journal = {{IEEE} Access}, volume = {10}, pages = {73421--73436}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3187053}, doi = {10.1109/ACCESS.2022.3187053}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKPYL22, author = {Chi{-}Sung Park and Jae{-}Hyun Kim and Soo{-}Hwan Park and Young{-}Doo Yoon and Myung{-}Seop Lim}, title = {Multi-Physics Characteristics of {PMSM} for Compressor According to Driving Mode Considering {PWM} Frequency}, journal = {{IEEE} Access}, volume = {10}, pages = {114490--114500}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3217779}, doi = {10.1109/ACCESS.2022.3217779}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkKPYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkPLJKLJ22, author = {Hyusim Park and Younghun Park and Shanthala Lakshminarayana and Hyun{-}Min Jung and Min{-}Yeong Kim and Kyu Hwan Lee and Sungyong Jung}, title = {Portable All-in-One Electroanalytical Device for Point of Care}, journal = {{IEEE} Access}, volume = {10}, pages = {68700--68710}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3186678}, doi = {10.1109/ACCESS.2022.3186678}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkPLJKLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RadHKPKHYL22, author = {Reza E. Rad and Arash Hejazi and Sungjin Kim and YoungGun Pu and Joon Tae Kim and Keum{-}Cheol Hwang and Youngoo Yang and Kang{-}Yoon Lee}, title = {A 5.8 GHz {RF} Receiver Front-End With 77.6 dB Dynamic Range {AGC} for a {DSRC} Transceiver}, journal = {{IEEE} Access}, volume = {10}, pages = {8133--8139}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3142913}, doi = {10.1109/ACCESS.2022.3142913}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RadHKPKHYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RadQKHKKARYPHYL22, author = {Reza E. Rad and Yasser Mohammadi Qaragoez and Sungjin Kim and Arash Hejazi and Dong Gyu Kim and Danial Khan and Imran Ali and Behnam Samadpoor Rikan and Sang{-}Sun Yoo and YoungGun Pu and Keum{-}Cheol Hwang and Youngoo Yang and Kang{-}Yoon Lee}, title = {A Wideband Multilevel Reconfigurable Class E/F\({}_{\mbox{23}}\) Power Amplifier With a Band-Selecting Tracking Reactance Compensation Automatic Calibration Algorithm}, journal = {{IEEE} Access}, volume = {10}, pages = {54214--54220}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3175885}, doi = {10.1109/ACCESS.2022.3175885}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RadQKHKKARYPHYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SalamJJPK22, author = {Abdul Salam and Safdar Jamil and Sungwon Jung and Sung{-}Soon Park and Youngjae Kim}, title = {Future-Based Persistent Spatial Data Structure for NVM-Based Manycore Machines}, journal = {{IEEE} Access}, volume = {10}, pages = {114711--114724}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3216410}, doi = {10.1109/ACCESS.2022.3216410}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SalamJJPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SonKLYJP22, author = {Seunghwan Son and Deok Kyu Kwon and JoonYoung Lee and SungJin Yu and Nam{-}Su Jho and Youngho Park}, title = {On the Design of a Privacy-Preserving Communication Scheme for Cloud-Based Digital Twin Environments Using Blockchain}, journal = {{IEEE} Access}, volume = {10}, pages = {75365--75375}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3191414}, doi = {10.1109/ACCESS.2022.3191414}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SonKLYJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SunLK22, author = {Kyoungjae Sun and Jangwon Lee and Young{-}Han Kim}, title = {LISP-Based Control Plane for Service Connectivity in Multi-Cluster Cloud Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {24786--24796}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3155113}, doi = {10.1109/ACCESS.2022.3155113}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SunLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooLKRLK22, author = {Jiyoung Woo and Ji{-}Hyun Lee and Yeonjin Kim and Guillaume Rudasingwa and Dae Hyun Lim and Sungroul Kim}, title = {Forecasting the Effects of Real-Time Indoor {PM2.5} on Peak Expiratory Flow Rates {(PEFR)} of Asthmatic Children in Korea: {A} Deep Learning Approach}, journal = {{IEEE} Access}, volume = {10}, pages = {19391--19400}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3148294}, doi = {10.1109/ACCESS.2022.3148294}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WooLKRLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangMEKL22, author = {Si{-}Seok Yang and Sung{-}Soo Min and Chan{-}Hyeok Eom and Rae{-}Young Kim and Gi{-}Young Lee}, title = {Design Method of Vertical Lattice Loop Structure for Parasitic Inductance Reduction in a GaN HEMTs-Based Converter}, journal = {{IEEE} Access}, volume = {10}, pages = {117215--117224}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3220325}, doi = {10.1109/ACCESS.2022.3220325}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangMEKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoLPLKPLS22, author = {Min{-}Young Cho and Jin{-}Woong Lee and Chaewon Park and Byung Do Lee and Joon Seok Kyeong and Eun Jeong Park and Kee Yang Lee and Kee{-}Sun Sohn}, title = {Large-Area Piezoresistive Tactile Sensor Developed by Training a Super-Simple Single-Layer Carbon Nanotube-Dispersed Polydimethylsiloxane Pad}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/aisy.202270002}, doi = {10.1002/AISY.202270002}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoLPLKPLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoLPLKPLS22a, author = {Min{-}Young Cho and Jin{-}Woong Lee and Chaewon Park and Byung Do Lee and Joon Seok Kyeong and Eun Jeong Park and Kee Yang Lee and Kee{-}Sun Sohn}, title = {Large-Area Piezoresistive Tactile Sensor Developed by Training a Super-Simple Single-Layer Carbon Nanotube-Dispersed Polydimethylsiloxane Pad}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100123}, doi = {10.1002/AISY.202100123}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoLPLKPLS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeLPPCSPS22, author = {Byung Do Lee and Jin{-}Woong Lee and Woon Bae Park and Joonseo Park and Min{-}Young Cho and Satendra Pal Singh and Myoungho Pyo and Kee{-}Sun Sohn}, title = {Powder X-Ray Diffraction Pattern Is All You Need for Machine-Learning-Based Symmetry Identification and Property Prediction}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {7}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200042}, doi = {10.1002/AISY.202200042}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeLPPCSPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeMCKSY22, author = {Young{-}Joo Lee and Shivangi Misra and Wei{-}Hsi Chen and Daniel E. Koditschek and Cynthia Sung and Shu Yang}, title = {Tendon-Driven Auxetic Tubular Springs for Resilient Hopping Robots}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {4}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100152}, doi = {10.1002/AISY.202100152}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeMCKSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amai/Kjos-HanssenFKL22, author = {Bj{\o}rn Kjos{-}Hanssen and Clyde James Felix and Sun Young Kim and Ethan Lamb and Davin Takahashi}, title = {VC-dimensions of nondeterministic finite automata for words of equal length}, journal = {Ann. Math. Artif. Intell.}, volume = {90}, number = {1}, pages = {93--105}, year = {2022}, url = {https://doi.org/10.1007/s10472-021-09769-9}, doi = {10.1007/S10472-021-09769-9}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amai/Kjos-HanssenFKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/YangSLZLLSNYL22, author = {Yi Yang and Xingjie Shi and Wei Liu and Qiuzhong Zhou and Mai Chan Lau and Jeffrey Chun Tatt Lim and Lei Sun and Cedric Chuan Young Ng and Joe Yeong and Jin Liu}, title = {{SC-MEB:} spatial clustering with hidden Markov random field using empirical Bayes}, journal = {Briefings Bioinform.}, volume = {23}, number = {1}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab466}, doi = {10.1093/BIB/BBAB466}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/YangSLZLLSNYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/MaZSWY22, author = {Huan Ma and Dafu Zhang and Dewei Sun and Hongbo Wang and Jianzhong Yang}, title = {Gray and white matter structural examination for diagnosis of major depressive disorder and subthreshold depression in adolescents and young adults: a preliminary radiomics analysis}, journal = {{BMC} Medical Imaging}, volume = {22}, number = {1}, pages = {164}, year = {2022}, url = {https://doi.org/10.1186/s12880-022-00892-5}, doi = {10.1186/S12880-022-00892-5}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/MaZSWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/NaSKSLHHYK22, author = {Seongwon Na and Yu Sub Sung and Yousun Ko and Youngbin Shin and Junghyun Lee and Jiyeon Ha and Su Jung Ham and Kyoungro Yoon and Kyung Won Kim}, title = {Development and validation of an ensemble artificial intelligence model for comprehensive imaging quality check to classify body parts and contrast enhancement}, journal = {{BMC} Medical Imaging}, volume = {22}, number = {1}, pages = {87}, year = {2022}, url = {https://doi.org/10.1186/s12880-022-00815-4}, doi = {10.1186/S12880-022-00815-4}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/NaSKSLHHYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cam/TanC22, author = {Bing Tan and Sun Young Cho}, title = {Inertial extragradient algorithms with non-monotone stepsizes for pseudomonotone variational inequalities and applications}, journal = {Comput. Appl. Math.}, volume = {41}, number = {3}, year = {2022}, url = {https://doi.org/10.1007/s40314-022-01819-0}, doi = {10.1007/S40314-022-01819-0}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cam/TanC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LeeLRKS22, author = {Jung Ah Lee and So Young Lee and Yuhosua Ryoo and Woojin Kim and Yongjun Sung}, title = {The Psychological Consequences of Envying Influencers on Instagram}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {25}, number = {11}, pages = {703--708}, year = {2022}, url = {https://doi.org/10.1089/cyber.2022.0001}, doi = {10.1089/CYBER.2022.0001}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LeeLRKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/TaoZBSMMOY22, author = {Hai Tao and Jasni Mohamad Zain and Shahab B. Band and B. Sundaravadivazhagan and Abdullah Mohamed and Haydar Abdulameer Marhoon and Otuu Obinna Ogbonnia and Praise Young}, title = {SDN-assisted technique for traffic control and information execution in vehicular adhoc networks}, journal = {Comput. Electr. Eng.}, volume = {102}, pages = {108108}, year = {2022}, url = {https://doi.org/10.1016/j.compeleceng.2022.108108}, doi = {10.1016/J.COMPELECENG.2022.108108}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/TaoZBSMMOY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/AhnN22, author = {Sunyoung Ahn and Youngwon Nam}, title = {Does mobile payment use lead to overspending? The moderating role of financial knowledge}, journal = {Comput. Hum. Behav.}, volume = {134}, pages = {107319}, year = {2022}, url = {https://doi.org/10.1016/j.chb.2022.107319}, doi = {10.1016/J.CHB.2022.107319}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/AhnN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ci/PaikJC22, author = {Joon{-}Young Paik and Rize Jin and Eun{-}Sun Cho}, title = {Malware classification using a byte-granularity feature based on structural entropy}, journal = {Comput. Intell.}, volume = {38}, number = {4}, pages = {1536--1558}, year = {2022}, url = {https://doi.org/10.1111/coin.12521}, doi = {10.1111/COIN.12521}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ci/PaikJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeKKLMJJKL22, author = {Hyeong Jun Lee and Young Woo Kim and Jun Hong Kim and Yong{-}Joon Lee and Jinseok Moon and Peter Jeong and Joonhee Jeong and Jung{-}Sun Kim and Joon Sang Lee}, title = {Optimization of {FFR} prediction algorithm for gray zone by hemodynamic features with synthetic model and biometric data}, journal = {Comput. Methods Programs Biomed.}, volume = {220}, pages = {106827}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106827}, doi = {10.1016/J.CMPB.2022.106827}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeKKLMJJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkAKJGJLO22, author = {Junsang Park and Junho An and Jinkook Kim and Sunghoon Jung and Yeongjoon Gil and Yoojin Jang and Kwanglo Lee and Il{-}Young Oh}, title = {Study on the use of standard 12-lead {ECG} data for rhythm-type {ECG} classification problems}, journal = {Comput. Methods Programs Biomed.}, volume = {214}, pages = {106521}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2021.106521}, doi = {10.1016/J.CMPB.2021.106521}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkAKJGJLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YouCSSK22, author = {Sungmin You and Baek Hwan Cho and Young{-}Min Shon and Dae{-}Won Seo and In Young Kim}, title = {Semi-supervised automatic seizure detection using personalized anomaly detecting variational autoencoder with behind-the-ear {EEG}}, journal = {Comput. Methods Programs Biomed.}, volume = {213}, pages = {106542}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2021.106542}, doi = {10.1016/J.CMPB.2021.106542}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YouCSSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/TanC22, author = {Bing Tan and Sun Young Cho}, title = {Two adaptive modified subgradient extragradient methods for bilevel pseudomonotone variational inequalities with applications}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {107}, pages = {106160}, year = {2022}, url = {https://doi.org/10.1016/j.cnsns.2021.106160}, doi = {10.1016/J.CNSNS.2021.106160}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cnsns/TanC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/KimCKWHCK22, author = {Dae{-}Yeon Kim and Dong{-}Sik Choi and Ah Reum Kang and Jiyoung Woo and Yechan Han and Sung Wan Chun and Jaeyun Kim}, title = {Intelligent Ensemble Deep Learning System for Blood Glucose Prediction Using Genetic Algorithms}, journal = {Complex.}, volume = {2022}, pages = {7902418:1--7902418:10}, year = {2022}, url = {https://doi.org/10.1155/2022/7902418}, doi = {10.1155/2022/7902418}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/complexity/KimCKWHCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/UllahUKLRB22, author = {Fath U Min Ullah and Amin Ullah and Noman Khan and Mi Young Lee and Seungmin Rho and Sung Wook Baik}, title = {Deep Learning-Assisted Short-Term Power Load Forecasting Using Deep Convolutional {LSTM} and Stacked {GRU}}, journal = {Complex.}, volume = {2022}, pages = {2993184:1--2993184:15}, year = {2022}, url = {https://doi.org/10.1155/2022/2993184}, doi = {10.1155/2022/2993184}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/UllahUKLRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compnet/KimPHHP22, author = {Tae Yoon Kim and Cheolyong Park and Jeongcheol Ha and Sun Young Hwang and Inho Park}, title = {Reformulating scale-free network via strong dependency}, journal = {J. Complex Networks}, volume = {10}, number = {6}, year = {2022}, url = {https://doi.org/10.1093/comnet/cnac052}, doi = {10.1093/COMNET/CNAC052}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compnet/KimPHHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/KimC22, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {Obfuscated Malware Detection Using Deep Generative Model based on Global/Local Features}, journal = {Comput. Secur.}, volume = {112}, pages = {102501}, year = {2022}, url = {https://doi.org/10.1016/j.cose.2021.102501}, doi = {10.1016/J.COSE.2021.102501}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/KimC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/Sung22, author = {Jihyun Sung}, title = {Assessing young Korean children's computational thinking: {A} validation study of two measurements}, journal = {Educ. Inf. Technol.}, volume = {27}, number = {9}, pages = {12969--12997}, year = {2022}, url = {https://doi.org/10.1007/s10639-022-11137-x}, doi = {10.1007/S10639-022-11137-X}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eait/Sung22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimJPLA22, author = {Hyungjung Kim and Woo{-}Kyun Jung and Young{-}Chul Park and Jae{-}Won Lee and Sung{-}Hoon Ahn}, title = {Broken stitch detection method for sewing operation using {CNN} feature map and image-processing techniques}, journal = {Expert Syst. Appl.}, volume = {188}, pages = {116014}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2021.116014}, doi = {10.1016/J.ESWA.2021.116014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimJPLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimPYSKRK22, author = {Sungsoo Kim and Jeongeun Park and Donguk Yang and Dongyup Shin and Jungyeon Kim and Hyunsurk Eric Ryu and Ha Young Kim}, title = {Ultra-lightweight face activation for dynamic vision sensor with convolutional filter-level fusion using facial landmarks}, journal = {Expert Syst. Appl.}, volume = {205}, pages = {117792}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117792}, doi = {10.1016/J.ESWA.2022.117792}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimPYSKRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ShinKKP22, author = {Hyun Soo Shin and Youngju Kim and Chang Ouk Kim and Sung Ho Park}, title = {Unsupervised novelty pattern classification of shmoo plots for visualizing the test results of integrated circuits}, journal = {Expert Syst. Appl.}, volume = {202}, pages = {117341}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117341}, doi = {10.1016/J.ESWA.2022.117341}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ShinKKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/StahlbergAAABBBCCCDDGGHHJKLMMMMRR22, author = {Eric A. Stahlberg and Mohamed Abdel{-}Rahman and Boris Aguilar and Alireza Asadpoure and Robert A. Beckman and Lynn L. Borkon and Jeffrey N. Bryan and Colleen M. Cebulla and Young Hwan Chang and Ansu Chatterjee and Jun Deng and Sepideh Dolatshahi and Olivier Gevaert and Emily J. Greenspan and Wenrui Hao and Tina Hernandez{-}Boussard and Pamela R. Jackson and Marieke L. Kuijjer and Adrian Lee and Paul Macklin and Subha Madhavan and Matthew D. McCoy and Navid Mohammad Mirzaei and Talayeh Razzaghi and Heber L. Rocha and Leili Shahriyari and Ilya Shmulevich and Daniel G. Stover and Yi Sun and Tanveer F. Syeda{-}Mahmood and Jinhua Wang and Qi Wang and Ioannis K. Zervantonakis}, title = {Exploring approaches for predictive cancer patient digital twins: Opportunities for collaboration and innovation}, journal = {Frontiers Digit. Health}, volume = {4}, year = {2022}, url = {https://doi.org/10.3389/fdgth.2022.1007784}, doi = {10.3389/FDGTH.2022.1007784}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/StahlbergAAABBBCCCDDGGHHJKLMMMMRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/PrabhakarJRW22, author = {Sunil Kumar Prabhakar and Young{-}Gi Ju and Harikumar Rajaguru and Dong{-}Ok Won}, title = {Sparse measures with swarm-based pliable hidden Markov model and deep learning for {EEG} classification}, journal = {Frontiers Comput. Neurosci.}, volume = {16}, year = {2022}, url = {https://doi.org/10.3389/fncom.2022.1016516}, doi = {10.3389/FNCOM.2022.1016516}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ficn/PrabhakarJRW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/KoKLLS22, author = {Kimmi Keum Hee Ko and Sun Kyung Kim and Youngho Lee and Joo Yun Lee and Stoyan R. Stoyanov}, title = {Validation of a Korean version of mobile app rating scale {(MARS)} for apps targeting disease management}, journal = {Health Informatics J.}, volume = {28}, number = {2}, pages = {146045822210919}, year = {2022}, url = {https://doi.org/10.1177/14604582221091975}, doi = {10.1177/14604582221091975}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/KoKLLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/IhmK22, author = {Young{-}Sung Ihm and Seung{-}Hee Kim}, title = {Development of a Blockchain-Based Online Secret Electronic Voting System}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {105-D}, number = {8}, pages = {1361--1372}, year = {2022}, url = {https://doi.org/10.1587/transinf.2021edk0005}, doi = {10.1587/TRANSINF.2021EDK0005}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/IhmK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/YounKLR22, author = {Taek{-}Young Youn and Bo Sun Kwak and Seungkwang Lee and Hyun Sook Rhee}, title = {Practical Order-Revealing Encryption with Short Ciphertext}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {105-D}, number = {11}, pages = {1934--1937}, year = {2022}, url = {https://doi.org/10.1587/transinf.2022ngl0004}, doi = {10.1587/TRANSINF.2022NGL0004}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/YounKLR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijais/JunKJJK22, author = {So Young Jun and Dong Sung Kim and Suk Yoon Jung and Sang Gyung Jun and Jong Woo Kim}, title = {Stock investment strategy combining earnings power index and machine learning}, journal = {Int. J. Account. Inf. Syst.}, volume = {47}, pages = {100576}, year = {2022}, url = {https://doi.org/10.1016/j.accinf.2022.100576}, doi = {10.1016/J.ACCINF.2022.100576}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijais/JunKJJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/JeonICKLH22, author = {Hae{-}Gon Jeon and Sunghoon Im and Jaesung Choe and Minjun Kang and Joon{-}Young Lee and Martial Hebert}, title = {CMSNet: Deep Color and Monochrome Stereo}, journal = {Int. J. Comput. Vis.}, volume = {130}, number = {3}, pages = {652--668}, year = {2022}, url = {https://doi.org/10.1007/s11263-021-01565-6}, doi = {10.1007/S11263-021-01565-6}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcv/JeonICKLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisec/KimBKCY22, author = {Jinsung Kim and Younghoon Ban and Eunbyeol Ko and Haehyun Cho and Jeong Hyun Yi}, title = {{MAPAS:} a practical deep learning-based android malware detection system}, journal = {Int. J. Inf. Sec.}, volume = {21}, number = {4}, pages = {725--738}, year = {2022}, url = {https://doi.org/10.1007/s10207-022-00579-6}, doi = {10.1007/S10207-022-00579-6}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisec/KimBKCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ParkJCSHBK22, author = {Hyun Sang Park and Sungmoon Jeong and Ho Young Chung and Jae Young Soh and Young Ho Hyun and Seong Hwan Bang and Hwa Sun Kim}, title = {Use of video-based telehealth services using a mobile app for workers in underserved areas during the {COVID-19} pandemic: {A} prospective observational study}, journal = {Int. J. Medical Informatics}, volume = {166}, pages = {104844}, year = {2022}, url = {https://doi.org/10.1016/j.ijmedinf.2022.104844}, doi = {10.1016/J.IJMEDINF.2022.104844}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/ParkJCSHBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimC22, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {A deep neural network ensemble of multimodal signals for classifying excavator operations}, journal = {Neurocomputing}, volume = {470}, pages = {290--299}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2020.01.127}, doi = {10.1016/J.NEUCOM.2020.01.127}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/KimC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimC22a, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {An information theoretic approach to reducing algorithmic bias for machine learning}, journal = {Neurocomputing}, volume = {500}, pages = {26--38}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2021.09.081}, doi = {10.1016/J.NEUCOM.2021.09.081}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KimC22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LeeS22, author = {Sungjae Lee and Youngdoo Son}, title = {Multitask learning with single gradient step update for task balancing}, journal = {Neurocomputing}, volume = {467}, pages = {442--453}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2021.10.025}, doi = {10.1016/J.NEUCOM.2021.10.025}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LeeS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/KangKKR22, author = {Mingu Kang and Taeyoung Kim and Suntae Kim and Duksan Ryu}, title = {Gradle-Autofix: An Automatic Resolution Generator for Gradle Build Error}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {32}, number = {4}, pages = {583--603}, year = {2022}, url = {https://doi.org/10.1142/S0218194022500218}, doi = {10.1142/S0218194022500218}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijseke/KangKKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsinnov/KimI22, author = {SungKwang Kim and Young Hwan Im}, title = {Frequency Acquisition Method for Measuring Strain of Vibration Wire Sensor}, journal = {Int. J. Softw. Innov.}, volume = {10}, number = {2}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.4018/ijsi.289598}, doi = {10.4018/IJSI.289598}, timestamp = {Sun, 07 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsinnov/KimI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeKMK22, author = {Joo Chan Lee and Yongwoo Kim and Sungtae Moon and Jong Hwan Ko}, title = {A Reconfigurable Neural Architecture for Edge-Cloud Collaborative Real-Time Object Detection}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {23}, pages = {23390--23404}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3205682}, doi = {10.1109/JIOT.2022.3205682}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/LeeKMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJLSCS22, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Hun Lee and Hoon{-}Geun Song and Sung{-}Chan Choi and Hyoung{-}Kyu Song}, title = {Group-Wise Sidelink Synchronization Signal and Carrier Frequency Offset Detection Method for D2D-Based {NR-V2X} Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {4}, pages = {2973--2983}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3097364}, doi = {10.1109/JIOT.2021.3097364}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/YouJLSCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJLSS22, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Hun Lee and Hoon{-}Geun Song and Hyoung{-}Kyu Song}, title = {Balanced-Offset Joint Acquisition of Physical Cell Identity and Radio Frame Number for NB-IoT Communication Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {11}, pages = {8669--8680}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3119037}, doi = {10.1109/JIOT.2021.3119037}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/YouJLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YuP22, author = {SungJin Yu and Youngho Park}, title = {A Robust Authentication Protocol for Wireless Medical Sensor Networks Using Blockchain and Physically Unclonable Functions}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {20}, pages = {20214--20228}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3171791}, doi = {10.1109/JIOT.2022.3171791}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/YuP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeJKSJ0K22, author = {Namhwa Lee and Minsu Jeong and Youngjae Kim and Jisoo Shin and Inwhee Joe and Sanghoon Jeon and Byuk Sung Ko}, title = {IoT-based Architecture and Implementation for Automatic Shock Treatment}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {16}, number = {7}, pages = {2209--2224}, year = {2022}, url = {https://doi.org/10.3837/tiis.2022.07.005}, doi = {10.3837/TIIS.2022.07.005}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/LeeJKSJ0K22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/WooPK22, author = {Jiyoung Woo and Sung Hee Park and Huy Kang Kim}, title = {Profane or Not: Improving Korean Profane Detection using Deep Learning}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {16}, number = {1}, pages = {305--318}, year = {2022}, url = {https://doi.org/10.3837/tiis.2022.01.017}, doi = {10.3837/TIIS.2022.01.017}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/WooPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/KwagLK22, author = {Sungil Kwag and Woo Jin Lee and Young Dae Ko}, title = {Optimal seat allocation strategy for e-sports gaming center}, journal = {Int. Trans. Oper. Res.}, volume = {29}, number = {2}, pages = {783--804}, year = {2022}, url = {https://doi.org/10.1111/itor.12809}, doi = {10.1111/ITOR.12809}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itor/KwagLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/ChoiLCKKL22, author = {Jae{-}Young Choi and Jee{-}Hyong Lee and Younghwa Cho and Suntae Kim and Jeong{-}Ah Kim and Seang{-}Yong Lee}, title = {Visualization of knowledge map for monitoring knowledge diagnoses}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {13}, number = {3}, pages = {1615--1623}, year = {2022}, url = {https://doi.org/10.1007/s12652-019-01407-x}, doi = {10.1007/S12652-019-01407-X}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/ChoiLCKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/JuhnRWKMRWSSH22, author = {Young J. Juhn and Euijung Ryu and Chung{-}Il Wi and Katherine S. King and Momin M. Malik and Santiago Romero{-}Brufau and Chunhua Weng and Sunghwan Sohn and Richard R. Sharp and John D. Halamka}, title = {Assessing socioeconomic bias in machine learning algorithms in health care: a case study of the {HOUSES} index}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {7}, pages = {1142--1151}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac052}, doi = {10.1093/JAMIA/OCAC052}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/JuhnRWKMRWSSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/KwonKCK22, author = {Youngchun Kwon and Sun Kim and Youn{-}Suk Choi and Seokho Kang}, title = {Generative Modeling to Predict Multiple Suitable Conditions for Chemical Reactions}, journal = {J. Chem. Inf. Model.}, volume = {62}, number = {23}, pages = {5952--5960}, year = {2022}, url = {https://doi.org/10.1021/acs.jcim.2c01085}, doi = {10.1021/ACS.JCIM.2C01085}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/KwonKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/HongLSKKPLKKSKJ22, author = {Een{-}Kee Hong and Inkyu Lee and Byonghyo Shim and Young{-}Chai Ko and Sang{-}Hyo Kim and Sangheon Pack and Kyunghan Lee and Sunwoo Kim and Jae{-}Hyun Kim and Yoan Shin and Younghan Kim and Haejoon Jung}, title = {6G R{\&}D vision: Requirements and candidate technologies}, journal = {J. Commun. Networks}, volume = {24}, number = {2}, pages = {232--245}, year = {2022}, url = {https://doi.org/10.23919/JCN.2022.000015}, doi = {10.23919/JCN.2022.000015}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/HongLSKKPLKKSKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/KimPKC22, author = {GeunYong Kim and Joon{-}Young Paik and Yeong{-}Cheol Kim and Eun{-}Sun Cho}, title = {Byte Frequency Based Indicators for Crypto-Ransomware Detection from Empirical Analysis}, journal = {J. Comput. Sci. Technol.}, volume = {37}, number = {2}, pages = {423--442}, year = {2022}, url = {https://doi.org/10.1007/s11390-021-0263-x}, doi = {10.1007/S11390-021-0263-X}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/KimPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/BanitalebiAJB22, author = {Sadegh Banitalebi and Sun Shin Ahn and Young Bae Jun and Rajab Ali Borzooei}, title = {Normal \emph{m}-domination and inverse \emph{m}-domination in Pythagorean fuzzy graphs with application in decision making}, journal = {J. Intell. Fuzzy Syst.}, volume = {43}, number = {4}, pages = {5053--5062}, year = {2022}, url = {https://doi.org/10.3233/JIFS-220319}, doi = {10.3233/JIFS-220319}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/BanitalebiAJB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/HwangPKCCKKJAKK22, author = {Kihwan Hwang and Juntae Park and Young{-}Jae Kwon and Se Jin Cho and Byung Se Choi and Jiwon Kim and Eunchong Kim and Jongha Jang and Kwang{-}Sung Ahn and Sangsoo Kim and Chae{-}Yong Kim}, title = {Fully Automated Segmentation Models of Supratentorial Meningiomas Assisted by Inclusion of Normal Brain Images}, journal = {J. Imaging}, volume = {8}, number = {12}, pages = {327}, year = {2022}, url = {https://doi.org/10.3390/jimaging8120327}, doi = {10.3390/JIMAGING8120327}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jimaging/HwangPKCCKKJAKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JangK22, author = {Sung{-}Bong Jang and Young Woong Ko}, title = {Delivering Augmented Information in a Session Initiation Protocol-Based Video Telephony Using Real-Time {AR}}, journal = {J. Inf. Process. Syst.}, volume = {18}, number = {1}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.3745/JIPS.04.0231}, doi = {10.3745/JIPS.04.0231}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/JangK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/KimJKP22, author = {Young{-}Jong Kim and Sungil Jang and Myung Ho Kim and Jinho Park}, title = {{PBFT} Blockchain-Based OpenStack Identity Service}, journal = {J. Inf. Process. Syst.}, volume = {18}, number = {6}, pages = {741--754}, year = {2022}, url = {https://doi.org/10.3745/JIPS.03.0179}, doi = {10.3745/JIPS.03.0179}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/KimJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/SungW22, author = {Youngjun Sung and Yoojae Won}, title = {Implementation of Quality Management System for Wild-Simulated Ginseng Using Blockchain}, journal = {J. Inf. Process. Syst.}, volume = {18}, number = {2}, pages = {173--187}, year = {2022}, url = {https://doi.org/10.3745/JIPS.04.0237}, doi = {10.3745/JIPS.04.0237}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/SungW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/ChoiA22, author = {Young{-}In Choi and Sungyong Ahn}, title = {Separating the File System Journal to Reduce Write Amplification of Garbage Collection on {ZNS} SSDs}, journal = {J. Multim. Inf. Syst.}, volume = {9}, number = {4}, pages = {261--268}, year = {2022}, url = {https://doi.org/10.33851/jmis.2022.9.4.261}, doi = {10.33851/JMIS.2022.9.4.261}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/ChoiA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/LeeK22, author = {Young{-}Ran Lee and Sung{-}Ki Kim}, title = {Smart Sensor Management System Supporting Service Plug-In in MQTT-Based IIoT Applications}, journal = {J. Multim. Inf. Syst.}, volume = {9}, number = {3}, pages = {209--218}, year = {2022}, url = {https://doi.org/10.33851/jmis.2022.9.3.209}, doi = {10.33851/JMIS.2022.9.3.209}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmmis/LeeK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joi/YouPLYJ22, author = {Taekho You and Jinseo Park and June Young Lee and Jinhyuk Yun and Woo{-}Sung Jung}, title = {Disturbance of questionable publishing to academia}, journal = {J. Informetrics}, volume = {16}, number = {2}, pages = {101294}, year = {2022}, url = {https://doi.org/10.1016/j.joi.2022.101294}, doi = {10.1016/J.JOI.2022.101294}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joi/YouPLYJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLKJMSJ22, author = {Ji{-}Young Kim and Jongsoo Lee and Ki{-}Ryong Kim and Sunghwan Jo and Byoung{-}Mo Moon and Kyomin Sohn and Seong{-}Ook Jung}, title = {A 5 Gb/s Time-Interleaved Voltage-Mode Duobinary Encoding Scheme for 3-D-Stacked {IC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1913--1923}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3153666}, doi = {10.1109/JSSC.2022.3153666}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLKJMSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKKLJCYABC22, author = {Changuk Lee and Byeongseol Kim and Jejung Kim and Sangwon Lee and Taejune Jeon and Woojun Choi and Sunggu Yang and Jong{-}Hyun Ahn and Joonsung Bae and Youngcheol Chae}, title = {A Miniaturized Wireless Neural Implant With Body-Coupled Power Delivery and Data Transmission}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {11}, pages = {3212--3227}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3202795}, doi = {10.1109/JSSC.2022.3202795}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKKLJCYABC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SungSKLJJKYJLBP22, author = {Barosaim Sung and Hyun{-}Gi Seok and Jaekwon Kim and Jaehoon Lee and Taejin Jang and Ilhoon Jang and Youngmin Kim and Anna Yu and Jong{-}Hyun Jang and Jiyoung Lee and Jeongyeol Bae and Euiyoung Park and Sung{-}Jun Lee and Seokwon Lee and Joohan Kim and Beomkon Kim and Yong Lim and Seunghyun Oh and Jongwoo Lee}, title = {A Single Path Digital-IF Receiver Supporting Inter/Intra 5-CA With a Single Integer {LO-PLL} in 14-nm {CMOS} FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {12}, pages = {3646--3655}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3212375}, doi = {10.1109/JSSC.2022.3212375}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SungSKLJJKYJLBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/SimSKLKK22, author = {Isaac Sim and Young Ghyu Sun and Soo Hyun Kim and SangWoon Lee and Cheong Ghil Kim and Jin Young Kim}, title = {Performance of Digital Drone Signage System Based on {DUET}}, journal = {J. Web Eng.}, volume = {21}, number = {2}, year = {2022}, url = {https://doi.org/10.13052/jwe1540-9589.21211}, doi = {10.13052/JWE1540-9589.21211}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/SimSKLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/SunKLSLKK22, author = {Young Ghyu Sun and Soo Hyun Kim and Seongwoo Lee and Joonho Seon and SangWoon Lee and Cheong Ghil Kim and Jin Young Kim}, title = {Performance of End-to-end Model Based on Convolutional {LSTM} for Human Activity Recognition}, journal = {J. Web Eng.}, volume = {21}, number = {5}, year = {2022}, url = {https://doi.org/10.13052/jwe1540-9589.21512}, doi = {10.13052/JWE1540-9589.21512}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/SunKLSLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/JeongKKS22, author = {Somi Jeong and Youngjung Kim and Sungho Kim and Kwanghoon Sohn}, title = {Enriching {SAR} Ship Detection via Multistage Domain Alignment}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2021.3115498}, doi = {10.1109/LGRS.2021.3115498}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/JeongKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/KimSKK22, author = {Jun Hee Kim and Sujin Shin and Sungho Kim and Youngjung Kim}, title = {EO-Augmented Building Segmentation for Airborne {SAR} Imagery}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2021.3073154}, doi = {10.1109/LGRS.2021.3073154}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/KimSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/ShinKK22, author = {Changyeop Shin and Sungho Kim and Youngjung Kim}, title = {Satellite Image Target Super-Resolution With Adversarial Shape Discriminator}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2020.3042238}, doi = {10.1109/LGRS.2020.3042238}, timestamp = {Wed, 29 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/ShinKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LeeKS22, author = {Young Keun Lee and Jisoo Kim and Sung Wook Seo}, title = {Discovery of genes positively modulating treatment effect using potential outcome framework and Bayesian update}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {113}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01852-3}, doi = {10.1186/S12911-022-01852-3}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LeeKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/na/TanQC22, author = {Bing Tan and Xiaolong Qin and Sun Young Cho}, title = {Revisiting subgradient extragradient methods for solving variational inequalities}, journal = {Numer. Algorithms}, volume = {90}, number = {4}, pages = {1593--1615}, year = {2022}, url = {https://doi.org/10.1007/s11075-021-01243-1}, doi = {10.1007/S11075-021-01243-1}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/na/TanQC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/Al-masniLYKGCK22, author = {Mohammed A. Al{-}masni and Seul Lee and Jaeuk Yi and Sewook Kim and Sung{-}Min Gho and Young Hun Choi and Dong{-}Hyun Kim}, title = {Stacked U-Nets with self-assisted priors towards robust correction of rigid motion artifact in brain {MRI}}, journal = {NeuroImage}, volume = {259}, pages = {119411}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119411}, doi = {10.1016/J.NEUROIMAGE.2022.119411}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/Al-masniLYKGCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/ChristensenDLSG22, author = {Dennis V. Christensen and Regina Dittmann and Bernab{\'{e}} Linares{-}Barranco and Abu Sebastian and Manuel Le Gallo and Andrea Redaelli and Stefan Slesazeck and Thomas Mikolajick and Sabina Spiga and Stephan Menzel and Ilia Valov and Gianluca Milano and Carlo Ricciardi and Shi{-}Jun Liang and Feng Miao and Mario Lanza and Tyler J. Quill and Scott T. Keene and Alberto Salleo and Julie Grollier and Danijela Markovic and Alice Mizrahi and Peng Yao and J. Joshua Yang and Giacomo Indiveri and John Paul Strachan and Suman Datta and Elisa Vianello and Alexandre Valentian and Johannes Feldmann and Xuan Li and Wolfram H. P. Pernice and Harish Bhaskaran and Steve B. Furber and Emre Neftci and Franz Scherr and Wolfgang Maass and Srikanth Ramaswamy and Jonathan Tapson and Priyadarshini Panda and Youngeun Kim and Gouhei Tanaka and Simon Thorpe and Chiara Bartolozzi and Thomas A. Cleland and Christoph Posch and Shih{-}Chii Liu and Gabriella Panuccio and Mufti Mahmud and Arnab Neelim Mazumder and Morteza Hosseini and Tinoosh Mohsenin and Elisa Donati and Silvia Tolu and Roberto Galeazzi and Martin Ejsing Christensen and Sune Holm and Daniele Ielmini and N. Pryds}, title = {2022 roadmap on neuromorphic computing and engineering}, journal = {Neuromorph. Comput. Eng.}, volume = {2}, number = {2}, pages = {22501}, year = {2022}, url = {https://doi.org/10.1088/2634-4386/ac4a83}, doi = {10.1088/2634-4386/AC4A83}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/ChristensenDLSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ni/AlmeidaTHSNYBBN22, author = {Carlos A. Almeida and Abel Torres{-}Espin and J. Russell Huie and Dongming Sun and Linda J. Noble{-}Haeusslein and Wise Young and Michael S. Beattie and Jacqueline C. Bresnahan and Jessica L. Nielson and Adam R. Ferguson}, title = {Excavating {FAIR} Data: the Case of the Multicenter Animal Spinal Cord Injury Study (MASCIS), Blood Pressure, and Neuro-Recovery}, journal = {Neuroinformatics}, volume = {20}, number = {1}, pages = {39--52}, year = {2022}, url = {https://doi.org/10.1007/s12021-021-09512-z}, doi = {10.1007/S12021-021-09512-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ni/AlmeidaTHSNYBBN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/TangSZLLLT22, author = {Xinru Tang and Yuling Sun and Bowen Zhang and Zimi Liu and Ray LC and Zhicong Lu and Xin Tong}, title = {"I Never Imagined Grandma Could Do So Well with Technology": Evolving Roles of Younger Family Members in Older Adults' Technology Learning and Use}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--29}, year = {2022}, url = {https://doi.org/10.1145/3555579}, doi = {10.1145/3555579}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/TangSZLLLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ZhangJHP22, author = {Zhan Zhang and Karen Joy and Richard Harris and Sun Young Park}, title = {Characteristics and Challenges of Clinical Documentation in Self-Organized Fast-Paced Medical Work}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {6}, number = {{CSCW2}}, pages = {1--21}, year = {2022}, url = {https://doi.org/10.1145/3555111}, doi = {10.1145/3555111}, timestamp = {Fri, 03 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/ZhangJHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/KrennABCFFFGGJL22, author = {Mario Krenn and Qianxiang Ai and Senja Barthel and Nessa Carson and Angelo Frei and Nathan C. Frey and Pascal Friederich and Th{\'{e}}ophile Gaudin and Alberto Alexander Gayle and Kevin Maik Jablonka and Rafael F. Lameiro and Dominik Lemm and Alston Lo and Seyed Mohamad Moosavi and Jos{\'{e}} Manuel N{\'{a}}poles{-}Duarte and AkshatKumar Nigam and Robert Pollice and Kohulan Rajan and Ulrich Schatzschneider and Philippe Schwaller and Marta Skreta and Berend Smit and Felix Strieth{-}Kalthoff and Chong Sun and Gary Tom and Guido Falk von Rudorff and Andrew Wang and Andrew D. White and Adamo Young and Rose Yu and Al{\'{a}}n Aspuru{-}Guzik}, title = {{SELFIES} and the future of molecular string representations}, journal = {Patterns}, volume = {3}, number = {10}, pages = {100588}, year = {2022}, url = {https://doi.org/10.1016/j.patter.2022.100588}, doi = {10.1016/J.PATTER.2022.100588}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/KrennABCFFFGGJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YangKSSPGC22, author = {Sang Yul Yang and Kihyeon Kim and Sung{-}Won Seo and Dongsu Shin and Jae Hyeong Park and Young Jin Gong and Hyouk Ryeol Choi}, title = {Hybrid Antagonistic System With Coiled Shape Memory Alloy and Twisted and Coiled Polymer Actuator for Lightweight Robotic Arm}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {4496--4503}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3150875}, doi = {10.1109/LRA.2022.3150875}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/YangKSSPGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DehkhodaSJSN22, author = {Naghmeh Dehkhoda and Juhyeon Sim and Sohee Joo and Sungkyun Shin and Youngmin Noh}, title = {Retrieval of Black Carbon Absorption Aerosol Optical Depth from {AERONET} Observations over the World during 2000-2018}, journal = {Remote. Sens.}, volume = {14}, number = {6}, pages = {1510}, year = {2022}, url = {https://doi.org/10.3390/rs14061510}, doi = {10.3390/RS14061510}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DehkhodaSJSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ShinSDJKKMTSSN22, author = {Juseon Shin and Juhyeon Sim and Naghmeh Dehkhoda and Sohee Joo and Taegyeong Kim and Gahyeong Kim and Detlef M{\"{u}}ller and Matthias Tesche and Sungkyun Shin and Dongho Shin and Youngmin Noh}, title = {Long-Term Variation Study of Fine-Mode Particle Size and Regional Characteristics Using {AERONET} Data}, journal = {Remote. Sens.}, volume = {14}, number = {18}, pages = {4429}, year = {2022}, url = {https://doi.org/10.3390/rs14184429}, doi = {10.3390/RS14184429}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ShinSDJKKMTSSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SonLLKKKC22, author = {Young{-}Sun Son and Gilljae Lee and Bum Han Lee and Nam Hoon Kim and Sang{-}Mo Koh and Kwang{-}Eun Kim and Seong{-}Jun Cho}, title = {Application of {ASTER} Data for Differentiating Carbonate Minerals and Evaluating MgO Content of Magnesite in the Jiao-Liao-Ji Belt, North China Craton}, journal = {Remote. Sens.}, volume = {14}, number = {1}, pages = {181}, year = {2022}, url = {https://doi.org/10.3390/rs14010181}, doi = {10.3390/RS14010181}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/SonLLKKKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YanPBJ0LFCHBZS022, author = {Banghua Yan and Chunhui Pan and Trevor Beck and Xin Jin and Likun Wang and Ding Liang and Lawrence Flynn and Junye Chen and Jingfeng Huang and Steven Buckner and Cheng{-}Zhi Zou and Ninghai Sun and Lin Lin and Alisa Young and Lihang Zhou and Wei Hao}, title = {New Reprocessing towards Life-Time Quality-Consistent Suomi {NPP} {OMPS} Nadir Sensor Data Records {(SDR):} Calibration Improvements and Impact Assessments on Long-Term Quality Stability of {OMPS} {SDR} Data Sets}, journal = {Remote. Sens.}, volume = {14}, number = {13}, pages = {3125}, year = {2022}, url = {https://doi.org/10.3390/rs14133125}, doi = {10.3390/RS14133125}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YanPBJ0LFCHBZS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YouKSJK22, author = {Cheol{-}Hwan You and Hyeon{-}Joon Kim and Sung{-}Ho Suh and Woonseon Jung and Mi{-}Young Kang}, title = {The Characteristics of Raindrop Size Distributions in Different Climatological Regions in South Korea}, journal = {Remote. Sens.}, volume = {14}, number = {20}, pages = {5137}, year = {2022}, url = {https://doi.org/10.3390/rs14205137}, doi = {10.3390/RS14205137}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YouKSJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/SeoYLNL22, author = {Seung{-}Kwon Seo and Young{-}Gak Yoon and Ju{-}sung Lee and Jonggeol Na and Chul{-}Jin Lee}, title = {Deep Neural Network-based Optimization Framework for Safety Evacuation Route during Toxic Gas Leak Incidents}, journal = {Reliab. Eng. Syst. Saf.}, volume = {218}, number = {Part}, pages = {108102}, year = {2022}, url = {https://doi.org/10.1016/j.ress.2021.108102}, doi = {10.1016/J.RESS.2021.108102}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/SeoYLNL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ByunKPCKAKYHJKP22, author = {Sung{-}June Byun and Dong{-}Gyun Kim and Kyung{-}Do Park and Yeun{-}Jin Choi and Pervesh Kumar and Imran Ali and Dong{-}Gyu Kim and June{-}Mo Yoo and Hyung{-}Ki Huh and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {A Low-Power Analog Processor-in-Memory-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4555}, year = {2022}, url = {https://doi.org/10.3390/s22124555}, doi = {10.3390/S22124555}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ByunKPCKAKYHJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoKKHKSKKKO22, author = {Myung{-}Yeon Cho and Ik{-}Soo Kim and Min{-}Ji Kim and Da{-}Eun Hyun and Sang{-}Mo Koo and Hiesang Sohn and Nam{-}Young Kim and Sunghoon Kim and Seunghoon Ko and Jongmin Oh}, title = {NaCl Ionization-Based Moisture Sensor Prepared by Aerosol Deposition for Monitoring Respiratory Patterns}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5178}, year = {2022}, url = {https://doi.org/10.3390/s22145178}, doi = {10.3390/S22145178}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoKKHKSKKKO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungKK22, author = {Won Young Chung and Sun Young Kim and Chang Ho Kang}, title = {Image Dehazing Using LiDAR Generated Grayscale Depth Prior}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1199}, year = {2022}, url = {https://doi.org/10.3390/s22031199}, doi = {10.3390/S22031199}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanPCSKR22, author = {Doyeon Han and Moonyoung Park and Junsuk Choi and Heonseop Shin and Donghwan Kim and Sungsoo Rhim}, title = {Assessment of Pain Onset and Maximum Bearable Pain Thresholds in Physical Contact Situations}, journal = {Sensors}, volume = {22}, number = {8}, pages = {2996}, year = {2022}, url = {https://doi.org/10.3390/s22082996}, doi = {10.3390/S22082996}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HanPCSKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangKLKKJH22, author = {Byunghoon Hwang and Jihu Kim and Sungmin Lee and Eunyoung Kim and Jeongho Kim and Younhyun Jung and Hyoseok Hwang}, title = {Automatic Detection and Segmentation of Thrombi in Abdominal Aortic Aneurysms Using a Mask Region-Based Convolutional Neural Network with Optimized Loss Functions}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3643}, year = {2022}, url = {https://doi.org/10.3390/s22103643}, doi = {10.3390/S22103643}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HwangKLKKJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangPSL22, author = {Jinhyeok Jang and Dana Park and Sangkyung Sung and Young Jae Lee}, title = {{HDOP} and {VDOP} Analysis in an Ideal Placement Environment for Dual GNSSs}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3475}, year = {2022}, url = {https://doi.org/10.3390/s22093475}, doi = {10.3390/S22093475}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JangPSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JooHKKPKKP22, author = {Ji{-}Eun Joo and Yu Hu and Sujin Kim and Hyunji Kim and Sunyoung Park and Ji{-}Hoon Kim and Younghyun Kim and Sung Min Park}, title = {An Indoor-Monitoring LiDAR Sensor for Patients with Alzheimer Disease Residing in Long-Term Care Facilities}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7934}, year = {2022}, url = {https://doi.org/10.3390/s22207934}, doi = {10.3390/S22207934}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JooHKKPKKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangK22, author = {Chang Ho Kang and Sun Young Kim}, title = {Regularized Optimal Transport Based on an Adaptive Adjustment Method for Selecting the Scaling Parameters of Unscented Kalman Filters}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1257}, year = {2022}, url = {https://doi.org/10.3390/s22031257}, doi = {10.3390/S22031257}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCLC22, author = {Young{-}Joon Kim and Somasekhar R. Chinnadayyala and Hien T. Ngoc Le and Sungbo Cho}, title = {Sensitive Electrochemical Non-Enzymatic Detection of Glucose Based on Wireless Data Transmission}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2787}, year = {2022}, url = {https://doi.org/10.3390/s22072787}, doi = {10.3390/S22072787}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimOLYKKH22, author = {Soo{-}Wan Kim and Geum{-}Yoon Oh and Kang{-}In Lee and Young{-}Jin Yang and Jeong{-}Beom Ko and Young{-}Woo Kim and Young{-}Sun Hong}, title = {A Highly Sensitive and Flexible Capacitive Pressure Sensor Based on Alignment Airgap Dielectric}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7390}, year = {2022}, url = {https://doi.org/10.3390/s22197390}, doi = {10.3390/S22197390}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimOLYKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimRJKO22, author = {Sung{-}Yuk Kim and Sang{-}Chul Ryu and Yong{-}Du Jun and Young{-}Choon Kim and Jong{-}Seok Oh}, title = {Methodology for Sound Quality Analysis of Motors for Automotive Interior Parts through Subjective Evaluation}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6898}, year = {2022}, url = {https://doi.org/10.3390/s22186898}, doi = {10.3390/S22186898}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimRJKO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Lakshminarayana22, author = {Shanthala Lakshminarayana and Younghun Park and Hyusim Park and Sungyong Jung}, title = {High Density Resistive Array Readout System for Wearable Electronics}, journal = {Sensors}, volume = {22}, number = {5}, pages = {1878}, year = {2022}, url = {https://doi.org/10.3390/s22051878}, doi = {10.3390/S22051878}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Lakshminarayana22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeOKKYJP22, author = {JoonYoung Lee and Ji{-}Hyeon Oh and Deok Kyu Kwon and MyeongHyun Kim and SungJin Yu and Nam{-}Su Jho and Youngho Park}, title = {PUFTAP-IoT: PUF-Based Three-Factor Authentication Protocol in IoT Environment Focused on Sensing Devices}, journal = {Sensors}, volume = {22}, number = {18}, pages = {7075}, year = {2022}, url = {https://doi.org/10.3390/s22187075}, doi = {10.3390/S22187075}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeOKKYJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimKHKLKJ22, author = {Jae{-}Jun Lim and Dae{-}Won Kim and Woon{-}Hee Hong and Min Kim and Dong{-}Hoon Lee and Sun{-}Young Kim and Jae{-}Hoon Jeong}, title = {Application of Convolutional Neural Network {(CNN)} to Recognize Ship Structures}, journal = {Sensors}, volume = {22}, number = {10}, pages = {3824}, year = {2022}, url = {https://doi.org/10.3390/s22103824}, doi = {10.3390/S22103824}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LimKHKLKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MinJK22, author = {Sung{-}Jun Min and Young{-}Su Jo and Suk{-}Ju Kang}, title = {Super-Resolving Methodology for Noisy Unpaired Datasets}, journal = {Sensors}, volume = {22}, number = {20}, pages = {8003}, year = {2022}, url = {https://doi.org/10.3390/s22208003}, doi = {10.3390/S22208003}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MinJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MochammadNKPLC22, author = {Mochamad Solichin and Yoojeong Noh and Young{-}Jin Kang and Sunhwa Park and Jangwoo Lee and Simon Chin}, title = {Multi-Filter Clustering Fusion for Feature Selection in Rotating Machinery Fault Classification}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2192}, year = {2022}, url = {https://doi.org/10.3390/s22062192}, doi = {10.3390/S22062192}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MochammadNKPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKHKCHK22, author = {Bumjun Park and Haneul Kang and Soobin Han and Hyeong{-}U. Kim and Youngjin Cho and Yun Suk Huh and Sung{-}Min Kang}, title = {The Fabrication of Cesium Lead Bromide-Coated Cellulose Nanocomposites and Their Effect on the Detection of Nitrogen Gas}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7737}, year = {2022}, url = {https://doi.org/10.3390/s22207737}, doi = {10.3390/S22207737}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkKHKCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadCKPJHYKL22, author = {Reza E. Rad and Kyung{-}Duk Choi and Sung{-}Jin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyung{-}Ki Huh and Joon{-}Mo Yoo and Seok{-}Kee Kim and Kang{-}Yoon Lee}, title = {A 0.617-2.7 GHz Highly Linear High-Power Dual Port 15 Throws Antenna Switch Module {(DP15T-ASM)} with Branched-Antenna Technique and Termination Mode}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2276}, year = {2022}, url = {https://doi.org/10.3390/s22062276}, doi = {10.3390/S22062276}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadCKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadKPJHYKL22, author = {Reza E. Rad and Sungjin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyungki Huh and Joon{-}Mo Yoo and Seokkee Kim and Kang{-}Yoon Lee}, title = {A Dual-Band 47-dB Dynamic Range 0.5-dB/Step {DPA} with Dual-Path Power-Combining Structure for NB-IoT}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3493}, year = {2022}, url = {https://doi.org/10.3390/s22093493}, doi = {10.3390/S22093493}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SungPKK22, author = {Young{-}Hwa Sung and Soo{-}Jae Park and Dong{-}Yeon Kim and Sungho Kim}, title = {{GPS} Spoofing Detection Method for Small UAVs Using 1D Convolution Neural Network}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9412}, year = {2022}, url = {https://doi.org/10.3390/s22239412}, doi = {10.3390/S22239412}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SungPKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SungYW22, author = {Youngjun Sung and Sunghyun Yu and Yoojae Won}, title = {Blockchain Token-Based Wild-Simulated Ginseng Quality Management Method}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5153}, year = {2022}, url = {https://doi.org/10.3390/s22145153}, doi = {10.3390/S22145153}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SungYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VermaSKPYHYL22, author = {Deeksha Verma and Khuram Shehzad and Sung Jin Kim and YoungGun Pu and Sang{-}Sun Yoo and Keum{-}Cheol Hwang and Youngoo Yang and Kang{-}Yoon Lee}, title = {A Design of 10-Bit Asynchronous {SAR} {ADC} with an On-Chip Bandgap Reference Voltage Generator}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5393}, year = {2022}, url = {https://doi.org/10.3390/s22145393}, doi = {10.3390/S22145393}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/VermaSKPYHYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WooBJKJ22, author = {Joo Woo and Ji{-}Hyeon Baek and So{-}Hyeon Jo and Sun Young Kim and Jae{-}Hoon Jeong}, title = {A Study on Object Detection Performance of YOLOv4 for Autonomous Driving of Tram}, journal = {Sensors}, volume = {22}, number = {22}, pages = {9026}, year = {2022}, url = {https://doi.org/10.3390/s22229026}, doi = {10.3390/S22229026}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WooBJKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WooJBKJSJJ22, author = {Joo Woo and So{-}Hyeon Jo and Gi{-}Sig Byun and Sun{-}Young Kim and Seok{-}Geun Jee and Ju{-}Hyeon Seong and Yeon{-}Man Jeong and Jae{-}Hoon Jeong}, title = {Determination of Traffic Lane in Tunnel and Positioning of Autonomous Vehicles Using Chromaticity of {LED} Lights}, journal = {Sensors}, volume = {22}, number = {8}, pages = {2912}, year = {2022}, url = {https://doi.org/10.3390/s22082912}, doi = {10.3390/S22082912}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WooJBKJSJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YouBC22, author = {Heewon You and Sung{-}Hoon Byun and Youngmin Choo}, title = {Underwater Acoustic Signal Detection Using Calibrated Hidden Markov Model with Multiple Measurements}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5088}, year = {2022}, url = {https://doi.org/10.3390/s22145088}, doi = {10.3390/S22145088}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YouBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/SungB22, author = {Young{-}Hun Sung and Sang Won Bae}, title = {Counting Non-Convex 5-Holes in a Planar Point Set}, journal = {Symmetry}, volume = {14}, number = {1}, pages = {78}, year = {2022}, url = {https://doi.org/10.3390/sym14010078}, doi = {10.3390/SYM14010078}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/SungB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LimKAPLKHK22, author = {Bo{-}Mi Lim and Sunhyoung Kwon and Sungjun Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Field Evaluation of Transmit Diversity Code Filter Sets in {ATSC} 3.0 Single Frequency Networks}, journal = {{IEEE} Trans. Broadcast.}, volume = {68}, number = {1}, pages = {191--202}, year = {2022}, url = {https://doi.org/10.1109/TBC.2021.3118228}, doi = {10.1109/TBC.2021.3118228}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LimKAPLKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangNKCKPLP22, author = {Seoyun Chang and Hyunkyung Na and Minseok Koo and Taewon Choi and Younghoon Kim and Sun Ah Park and Seung{-}Kyun Lee and Jinhyoung Park}, title = {Therapeutic Quadrisected Annular Array for Improving Magnetic Resonance Compatibility}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {199--208}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3090986}, doi = {10.1109/TBME.2021.3090986}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChangNKCKPLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/JeongAHSYI22, author = {Hyeon Ki Jeong and Sungtae An and Kinsey Herrin and Keaton Scherpereel and Aaron J. Young and Omer T. Inan}, title = {Quantifying Asymmetry Between Medial and Lateral Compartment Knee Loading Forces Using Acoustic Emissions}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {4}, pages = {1541--1551}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3124487}, doi = {10.1109/TBME.2021.3124487}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/JeongAHSYI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/JuLL22, author = {Sanghyeon Ju and Youngjoo Lee and Sunggu Lee}, title = {Convolutional Neural Networks With Discrete Cosine Transform Features}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {12}, pages = {3389--3395}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3150574}, doi = {10.1109/TC.2022.3150574}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/JuLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeLSK22, author = {Youngkwang Lee and Young{-}Woo Lee and Sungyoul Seo and Sungho Kang}, title = {Reduced-Pin-Count {BOST} for Test-Cost Reduction}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {3}, pages = {750--761}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3065912}, doi = {10.1109/TCAD.2021.3065912}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LeeLSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChungCLL22, author = {Tae{-}Young Chung and MyeongAh Cho and Heansung Lee and Sangyoun Lee}, title = {{SSAT:} Self-Supervised Associating Network for Multiobject Tracking}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {32}, number = {11}, pages = {7858--7868}, year = {2022}, url = {https://doi.org/10.1109/TCSVT.2022.3186751}, doi = {10.1109/TCSVT.2022.3186751}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChungCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KimH22, author = {Youngeun Kim and Sungeun Hong}, title = {Adaptive Graph Adversarial Networks for Partial Domain Adaptation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {32}, number = {1}, pages = {172--182}, year = {2022}, url = {https://doi.org/10.1109/TCSVT.2021.3056208}, doi = {10.1109/TCSVT.2021.3056208}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/KimH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/HaroonUHUSMLB22, author = {Umair Haroon and Amin Ullah and Tanveer Hussain and Waseem Ullah and Muhammad Sajjad and Khan Muhammad and Mi Young Lee and Sung Wook Baik}, title = {A Multi-Stream Sequence Learning Framework for Human Interaction Recognition}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {52}, number = {3}, pages = {435--444}, year = {2022}, url = {https://doi.org/10.1109/THMS.2021.3138708}, doi = {10.1109/THMS.2021.3138708}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thms/HaroonUHUSMLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/GandlaCKWPLSBHK22, author = {Srinivas Gandla and Hyeokju Chae and Hyuk{-}Jun Kwon and Yoochan Won and Hyeonjun Park and Sangheum Lee and Jaewoo Song and Seungho Baek and Young{-}Dae Hong and Donghan Kim and Sunkook Kim}, title = {Ultrafast Prototyping of Large-Area Stretchable Electronic Systems by Laser Ablation Technique for Controllable Robotic Arm Operations}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {4}, pages = {4245--4253}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3073355}, doi = {10.1109/TIE.2021.3073355}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/GandlaCKWPLSBHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KhambampatiRSKK22, author = {Anil Kumar Khambampati and Sheik Abdur Rahman and Sunam Kumar Sharma and Woo Young Kim and Kyung Youn Kim}, title = {Nonlinear Difference Imaging to Image Local Conductivity of Single-Layer Graphene Using Electrical Impedance Tomography}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3147894}, doi = {10.1109/TIM.2022.3147894}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/KhambampatiRSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LimCK22, author = {Min Hyuk Lim and Young Min Cho and Sungwan Kim}, title = {Multi-Task Disentangled Autoencoder for Time-Series Data in Glucose Dynamics}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {9}, pages = {4702--4713}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3175928}, doi = {10.1109/JBHI.2022.3175928}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LimCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/BogyrbayevaJ0JK22, author = {Aigerim Bogyrbayeva and Sungwook Jang and Ankit Shah and Young Jae Jang and Changhyun Kwon}, title = {A Reinforcement Learning Approach for Rebalancing Electric Vehicle Sharing Systems}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {7}, pages = {8704--8714}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3085217}, doi = {10.1109/TITS.2021.3085217}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/BogyrbayevaJ0JK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/OhLKPPN22, author = {Ji{-}Hyeon Oh and JoonYoung Lee and MyeongHyun Kim and Youngho Park and Kisung Park and Sungkee Noh}, title = {A Secure Data Sharing Based on Key Aggregate Searchable Encryption in Fog-Enabled IoT Environment}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {6}, pages = {4468--4481}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2022.3204991}, doi = {10.1109/TNSE.2022.3204991}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/OhLKPPN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toit/JangPCL22, author = {Si Young Jang and Sung Kyu Park and Jin{-}Hee Cho and Dongman Lee}, title = {{CARES:} Context-Aware Trust Estimation for Realtime Crowdsensing Services in Vehicular Edge Networks}, journal = {{ACM} Trans. Internet Techn.}, volume = {22}, number = {4}, pages = {92:1--92:24}, year = {2022}, url = {https://doi.org/10.1145/3514243}, doi = {10.1145/3514243}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toit/JangPCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/topc/BenoitPRS22, author = {Anne Benoit and Lucas Perotin and Yves Robert and Hongyang Sun}, title = {Checkpointing Workflows {\`{a}} la Young/Daly Is Not Good Enough}, journal = {{ACM} Trans. Parallel Comput.}, volume = {9}, number = {4}, pages = {14:1--14:25}, year = {2022}, url = {https://doi.org/10.1145/3548607}, doi = {10.1145/3548607}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/topc/BenoitPRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/AnKY22, author = {Inkyu An and Youngsun Kwon and Sung{-}Eui Yoon}, title = {Diffraction- and Reflection-Aware Multiple Sound Source Localization}, journal = {{IEEE} Trans. Robotics}, volume = {38}, number = {3}, pages = {1925--1944}, year = {2022}, url = {https://doi.org/10.1109/TRO.2021.3118966}, doi = {10.1109/TRO.2021.3118966}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/AnKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/YuDPL22, author = {SungJin Yu and Ashok Kumar Das and Youngho Park and Pascal Lorenz}, title = {SLAP-IoD: Secure and Lightweight Authentication Protocol Using Physical Unclonable Functions for Internet of Drones in Smart City Environments}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {10}, pages = {10374--10388}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3188769}, doi = {10.1109/TVT.2022.3188769}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/YuDPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/NohYS22, author = {Song Noh and Heejung Yu and Youngchul Sung}, title = {Training Signal Design for Sparse Channel Estimation in Intelligent Reflecting Surface-Assisted Millimeter-Wave Communication}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {4}, pages = {2399--2413}, year = {2022}, url = {https://doi.org/10.1109/TWC.2021.3112173}, doi = {10.1109/TWC.2021.3112173}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/NohYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vtm/NohLLSSY22, author = {Song Noh and Junse Lee and Gilwon Lee and Kyungsik Seo and Youngchul Sung and Heejung Yu}, title = {Channel Estimation Techniques for RIS-Assisted Communication: Millimeter-Wave and Sub-THz Systems}, journal = {{IEEE} Veh. Technol. Mag.}, volume = {17}, number = {2}, pages = {64--73}, year = {2022}, url = {https://doi.org/10.1109/MVT.2022.3158765}, doi = {10.1109/MVT.2022.3158765}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vtm/NohLLSSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/JungNAK22, author = {Kug{-}Jin Jung and Sung Sik Nam and Mohamed{-}Slim Alouini and Young{-}Chai Ko}, title = {Ergodic Capacity Analysis of UAV-Based {FSO} Links Over Foggy Channels}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {7}, pages = {1483--1487}, year = {2022}, url = {https://doi.org/10.1109/LWC.2022.3175805}, doi = {10.1109/LWC.2022.3175805}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/JungNAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LeeKCK22, author = {Hyunjae Lee and Yong Sung Kil and Min Young Chung and Sang{-}Hyo Kim}, title = {Neural Network Aided Impulsive Perturbation Decoding for Short Raptor-Like {LDPC} Codes}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {2}, pages = {268--272}, year = {2022}, url = {https://doi.org/10.1109/LWC.2021.3125578}, doi = {10.1109/LWC.2021.3125578}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wcl/LeeKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkCS22, author = {Giseung Park and Sungho Choi and Youngchul Sung}, title = {Blockwise Sequential Model Learning for Partially Observable Reinforcement Learning}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {7941--7948}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i7.20764}, doi = {10.1609/AAAI.V36I7.20764}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KimLPS22, author = {Do Young Kim and Young Jun Lim and Joon Hyeon Park and Myung Hoon Sunwoo}, title = {Efficient Deep Learning Algorithm for Alzheimer's Disease Diagnosis using Retinal Images}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {254--257}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869953}, doi = {10.1109/AICAS54282.2022.9869953}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/KimLPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KimLPS22a, author = {Do Young Kim and Young Jun Lim and Joon Hyeon Park and Myung Hoon Sunwoo}, title = {Live Demonstration: Efficient Deep Learning Algorithm for Alzheimer's Disease Diagnosis using Retinal Images}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {508}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869957}, doi = {10.1109/AICAS54282.2022.9869957}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/KimLPS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/YunHYKPL22, author = {Myeongji Yun and Seungwoo Hong and Sunwoo Yoo and Junho Kim and Sung{-}Min Park and Youngjoo Lee}, title = {Lightweight End-to-End Stress Recognition using Binarized {CNN-LSTM} Models}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {270--273}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869974}, doi = {10.1109/AICAS54282.2022.9869974}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/YunHYKPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/RyuKSWMSHJ22, author = {Euijung Ryu and Katherine S. King and Sunghwan Sohn and Chung{-}Il Wi and Momin M. Malik and Richard R. Sharp and John D. Halamka and Young J. Juhn}, title = {The role of individual-level socioeconomic status on bias of machine learning algorithm}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f008-1.4640715/f008-1.4640716/692-1.4640987/271-1.4640984}, timestamp = {Wed, 17 Apr 2024 11:46:45 +0200}, biburl = {https://dblp.org/rec/conf/amia/RyuKSWMSHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apwcs2/KimHB22, author = {Youngseok Kim and Sunwook Hwang and Saewoong Bahk}, title = {A Study on the Feature-level Perception Sharing of Autonomous Vehicles}, booktitle = {{IEEE} {VTS} Asia Pacific Wireless Communications Symposium, {APWCS} 2022, Seoul, Republic of Korea, August 24-26, 2022}, pages = {109--111}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/APWCS55727.2022.9906488}, doi = {10.1109/APWCS55727.2022.9906488}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apwcs2/KimHB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/YoonLK22, author = {Sunghwan Yoon and Myungho Lee and Younghoon Kim}, editor = {Van Hung Trong and Jongwoo Park and Vo Thi Thanh Thao and Jongbae Kim}, title = {A Case Study on the Continuous Usage Intention of Artificial Intelligence Speaker in Product Service System Perspective}, booktitle = {7th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2022, Danang, Vietnam, August 4-6, 2022}, pages = {50--55}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BCD54882.2022.9900552}, doi = {10.1109/BCD54882.2022.9900552}, timestamp = {Tue, 11 Oct 2022 16:48:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/YoonLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/YuCKJJ22, author = {Ga Won Yu and Eun Sung Choi and Young{-}Jin Kang and Young Joon Jeon and Seok Chan Jeong}, editor = {Van Hung Trong and Jongwoo Park and Vo Thi Thanh Thao and Jongbae Kim}, title = {Trends in Mask Recognition Technology Based on Artificial Intelligence}, booktitle = {7th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2022, Danang, Vietnam, August 4-6, 2022}, pages = {317--321}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BCD54882.2022.9900690}, doi = {10.1109/BCD54882.2022.9900690}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/YuCKJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimKK22, author = {Sung{-}Soo Kim and Young{-}Min Kang and Young{-}Kuk Kim}, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {Sparsity-Aware Reachability Computation for Massive Graphs}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, pages = {157--160}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022.00038}, doi = {10.1109/BIGCOMP54360.2022.00038}, timestamp = {Sat, 19 Nov 2022 21:13:09 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/KimKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeBDJH22, author = {Chang{-}Eun Lee and Jaeuk Baek and Seungwon Do and Sungwoo Jun and Young{-}Guk Ha}, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {Space-Time Multilayer Model for Battlefields Recognition}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, pages = {323--324}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022.00071}, doi = {10.1109/BIGCOMP54360.2022.00071}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeBDJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/KimLL22, author = {Sunhee Kim and Young{-}Suk Lee and Chang{-}Yong Lee}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Computational method of database construction for genetic variant calling}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6696--6698}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020263}, doi = {10.1109/BIGDATA55660.2022.10020263}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/KimLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chase/OchiezeGGKDS22, author = {Chukwuemeka Ochieze and Jiacheng Guo and Yuchen Gong and Younghoon Kwon and Haibo Dong and Ye Sun}, title = {Data-driven Modeling and Prediction of Obstructive Sleep Apnea based on Physics-guided Pathophysiological Understanding}, booktitle = {{IEEE/ACM} Conference on Connected Health: Applications, Systems and Engineering Technologies, {CHASE} 2022, Arlington, VA, USA, November 17-19, 2022}, pages = {164--165}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9983610}, timestamp = {Wed, 04 Jan 2023 16:48:27 +0100}, biburl = {https://dblp.org/rec/conf/chase/OchiezeGGKDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChaSWLNP22, author = {Yoon Jeong Cha and Arpita Saxena and Alice Wou and Joyce M. Lee and Mark W. Newman and Sun Young Park}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Transitioning Toward Independence: Enhancing Collaborative Self-Management of Children with Type 1 Diabetes}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {522:1--522:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502055}, doi = {10.1145/3491102.3502055}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ChaSWLNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HongCSJDL22, author = {Seokhyeon Hong and Yeonsoo Choi and Youjin Sung and Yurhee Jin and Young Yim Doh and Jeongmi Lee}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {Evoker: Narrative-based Facial Expression Game for Emotional Development of Adolescents}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {483:1--483:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3516486}, doi = {10.1145/3491101.3516486}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HongCSJDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimKLGAJCHNLH22, author = {Taewan Kim and Haesoo Kim and Ha Yeon Lee and Hwarang Goh and Shakhboz Abdigapporov and Mingon Jeong and Hyunsung Cho and Kyungsik Han and Youngtae Noh and Sung{-}Ju Lee and Hwajung Hong}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Prediction for Retrospection: Integrating Algorithmic Stress Prediction into Personal Informatics Systems for College Students' Mental Health}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {279:1--279:20}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3517701}, doi = {10.1145/3491102.3517701}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimKLGAJCHNLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimMRP22, author = {Junhan Kim and Jana Muhic and Lionel Peter Robert and Sun Young Park}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Designing Chatbots with Black Americans with Chronic Conditions: Overcoming Challenges against {COVID-19}}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {439:1--439:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502116}, doi = {10.1145/3491102.3502116}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/KimMRP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KimMRP22a, author = {Junhan Kim and Jana Muhic and Lionel Peter Robert and Sun Young Park}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {Seeking Trustworthy Information on {COVID-19:} {A} Qualitative Study with the African American Community}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {309:1--309:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519640}, doi = {10.1145/3491101.3519640}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KimMRP22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YanCKGAH22, author = {Chuan Yan and John Joon Young Chung and Yoon Kiheon and Yotam I. Gingold and Eytan Adar and Sungsoo Ray Hong}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {FlatMagic: Improving Flat Colorization through AI-driven Design for Digital Comic Professionals}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {380:1--380:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502075}, doi = {10.1145/3491102.3502075}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YanCKGAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChoiLCKSL22, author = {Eunseong Choi and Sunkyung Lee and Minjin Choi and Hyeseon Ko and Young{-}In Song and Jongwuk Lee}, editor = {Mohammad Al Hasan and Li Xiong}, title = {SpaDE: Improving Sparse Representations using a Dual Document Encoder for First-stage Retrieval}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {272--282}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557456}, doi = {10.1145/3511808.3557456}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ChoiLCKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/KimHY022, author = {Sunoh Kim and Taegil Ha and Kimin Yun and Jin Young Choi}, editor = {Mohammad Al Hasan and Li Xiong}, title = {SWAG-Net: Semantic Word-Aware Graph Network for Temporal Video Grounding}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {982--992}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557463}, doi = {10.1145/3511808.3557463}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/KimHY022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/KimODL22, author = {Minseok Kim and Jinoh Oh and Jaeyoung Do and Sungjin Lee}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Debiasing Neighbor Aggregation for Graph Neural Network in Recommender Systems}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {4128--4132}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557576}, doi = {10.1145/3511808.3557576}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/KimODL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/OhKHKSY22, author = {Jaehoon Oh and Sungnyun Kim and Namgyu Ho and Jin{-}Hwa Kim and Hwanjun Song and Se{-}Young Yun}, editor = {Mohammad Al Hasan and Li Xiong}, title = {ReFine: Re-randomization before Fine-tuning for Cross-domain Few-shot Learning}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {4359--4363}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557681}, doi = {10.1145/3511808.3557681}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/OhKHKSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/ShinPKSK22, author = {Jae{-}Man Shin and Seong{-}Yong Park and Hyun{-}Seok Kim and Woo{-}Young Seo and Sung{-}Hoon Kim}, title = {Learning Time-Frequency Representations of Phonocardiogram for Murmur Detection}, booktitle = {Computing in Cardiology, CinC 2022, Tampere, Finland, September 4-7, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.22489/CinC.2022.126}, doi = {10.22489/CINC.2022.126}, timestamp = {Tue, 18 Apr 2023 21:53:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/ShinPKSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/corl/0002ZWGSMWLLSAH22, author = {Chengshu Li and Ruohan Zhang and Josiah Wong and Cem Gokmen and Sanjana Srivastava and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Chen Wang and Gabrael Levine and Michael Lingelbach and Jiankai Sun and Mona Anvari and Minjune Hwang and Manasi Sharma and Arman Aydin and Dhruva Bansal and Samuel Hunter and Kyu{-}Young Kim and Alan Lou and Caleb R. Matthews and Ivan Villa{-}Renteria and Jerry Huayang Tang and Claire Tang and Fei Xia and Silvio Savarese and Hyowon Gweon and C. Karen Liu and Jiajun Wu and Li Fei{-}Fei}, editor = {Karen Liu and Dana Kulic and Jeffrey Ichnowski}, title = {{BEHAVIOR-1K:} {A} Benchmark for Embodied {AI} with 1, 000 Everyday Activities and Realistic Simulation}, booktitle = {Conference on Robot Learning, CoRL 2022, 14-18 December 2022, Auckland, New Zealand}, series = {Proceedings of Machine Learning Research}, volume = {205}, pages = {80--93}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v205/li23a.html}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/corl/0002ZWGSMWLLSAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BhatDTCCCCCDFGG22, author = {Goutam Bhat and Martin Danelljan and Radu Timofte and Yizhen Cao and Yuntian Cao and Meiya Chen and Xihao Chen and Shen Cheng and Akshay Dudhane and Haoqiang Fan and Ruipeng Gang and Jian Gao and Yan Gu and Jie Huang and Liufeng Huang and Youngsu Jo and Sukju Kang and Salman Khan and Fahad Shahbaz Khan and Yuki Kondo and Chenghua Li and Fangya Li and Jinjing Li and Youwei Li and Zechao Li and Chenming Liu and Shuaicheng Liu and Zikun Liu and Zhuoming Liu and Ziwei Luo and Zhengxiong Luo and Nancy Mehta and Subrahmanyam Murala and Yoonchan Nam and Chihiro Nakatani and Pavel Ostyakov and Jinshan Pan and Ge Song and Jian Sun and Long Sun and Jinhui Tang and Norimichi Ukita and Zhihong Wen and Qi Wu and Xiaohe Wu and Zeyu Xiao and Zhiwei Xiong and Rongjian Xu and Ruikang Xu and Youliang Yan and Jialin Yang and Wentao Yang and Zhongbao Yang and Fuma Yasue and Mingde Yao and Lei Yu and Cong Zhang and Syed Waqas Zamir and Jianxing Zhang and Shuohao Zhang and Zhilu Zhang and Qian Zheng and Gaofeng Zhou and Magauiya Zhussip and Xueyi Zou and Wangmeng Zuo}, title = {{NTIRE} 2022 Burst Super-Resolution Challenge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1040--1060}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00117}, doi = {10.1109/CVPRW56347.2022.00117}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BhatDTCCCCCDFGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiLSKKY22, author = {Jooyoung Choi and Jungbeom Lee and Chaehun Shin and Sungwon Kim and Hyunwoo Kim and Sungroh Yoon}, title = {Perception Prioritized Training of Diffusion Models}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {11462--11471}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01118}, doi = {10.1109/CVPR52688.2022.01118}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiLSKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeKWH22, author = {Youngwan Lee and Jonghee Kim and Jeffrey Willette and Sung Ju Hwang}, title = {MPViT: Multi-Path Vision Transformer for Dense Prediction}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {7277--7286}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00714}, doi = {10.1109/CVPR52688.2022.00714}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeKWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangG00GT0CYJWG22, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Liangyu Chen and Xiaojie Chu and Wenqing Yu and Kai Jin and Zeqiang Wei and Sha Guo and Angulia Yang and Xiuzhuang Zhou and Guodong Guo and Bin Dai and Feiyue Peng and Huaxin Xiao and Shen Yan and Yuxiang Liu and Hanxiao Cai and Pu Cao and Yang Nie and Lu Yang and Qing Song and Xiaotao Hu and Jun Xu and Mai Xu and Junpeng Jing and Xin Deng and Qunliang Xing and Minglang Qiao and Zhenyu Guan and Wenlong Guo and Chenxu Peng and Zan Chen and Junyang Chen and Hao Li and Junbin Chen and Weijie Li and Zhijing Yang and Gen Li and Aijin Li and Lei Sun and Dafeng Zhang and Shizhuo Liu and Jiangtao Zhang and Yanyun Qu and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Hua{-}En Chang and Sy{-}Yen Kuo and Qiaohui Liang and Jianxin Lin and Yijun Wang and Lianying Yin and Rongju Zhang and Wei Zhao and Peng Xiao and Rongjian Xu and Zhilu Zhang and Wangmeng Zuo and Hansheng Guo and Guangwei Gao and Tieyong Zeng and Huicheng Pi and Shunli Zhang and Joohyeok Kim and HyeonA Kim and Eunpil Park and Jae{-}Young Sim and Jucai Zhai and Pengcheng Zeng and Yang Liu and Chihao Ma and Yulin Huang and Junying Chen}, title = {{NTIRE} 2022 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {905--918}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00105}, doi = {10.1109/CVPRW56347.2022.00105}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangG00GT0CYJWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamMYKKL22, author = {Dongyun Kam and Jung Gyu Min and Jongho Yoon and Sunmean Kim and Seokhyeong Kang and Youngjoo Lee}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Design and Evaluation Frameworks for Advanced RISC-based Ternary Processor}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1077--1082}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774584}, doi = {10.23919/DATE54114.2022.9774584}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/KamMYKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeKGC22, author = {Young Seo Lee and Gunjae Koo and Young{-}Ho Gong and Sung Woo Chung}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Stealth {ECC:} {A} Data-Width Aware Adaptive {ECC} Scheme for {DRAM} Error Resilience}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {382--387}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774775}, doi = {10.23919/DATE54114.2022.9774775}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeKGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {92--129}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KinliMOKTZWZZLLCLFBWLMGHYOKRHLSNKKLNK22, author = {Furkan Kinli and Sami Mentes and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Radu Timofte and Yi Zuo and Zitao Wang and Xiaowen Zhang and Yu Zhu and Chenghua Li and Cong Leng and Jian Cheng and Shuai Liu and Chaoyu Feng and Furui Bai and Xiaotao Wang and Lei Lei and Tianzhi Ma and Zi{-}han Gao and Wenxin He and Woon{-}Ha Yeo and Wang{-}Taek Oh and Young{-}Il Kim and Han{-}Cheol Ryu and Gang He and Shaoyi Long and S. M. A. Sharif and Rizwan Ali Naqvi and Sungjun Kim and Guisik Kim and Seohyeon Lee and Sabari Nathan and Priya Kansal}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {{AIM} 2022 Challenge on Instagram Filter Removal: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {27--43}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_2}, doi = {10.1007/978-3-031-25066-8\_2}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KinliMOKTZWZZLLCLFBWLMGHYOKRHLSNKKLNK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeHKYKBH22, author = {Youngwan Lee and Joong{-}Won Hwang and Hyung{-}Il Kim and Kimin Yun and Yongjin Kwon and Yuseok Bae and Sung Ju Hwang}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Localization Uncertainty Estimation for Anchor-Free Object Detection}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13808}, pages = {27--42}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25085-9\_2}, doi = {10.1007/978-3-031-25085-9\_2}, timestamp = {Thu, 16 Feb 2023 11:51:10 +0100}, biburl = {https://dblp.org/rec/conf/eccv/LeeHKYKBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LeeKPK22, author = {Eun Sun Lee and Junho Kim and SangWon Park and Young Min Kim}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {MoDA: Map Style Transfer for Self-supervised Domain Adaptation of Embodied Agents}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXIX}}, series = {Lecture Notes in Computer Science}, volume = {13699}, pages = {338--354}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19842-7\_20}, doi = {10.1007/978-3-031-19842-7\_20}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/LeeKPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/FarhadKYP22, author = {Arshad Farhad and Dae{-}Ho Kim and Jeong{-}Sun Yoon and Jae{-}Young Pyun}, title = {Deep Learning-Based Channel Adaptive Resource Allocation in LoRaWAN}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748580}, doi = {10.1109/ICEIC54506.2022.9748580}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/FarhadKYP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KangLCLKKS22, author = {Han{-}Sung Kang and Yongjoo Lee and Dongbin Cho and Jaeyoung Lee and Mincheal Kang and Younghoon Kim and Jiwon Seo}, title = {Quantization training with two-level bit width}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748737}, doi = {10.1109/ICEIC54506.2022.9748737}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KangLCLKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKSP22, author = {Dohyun Park and Sun Jin Kim and Min Hye Shin and Young{-}Hoon Park}, title = {PRE-based Privacy-Sensitive Healthcare Data Management for Secure Sharing}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748450}, doi = {10.1109/ICEIC54506.2022.9748450}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/BaeKKLKJKLPS22, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Soyoung Kang and Min Young Lee and Sungdong Kim and Yuin Jeong and Hyeri Kim and Sang{-}Woo Lee and Woo{-}Myoung Park and Nako Sung}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Keep Me Updated! Memory Management in Long-term Conversations}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {3769--3787}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-emnlp.276}, doi = {10.18653/V1/2022.FINDINGS-EMNLP.276}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/BaeKKLKJKLPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JeongOLAMPO22, author = {Younghoon Jeong and Juhyun Oh and Jongwon Lee and Jaimeen Ahn and Jihyung Moon and Sungjoon Park and Alice Oh}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {{KOLD:} Korean Offensive Language Dataset}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {10818--10833}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.744}, doi = {10.18653/V1/2022.EMNLP-MAIN.744}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JeongOLAMPO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChaMCKCJL022, author = {Jiyoung Cha and YoungGyoun Moon and Sunwoo Cho and Dongmyoung Kim and Jinho Choi and Jungsoo Jung and Juho Lee and Sunghyun Choi}, title = {{RAN-CN} Converged User-Plane for 6G Cellular Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {2843--2848}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001487}, doi = {10.1109/GLOBECOM48099.2022.10001487}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChaMCKCJL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/SohnS22, author = {Jung Joo Sohn and Abhay Sunil}, editor = {Fiona Fui{-}Hoon Nah and Keng Siau}, title = {A Better Shopping Experience Through Intelligent Lists: Mobile Application and Service Design to Improve the Financial Lives of Young Adults}, booktitle = {{HCI} in Business, Government and Organizations - 9th International Conference, {HCIBGO} 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13327}, pages = {526--541}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05544-7\_38}, doi = {10.1007/978-3-031-05544-7\_38}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/SohnS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/ChoiLCC22, author = {Jooyoung Choi and Chul Ho Lee and Yasin Ceran and Sunghun Chung}, title = {Smart Retail, Replaces All? Some? : Different Influence of Amazon Go to Local Restaurant Industry}, booktitle = {55th Hawaii International Conference on System Sciences, {HICSS} 2022, Virtual Event / Maui, Hawaii, USA, January 4-7, 2022}, pages = {1--10}, publisher = {ScholarSpace}, year = {2022}, url = {http://hdl.handle.net/10125/79393}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/ChoiLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HongMKLKLK22, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--17}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895626}, doi = {10.1109/HCS55958.2022.9895626}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HongMKLKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimYBBBCKKKLLSS22, author = {Hyunsung Kim and Sungyeob Yoo and Jaewan Bae and Kyeongryeol Bong and Yoonho Boo and Karim Charfi and Hyo{-}Eun Kim and Hyun Suk Kim and Jinseok Kim and Byungjae Lee and Jaehwan Lee and Myeongbo Shim and Sungho Shin and Jeong Seok Woo and Joo{-}Young Kim and Sunghyun Park and Jinwook Oh}, title = {LightTrader : World's first AI-enabled High-Frequency Trading Solution with 16 {TFLOPS} / 64 {TOPS} Deep Learning Inference Accelerators}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895619}, doi = {10.1109/HCS55958.2022.9895619}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimYBBBCKKKLLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKKSALKKSLRL22, author = {Sung Joo Park and H. Kim and K.{-}S. Kim and J. So and J. Ahn and W.{-}J. Lee and D. Kim and Young{-}Ju Kim and J. Seok and J.{-}G. Lee and H.{-}Y. Ryu and C. Y. Lee and J. Prout and K.{-}C. Ryoo and S.{-}J. Han and M.{-}K. Kook and J. S. Choi and J. Gim and Y. S. Ki and S. Ryu and C. Park and D.{-}G. Lee and J. Cho and H. Song and Jin{-}Yup Lee}, title = {Scaling of Memory Performance and Capacity with {CXL} Memory Expander}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--27}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895633}, doi = {10.1109/HCS55958.2022.9895633}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKKSALKKSLRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3/BenoitDHMPPRSV22, author = {Anne Benoit and Yishu Du and Thomas H{\'{e}}rault and Loris Marchal and Guillaume Pallez and Lucas Perotin and Yves Robert and Hongyang Sun and Fr{\'{e}}d{\'{e}}ric Vivien}, title = {Checkpointing {\`{a}} la Young/Daly: An Overview}, booktitle = {Proceedings of the 2022 Fourteenth International Conference on Contemporary Computing, IC3-2022, Noida, India, August 4-6, 2022}, pages = {701--710}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3549206.3549328}, doi = {10.1145/3549206.3549328}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic3/BenoitDHMPPRSV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChoiPLK22, author = {Jong{-}Young Choi and Jiwoong Park and Sung{-}Hwa Lim and Young{-}Bae Ko}, title = {A RSSI-Based Mesh Routing Protocol based {IEEE} 802.11p/WAVE for Smart Pole Networks}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728871}, doi = {10.23919/ICACT53585.2022.9728871}, timestamp = {Fri, 18 Mar 2022 11:45:43 +0100}, biburl = {https://dblp.org/rec/conf/icact/ChoiPLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/NamCK22, author = {Sung{-}Chan Nam and Hong{-}Beom Choi and Young{-}Bae Ko}, title = {On Mitigation of Ranging Errors for Through-the-Body {NLOS} Conditions using Convolutional Neural Networks}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {141--144}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728942}, doi = {10.23919/ICACT53585.2022.9728942}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/NamCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShinBPSB22, author = {Seungmin Shin and Joon Byun and Youngcheol Park and Jongmo Sung and Seungkwon Beack}, title = {Deep Neural Network {(DNN)} Audio Coder Using {A} Perceptually Improved Training Method}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {871--875}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747575}, doi = {10.1109/ICASSP43922.2022.9747575}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShinBPSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/MoonKLKH22, author = {Sunghyun Moon and Youngho Kim and Hyunjong Lee and Donghoon Kim and Doosung Hwang}, title = {Evolved IoT Malware Detection using Opcode Category Sequence through Machine Learning}, booktitle = {31st International Conference on Computer Communications and Networks, {ICCCN} 2022, Honolulu, HI, USA, July 25-28, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCCN54977.2022.9868876}, doi = {10.1109/ICCCN54977.2022.9868876}, timestamp = {Wed, 14 Sep 2022 19:28:51 +0200}, biburl = {https://dblp.org/rec/conf/icccn/MoonKLKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimPCM22, author = {Youngrok Kim and Sungwoong Park and Hee{-}Jin Choi and Sung{-}Wook Min}, title = {Refractive Index Measurement using Self-interference Incoherent Digital Holography}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730776}, doi = {10.1109/ICCE53296.2022.9730776}, timestamp = {Wed, 23 Mar 2022 17:30:12 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimPCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkLLJKCM22, author = {Jae Sung Park and Cheon Lee and Daesung Lim and Seongwoon Jung and Jiman Kim and Junghwa Choi and YoungSu Moon}, title = {Inclusive Convolutional Neural Network Design Enabling Partially Sighted People to Expand Viewing-Experience on Smart Screens}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730586}, doi = {10.1109/ICCE53296.2022.9730586}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkLLJKCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icimth/YoonBADSSNM22, author = {Sunmoo Yoon and Peter Broadwell and Carmela Alc{\'{a}}ntara and Nicole Davis and Frederick F. Sun and Maria D. de Planell Saguer and Joo Young Nho and Mary Mittelman}, editor = {John Mantas and Parisis Gallos and Emmanouil Zoulias and Arie Hasman and Mowafa S. Househ and Marianna Diomidous and Joseph Liaskos and Martha Charalampidou}, title = {Applying Participatory Design Mixed-Methods Approaches to Refine Twitter-Based Social Support Interventions for African Americans and Hispanic Family Caregivers of Persons with Dementia}, booktitle = {Advances in Informatics, Management and Technology in Healthcare, {ICIMTH} 2022, 20th International Conference on Informatics, Management, and Technology in Healthcare, Virtual Event / Athens, Greece, 1-3 July 2022}, series = {Studies in Health Technology and Informatics}, volume = {295}, pages = {324--327}, publisher = {{IOS} Press}, year = {2022}, url = {https://doi.org/10.3233/SHTI220728}, doi = {10.3233/SHTI220728}, timestamp = {Tue, 05 Jul 2022 11:49:03 +0200}, biburl = {https://dblp.org/rec/conf/icimth/YoonBADSSNM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/GaoKK0U22, author = {Mengjin Gao and Young{-}Kyu Kim and Jieun Kim and Dongwon Lee and Sungyong Um}, editor = {Niels Bj{\o}rn{-}Andersen and Roman Beck and Stacie Petter and Tina Blegind Jensen and Tilo B{\"{o}}hmann and Kai{-}Lung Hui and Viswanath Venkatesh}, title = {When Do Firms Add Digital Platforms? Organizational Status as an Enabler to Incumbents' Platformization}, booktitle = {Proceedings of the 43rd International Conference on Information Systems, {ICIS} 2022, Digitization for the Next Generation, Copenhagen, Denmark, December 9-14, 2022}, publisher = {Association for Information Systems}, year = {2022}, url = {https://aisel.aisnet.org/icis2022/entren/entren/2}, timestamp = {Tue, 14 Feb 2023 13:27:48 +0100}, biburl = {https://dblp.org/rec/conf/icis/GaoKK0U22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/OhSYH22, author = {Youngmin Oh and Jinwoo Shin and Eunho Yang and Sung Ju Hwang}, title = {Model-augmented Prioritized Experience Replay}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=WuEiafqdy9H}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/OhSYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChaeHJCCS22, author = {Jongseong Chae and Seungyul Han and Whiyoung Jung and Myungsik Cho and Sungho Choi and Youngchul Sung}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Robust Imitation Learning against Variations in Environment Dynamics}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {2828--2852}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/chae22a.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/ChaeHJCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/JeonKJS22, author = {Jeewon Jeon and Woojun Kim and Whiyoung Jung and Youngchul Sung}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {{MASER:} Multi-Agent Reinforcement Learning with Subgoals Generated from Experience Replay Buffer}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {10041--10052}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/jeon22a.html}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/JeonKJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimSEOY22, author = {Sungnyun Kim and Jaewoo Shin and Seongha Eom and Jihwan Oh and Se{-}Young Yun}, editor = {Peng Xu and Tingting Zhu and Pengkai Zhu and David A. Clifton and Danielle Belgrave and Yuanting Zhang}, title = {Real-time and Explainable Detection of Epidemics with Global News Data}, booktitle = {Proceedings of the 1st Workshop on Healthcare {AI} and COVID-19, 22 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {184}, pages = {73--90}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v184/kim22a.html}, timestamp = {Wed, 09 Aug 2023 17:07:50 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimSEOY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmva/ChoiCLW22, author = {Sung Hyun Choi and Wonje Choi and Youngseok Lee and Honguk Woo}, title = {Iterative Pruning-based Model Compression for Pose Estimation on Resource-constrained Devices}, booktitle = {{ICMVA} 2022: The 5th International Conference on Machine Vision and Applications, Singapore, February 18 - 20, 2022}, pages = {110--115}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3523111.3523128}, doi = {10.1145/3523111.3523128}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmva/ChoiCLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icost/KimYKKSKJJ22, author = {Jae{-}Nam Kim and Ha{-}yeon Yang and Minkyung Kim and Hyun{-}kyung Kim and Sun{-}hwa Shim and Eun{-}joo Kim and Wanho Jang and Sun{-}young Jo}, editor = {Hamdi Aloulou and Bessam Abdulrazak and Antoine de Marass{\'{e}}{-}Enouf and Mounir Mokhtari}, title = {An Exploratory Study on Development Smart Cradle for Women with Spinal Cord Injury: Focus Group Interview}, booktitle = {Participative Urban Health and Healthy Aging in the Age of {AI} - 19th International Conference, {ICOST} 2022, Paris, France, June 27-30, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13287}, pages = {211--216}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-09593-1\_16}, doi = {10.1007/978-3-031-09593-1\_16}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icost/KimYKKSKJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KwonSY22, author = {Youngsun Kwon and Minhyuk Sung and Sung{-}Eui Yoon}, title = {Implicit LiDAR Network: LiDAR Super-Resolution via Interpolation Weight Prediction}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {8424--8430}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811992}, doi = {10.1109/ICRA46639.2022.9811992}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KwonSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsm/KimKHJKL22, author = {Misoo Kim and Youngkyoung Kim and Jinseok Heo and Hohyeon Jeong and Sungoh Kim and Eunseok Lee}, title = {Impact of Defect Instances for Successful Deep Learning-based Automatic Program Repair}, booktitle = {{IEEE} International Conference on Software Maintenance and Evolution, {ICSME} 2022, Limassol, Cyprus, October 3-7, 2022}, pages = {419--423}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSME55016.2022.00051}, doi = {10.1109/ICSME55016.2022.00051}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsm/KimKHJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/EomLSWP22, author = {Boyun Eom and Sunhwan Lim and Young{-}Ho Suh and Sungpil Woo and Chanwon Park}, title = {Virtualized Infrastructure as an Incentive on AI-Data Commons}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1976--1978}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952745}, doi = {10.1109/ICTC55196.2022.9952745}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/EomLSWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeS22, author = {Sunghwa Lee and Younghoon Shin}, title = {Movement Detection of Tongue and Related Body Parts Using {IR-UWB} Radar}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1487--1491}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952644}, doi = {10.1109/ICTC55196.2022.9952644}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ShinSGC22, author = {Sunae Shin and Sejun Song and Manasa Leela Gummadavelly and Baek{-}Young Choi}, title = {SdBaSE: Software-defined Base Station for Energy-efficient Federated Cellular Networks}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {962--964}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952892}, doi = {10.1109/ICTC55196.2022.9952892}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ShinSGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimKNHKKCGSLK22, author = {Goo{-}Young Kim and Donghun Kim and Sang Do Noh and Hong Ku Han and Nam Geun Kim and Yong{-}Shin Kang and Seung Hyun Choi and Dong Hyun Go and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Duck Young Kim and Gregor von Cieminski and David Romero}, title = {Human Digital Twin System for Operator Safety and Work Management}, booktitle = {Advances in Production Management Systems. Smart Manufacturing and Logistics Systems: Turning Ideas into Action - {IFIP} {WG} 5.7 International Conference, {APMS} 2022, Gyeongju, South Korea, September 25-29, 2022, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {664}, pages = {529--536}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16411-8\_61}, doi = {10.1007/978-3-031-16411-8\_61}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimKNHKKCGSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/ParkLKSLJKLRKKL22, author = {Sangsu Park and Gyonhui Lee and Youngjae Kwon and Dong Ik Suh and Hanwool Lee and Sangeun Je and Dabin Kim and Dohan Lee and Seungwook Ryu and Seungbum Kim and Euiseok Kim and Sunghoon Lee and Kyoung Park and Seho Lee and Myung{-}Hee Na and Seonyong Cha}, title = {Recognition Accuracy Enhancement using Interface Control with Weight Variation-Lowering in Analog Computation-in-Memory}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779296}, doi = {10.1109/IMW52921.2022.9779296}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/ParkLKSLJKLRKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/AhnLS22, author = {Youngdo Ahn and Sung Joo Lee and Jong Won Shin}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Multi-Corpus Speech Emotion Recognition for Unseen Corpus Using Corpus-Wise Weights in Classification Loss}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {131--135}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-111}, doi = {10.21437/INTERSPEECH.2022-111}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/AhnLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/BaeJ22, author = {Hanbin Bae and Young{-}Sun Joo}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Enhancement of Pitch Controllability using Timbre-Preserving Pitch Augmentation in FastPitch}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {6--10}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-55}, doi = {10.21437/INTERSPEECH.2022-55}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/BaeJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/BaeYBJ22, author = {Jae{-}Sung Bae and Jinhyeok Yang and Taejun Bak and Young{-}Sun Joo}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Hierarchical and Multi-Scale Variational Autoencoder for Diverse and Natural Non-Autoregressive Text-to-Speech}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {813--817}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-737}, doi = {10.21437/INTERSPEECH.2022-737}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/BaeYBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ByunSSBP22, author = {Joon Byun and Seungmin Shin and Jongmo Sung and Seungkwon Beack and Youngcheol Park}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Optimization of Deep Neural Network {(DNN)} Speech Coder Using a Multi Time Scale Perceptual Loss Function}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {4411--4415}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-955}, doi = {10.21437/INTERSPEECH.2022-955}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ByunSSBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HwangPP22, author = {Seorim Hwang and Youngcheol Park and Sungwook Park}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Monoaural Speech Enhancement Using a Nested U-Net with Two-Level Skip Connections}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {191--195}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-10025}, doi = {10.21437/INTERSPEECH.2022-10025}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/HwangPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKSJYPKKCKPS22, author = {Hyeokjae Lee and Sanggi Ko and Ho{-}Joon Suh and Gina Jeong and Jung{-}Han Yeo and Hye{-}Min Park and Hee{-}Kyeong Kim and Jong{-}Kwan Kim and Sung S. Chung and Youngboo Kim and Jisun Park and Hyungsoon Shin}, title = {Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound {HBT} for RF, Mobile, and Automotive Applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764410}, doi = {10.1109/IRPS48227.2022.9764410}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKSJYPKKCKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/NamCHDJPLJKKSSC22, author = {Bu{-}Il Nam and Young{-}Ha Choi and Sungki Hong and Ki{-}Young Dong and Wontaeck Jung and Sang{-}Won Park and Soon{-}Yong Lee and Dooyeun Jung and Byoung{-}Hee Kim and Eun{-}Kyoung Kim and Ki{-}Whan Song and Jai Hyuk Song and Woo Young Choi}, title = {Novel Electrical Detection Method for Random Defects on Peripheral Circuits in {NAND} Flash Memory}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {40--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764437}, doi = {10.1109/IRPS48227.2022.9764437}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/NamCHDJPLJKKSSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/KimYCL22, author = {Hanvit Kim and Si Young Yie and Se Young Chun and Jae Sung Lee}, title = {Purecomb: Poisson Unbiased Risk Estimator Based Ensemble of Self-Supervised Deep Denoisers For Clinical Bone Scan Image}, booktitle = {19th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2022, Kolkata, India, March 28-31, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISBI52829.2022.9761632}, doi = {10.1109/ISBI52829.2022.9761632}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/KimYCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKLS22, author = {Useok Lee and Ho Keun Kim and Young Jun Lim and Myung Hoon Sunwoo}, title = {Resource-Efficient {FPGA} Implementation of Advanced Encryption Standard}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1165--1169}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937497}, doi = {10.1109/ISCAS48785.2022.9937497}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhuBLCIL22, author = {Lingjun Zhu and Nesara Eranna Bethur and Yi{-}Chen Lu and Youngsang Cho and Yunhyeok Im and Sung Kyu Lim}, editor = {Hai Helen Li and Charles Augustine and Ayse Kivilcim Coskun and Swaroop Ghosh}, title = {3D {IC} Tier Partitioning of Memory Macros: {PPA} vs. Thermal Tradeoffs}, booktitle = {{ISLPED} '22: {ACM/IEEE} International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1 - 3, 2022}, pages = {19:1--19:6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3531437.3539724}, doi = {10.1145/3531437.3539724}, timestamp = {Mon, 15 Aug 2022 14:55:22 +0200}, biburl = {https://dblp.org/rec/conf/islped/ZhuBLCIL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimJMK22, author = {Sunghoon Kim and Seokjun Jang and Youngki Moon and Sungho Kang}, title = {Pair-Grouping Scan Chain Architecture for Multiple Scan Cell Fault Diagnosis}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {25--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031415}, doi = {10.1109/ISOCC56007.2022.10031415}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimJMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LimKPS22, author = {Young Jun Lim and Do Young Kim and Joon Hyeon Park and Myung Hoon Sunwoo}, title = {DNN-based Cancer Recurrence Predictor using {FPGA}}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {362--363}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031602}, doi = {10.1109/ISOCC56007.2022.10031602}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LimKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhPCCPLBLAH22, author = {Young{-}Ju Oh and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Su{-}Min Park and Chan{-}Ho Lee and Esun Baik and Chan{-}Kyu Lee and Ho{-}Chan Ahn and Sung{-}Wan Hong}, title = {A High Slew-rate Wide-range Capacitive Load Driving Buffer Amplifier with Correlated Dual Positive Feedback Loops}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {231--232}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031525}, doi = {10.1109/ISOCC56007.2022.10031525}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/OhPCCPLBLAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BangKLJCPJKNBHL22, author = {Jun{-}Suk Bang and Dong{-}Su Kim and Jeongkwang Lee and Sung{-}Youb Jung and Young{-}Hwan Choo and Seungchan Park and Young{-}Ho Jung and Jae{-}Young Ko and Takahiro Norniyama and Jongbeom Baek and Jae{-}Yeol Han and Sang{-}Han Lee and Ik{-}Hwan Kim and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {2-Tx Digital Envelope-Tracking Supply Modulator Achieving 200MHz Channel Bandwidth and 93.6{\%} Efficiency for 2G/3G/LTE/NR {RF} Power Amplifiers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731655}, doi = {10.1109/ISSCC42614.2022.9731655}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BangKLJCPJKNBHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {504--506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731106}, doi = {10.1109/ISSCC42614.2022.9731106}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangJCSLKKKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKLJCYABC22, author = {Changuk Lee and Byeongseol Kim and Jejung Kim and Sangwon Lee and Taejune Jeon and Woojun Choi and Sunggu Yang and Jong{-}Hyun Ahn and Joonsung Bae and Youngcheol Chae}, title = {A Miniaturized Wireless Neural Implant with Body-Coupled Data Transmission and Power Delivery for Freely Behaving Animals}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731733}, doi = {10.1109/ISSCC42614.2022.9731733}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKLJCYABC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SungSKLJJKYJLBP22, author = {Barosaim Sung and Hyun{-}Gi Seok and Jaekwon Kim and Jaehoon Lee and Taejin Jang and Ilhoon Jang and Youngmin Kim and Anna Yu and Jong{-}Hyun Jang and Jiyoung Lee and Jeongyeol Bae and Euiyoung Park and Sung{-}Jun Lee and Seokwon Lee and Joohan Kim and Beomkon Kim and Yong Lim and Seunghyun Oh and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A Single-Path Digital-IF Receiver Supporting Inter/Intra 5-CA with a Single Integer {LO-PLL} in 14nm {CMOS} FinFET}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {440--442}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731796}, doi = {10.1109/ISSCC42614.2022.9731796}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SungSKLJJKYJLBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/PurushothamHSS022, author = {Sanjay Purushotham and Jun Huan and Cong Shen and Dongjin Song and Yuyang Wang and Jan Gasthaus and Hilaf Hasson and Youngsuk Park and Sungyong Seo and Yuriy Nevmyvaka}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {8th {SIGKDD} International Workshop on Mining and Learning from Time Series - Deep Forecasting: Models, Interpretability, and Applications}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {4896--4897}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3542889}, doi = {10.1145/3534678.3542889}, timestamp = {Mon, 28 Aug 2023 21:17:29 +0200}, biburl = {https://dblp.org/rec/conf/kdd/PurushothamHSS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kolicalling/SanusiJOMM22, author = {Ismaila Temitayo Sanusi and Ilkka Jormanainen and Solomon Sunday Oyelere and Vaishali Mahipal and Fred G. Martin}, editor = {Ilkka Jormanainen and Andrew Petersen}, title = {Promoting Machine Learning Concept to Young Learners in a National Science Fair}, booktitle = {Koli Calling '22: 22nd Koli Calling International Conference on Computing Education Research, Koli 2022, Koli, Finland, November 17-20, 2022}, pages = {35:1--35:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3564721.3565961}, doi = {10.1145/3564721.3565961}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kolicalling/SanusiJOMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KimLJKJC22, author = {Wonjin Kim and Wonkyeong Lee and Sun{-}Young Jeon and Nayeon Kang and Geonhui Jo and Jang{-}Hwan Choi}, editor = {Nandinee Fariah Haq and Patricia Johnson and Andreas Maier and Chen Qin and Tobias W{\"{u}}rfl and Jaejun Yoo}, title = {Deep Denoising Network for X-Ray Fluoroscopic Image Sequences of Moving Objects}, booktitle = {Machine Learning for Medical Image Reconstruction - 5th International Workshop, {MLMIR} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 22, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13587}, pages = {95--104}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17247-2\_10}, doi = {10.1007/978-3-031-17247-2\_10}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/KimLJKJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HongMKLKLK22, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {616--630}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00051}, doi = {10.1109/MICRO56248.2022.00051}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/HongMKLKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/LeeSKHJ22, author = {Sunmi Lee and Sookkyung Sung and Seo Young Kang and Sujin Ha and Eunjoo Jeon}, editor = {Brigitte S{\'{e}}roussi and Patrick Weber and Ferdinand Dhombres and Cyril Grouin and Jan{-}David Liebe and Sylvia Pelayo and Andrea Pinna and Bastien Rance and Lucia Sacchi and Adrien Ugon and Arriel Benis and Parisis Gallos}, title = {Topic Modeling for International Patients' Consultations Using Natural Language Processing}, booktitle = {Challenges of Trustable {AI} and Added-Value on Health - Proceedings of {MIE} 2022, Medical Informatics Europe, Nice, France, May 27-30, 2022}, series = {Studies in Health Technology and Informatics}, volume = {294}, pages = {864--865}, publisher = {{IOS} Press}, year = {2022}, url = {https://doi.org/10.3233/SHTI220608}, doi = {10.3233/SHTI220608}, timestamp = {Wed, 29 Jun 2022 16:46:42 +0200}, biburl = {https://dblp.org/rec/conf/mie/LeeSKHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LeeKKLKSKOS22, author = {Sunjae Lee and Hoyoung Kim and Sijung Kim and Sangwook Lee and Hyosu Kim and Jean Young Song and Steven Y. Ko and Sangeun Oh and Insik Shin}, title = {A-mash: providing single-app illusion for multi-app use through user-centric {UI} mashup}, booktitle = {{ACM} MobiCom '22: The 28th Annual International Conference on Mobile Computing and Networking, Sydney, NSW, Australia, October 17 - 21, 2022}, pages = {690--702}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3495243.3560522}, doi = {10.1145/3495243.3560522}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/LeeKKLKSKOS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeCPKL22, author = {Taeckyung Lee and Hye{-}Young Chung and Sooyoung Park and Dongwhi Kim and Sung{-}Ju Lee}, editor = {Nirupama Bulusu and Ehsan Aryafar and Aruna Balasubramanian and Junehwa Song}, title = {Real-time attention state visualization of online classes}, booktitle = {MobiSys '22: The 20th Annual International Conference on Mobile Systems, Applications and Services, Portland, Oregon, 27 June 2022 - 1 July 2022}, pages = {603--604}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3498361.3538655}, doi = {10.1145/3498361.3538655}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/LeeCPKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/JungCPS22, author = {Whiyoung Jung and Myungsik Cho and Jongeui Park and Youngchul Sung}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Quantile Constrained Reinforcement Learning: {A} Reinforcement Learning Framework Constraining Outage Probability}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/2a07348a6a7b2c208ab5cb1ee0e78ab5-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/JungCPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeCKC22, author = {Dong Hoon Lee and Sungik Choi and Hyunwoo J. Kim and Sae{-}Young Chung}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Unsupervised Visual Representation Learning via Mutual Information Regularized Assignment}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/bedc61a9936af18cb51b7c5e8f3b89a3-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LeeCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/OhKHKSY22, author = {Jaehoon Oh and Sungnyun Kim and Namgyu Ho and Jin{-}Hwa Kim and Hwanjun Song and Se{-}Young Yun}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Understanding Cross-Domain Few-Shot Learning Based on Domain Similarity and Few-Shot Difficulty}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/11b3ae28275461741026c46c0c786711-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/OhKHKSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/JangWCS22, author = {Youngsun Jang and Kwanghee Won and Hyung{-}Do Choi and Sung Shin}, editor = {Peng Li and Junyoung Heo and Tom{\'{a}}s Cern{\'{y}}}, title = {Deep learning models for multiple answers extraction and classification of scientific publications}, booktitle = {Proceedings of the Conference on Research in Adaptive and Convergent Systems, {RACS} 2022, Virtual Event, Japan, October 3-6, 2022}, pages = {185--190}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3538641.3561508}, doi = {10.1145/3538641.3561508}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/JangWCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/KangCKLLLSC22, author = {Woo{-}Sung Kang and Siwoo Chung and Jeremy Yuhyun Kim and Youngmoon Lee and Kilho Lee and Jinkyu Lee and Kang G. Shin and Hoon Sung Chwa}, title = {{DNN-SAM:} Split-and-Merge {DNN} Execution for Real-Time Object Detection}, booktitle = {28th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2022, Milano, Italy, May 4-6, 2022}, pages = {160--172}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RTAS54340.2022.00021}, doi = {10.1109/RTAS54340.2022.00021}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtas/KangCKLLLSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ShimKLKL22, author = {Youngbo Aram Shim and Taejun Kim and Sangyoon Lee and Sunbum Kim and Geehyuk Lee}, editor = {Soon Ki Jung and Hye Yeon Nam and Daniel Saakes}, title = {QuadStretch: {A} Forearm-wearable Skin Stretch Display for Immersive {VR} Experience}, booktitle = {{SIGGRAPH} Asia 2022 Emerging Technologies, Daegu, Republic of Korea, December 6-9, 2022}, pages = {7:1--7:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3550471.3564761}, doi = {10.1145/3550471.3564761}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ShimKLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigsoft/KimKJHKCL22, author = {Misoo Kim and Youngkyoung Kim and Hohyeon Jeong and Jinseok Heo and Sungoh Kim and Hyunhee Chung and Eunseok Lee}, editor = {Abhik Roychoudhury and Cristian Cadar and Miryung Kim}, title = {An empirical study of deep transfer learning-based program repair for Kotlin projects}, booktitle = {Proceedings of the 30th {ACM} Joint European Software Engineering Conference and Symposium on the Foundations of Software Engineering, {ESEC/FSE} 2022, Singapore, Singapore, November 14-18, 2022}, pages = {1441--1452}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3540250.3558967}, doi = {10.1145/3540250.3558967}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigsoft/KimKJHKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tagml/ParkCJCW22, author = {Sun Woo Park and Yun Young Choi and Dosang Joe and U Jin Choi and Youngho Woo}, editor = {Alexander Cloninger and Timothy Doster and Tegan Emerson and Manohar Kaul and Ira Ktena and Henry Kvinge and Nina Miolane and Bastian Rice and Sarah Tymochko and Guy Wolf}, title = {The {PWLR} graph Representation: {A} Persistent Weisfeiler-Lehman Scheme with Random Walks for Graph Classification}, booktitle = {Topological, Algebraic and Geometric Learning Workshops 2022, 25-22 July 2022, Virtual}, series = {Proceedings of Machine Learning Research}, volume = {196}, pages = {287--297}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v196/park22a.html}, timestamp = {Tue, 05 Sep 2023 17:08:45 +0200}, biburl = {https://dblp.org/rec/conf/tagml/ParkCJCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkPLPKHKNYC22, author = {In{-}Gyu Park and Jihyun Park and Hyojun Lee and Jeong{-}Woo Park and Hyogon Kim and Jeong{-}Hwan Hwang and Jong{-}Chan Kim and Kyoungseok Noh and Sung{-}Jo Yun and Young{-}Ho Choi}, title = {Estimation of Cylinders parameters from point clouds using Least Square Best Fit Method}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {200--205}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826270}, doi = {10.1109/UR55393.2022.9826270}, timestamp = {Tue, 19 Jul 2022 18:11:02 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkPLPKHKNYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ChoiLKPKH22, author = {Seungbeom Choi and Sunho Lee and Yeonjae Kim and Jongse Park and Youngjin Kwon and Jaehyuk Huh}, editor = {Jiri Schindler and Noa Zilberman}, title = {Serving Heterogeneous Machine Learning Models on Multi-GPU Servers with Spatio-Temporal Sharing}, booktitle = {Proceedings of the 2022 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2022, Carlsbad, CA, USA, July 11-13, 2022}, pages = {199--216}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/atc22/presentation/choi-seungbeom}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ChoiLKPKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/KimKHSLL22, author = {Sunwoo Kim and Young Min Kim and Jaewon Hur and Suhwan Song and Gwangmu Lee and Byoungyoung Lee}, editor = {Kevin R. B. Butler and Kurt Thomas}, title = {FuzzOrigin: Detecting {UXSS} vulnerabilities in Browsers through Origin Fuzzing}, booktitle = {31st {USENIX} Security Symposium, {USENIX} Security 2022, Boston, MA, USA, August 10-12, 2022}, pages = {1008--1023}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/usenixsecurity22/presentation/kim}, timestamp = {Tue, 18 Oct 2022 17:10:36 +0200}, biburl = {https://dblp.org/rec/conf/uss/KimKHSLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KooDNSLOHLCCS22, author = {Byeongwoo Koo and Sunghan Do and Sang{-}Pil Nam and Heewook Shin and Sungno Lee and Eunhye Oh and Jaemin Hong and Jung{-}Ho Lee and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 8GS/s {RF} Sampling {DAC} with Code-Dependent Nonlinearity Compensation and Intersegmental Current-Mismatch Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {86--87}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830442}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830442}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KooDNSLOHLCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePPKDLLSOCCS22, author = {Kyung{-}Hoon Lee and Jinwoo Park and Younghyo Park and Byeongwoo Koo and Sunghan Do and Woongtaek Lim and Sungno Lee and Hyochul Shin and Eunhye Oh and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {An Automotive {ASIL-D} Safety Mechanism in {ADC} and {DAC} for Communication Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {142--143}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830347}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830347}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeePPKDLLSOCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/MoonOPLPLHSCCS22, author = {Kyoung{-}Jun Moon and Dong{-}Ryeol Oh and Young{-}Hyo Park and Kyung{-}Hoon Lee and Sun{-}Jae Park and Sung{-}No Lee and Hee{-}Chang Hwang and Hyo{-}Chul Shin and Young{-}Jae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 10GS/s 16-Channel Time-Interleaved {ADC} with a Digital Processing Timing-Skew Background Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {172--173}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830208}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830208}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/MoonOPLPLHSCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/KimSL22, author = {Sunbum Kim and Youngbo Aram Shim and Geehyuk Lee}, editor = {Takafumi Koike and Naoya Koizumi and Gerd Bruder and Daniel Roth and Kazuki Takashima and Takefumi Hiraki and Yuki Ban and Michal Piovarci}, title = {Exploration of Form Factor and Bimanual 3D Manipulation Performance of Rollable In-hand {VR} Controller}, booktitle = {28th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2022, Tsukuba, Japan, 29 November 2022- 1 December 2022}, pages = {15:1--15:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3562939.3565625}, doi = {10.1145/3562939.3565625}, timestamp = {Thu, 07 Dec 2023 20:49:10 +0100}, biburl = {https://dblp.org/rec/conf/vrst/KimSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/JungKL22, author = {June{-}Pyo Jung and Young{-}Bae Ko and Sung{-}Hwa Lim}, title = {Resource Efficient Cluster-Based Federated Learning for {D2D} Communications}, booktitle = {95th {IEEE} Vehicular Technology Conference, {VTC} Spring 2022, Helsinki, Finland, June 19-22, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Spring54318.2022.9860657}, doi = {10.1109/VTC2022-SPRING54318.2022.9860657}, timestamp = {Wed, 25 Jan 2023 10:28:23 +0100}, biburl = {https://dblp.org/rec/conf/vtc/JungKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/LeeK022, author = {Eun Sun Lee and Junho Kim and Young Min Kim}, title = {Self-Supervised Domain Adaptation for Visual Navigation with Global Map Consistency}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2022, Waikoloa, HI, USA, January 3-8, 2022}, pages = {1868--1877}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/WACV51458.2022.00193}, doi = {10.1109/WACV51458.2022.00193}, timestamp = {Thu, 17 Feb 2022 14:50:06 +0100}, biburl = {https://dblp.org/rec/conf/wacv/LeeK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LeeCLPP22, author = {Wonsung Lee and Jaeyoon Chun and Youngmin Lee and Kyoungsoo Park and Sungrae Park}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Contrastive Learning for Knowledge Tracing}, booktitle = {{WWW} '22: The {ACM} Web Conference 2022, Virtual Event, Lyon, France, April 25 - 29, 2022}, pages = {2330--2338}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3485447.3512105}, doi = {10.1145/3485447.3512105}, timestamp = {Thu, 23 Jun 2022 19:54:34 +0200}, biburl = {https://dblp.org/rec/conf/www/LeeCLPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigcomp/2022, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022}, doi = {10.1109/BIGCOMP54360.2022}, isbn = {978-1-6654-2197-3}, timestamp = {Sat, 19 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/coling/2022, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/volumes/2022.coling-1/}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mobisec2/2021, editor = {Ilsun You and Hwankuk Kim and Taek{-}Young Youn and Francesco Palmieri and Igor V. Kotenko}, title = {Mobile Internet Security - 5th International Symposium, MobiSec 2021, Jeju Island, South Korea, October 7-9, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1544}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-16-9576-6}, doi = {10.1007/978-981-16-9576-6}, isbn = {978-981-16-9575-9}, timestamp = {Tue, 25 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisec2/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/LeeLLKLPJKSLMKC22, author = {Yoon Haeng Lee and Young Hun Lee and Hyunyong Lee and Hansol Kang and Jun Hyuk Lee and Luong Tin Phan and Sung Moon Jin and Yong Bum Kim and Dong{-}Yeop Seok and Seung Yeon Lee and Hyungpil Moon and Ja Choon Koo and Hyouk Ryeol Choi}, title = {Supplement media for Development of {A} Quadruped Robot System with Torque-controllable Modular Actuator Unit}, publisher = {{IEEE} DataPort}, year = {2022}, month = may, howpublished = {\url{https://doi.org/10.21227/qnrf-a965}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/qnrf-a965}, doi = {10.21227/QNRF-A965}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/LeeLLKLPJKSLMKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-01339, author = {Jaehoon Oh and Sungnyun Kim and Namgyu Ho and Jin{-}Hwa Kim and Hwanjun Song and Se{-}Young Yun}, title = {Understanding Cross-Domain Few-Shot Learning: An Experimental Study}, journal = {CoRR}, volume = {abs/2202.01339}, year = {2022}, url = {https://arxiv.org/abs/2202.01339}, eprinttype = {arXiv}, eprint = {2202.01339}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-01339.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-06498, author = {Jun Seo and Young{-}Hyun Park and Sung Whan Yoon and Jaekyun Moon}, title = {Task-Adaptive Feature Transformer with Semantic Enrichment for Few-Shot Segmentation}, journal = {CoRR}, volume = {abs/2202.06498}, year = {2022}, url = {https://arxiv.org/abs/2202.06498}, eprinttype = {arXiv}, eprint = {2202.06498}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-06498.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-06413, author = {Youngsun Kwon and Minhyuk Sung and Sung{-}Eui Yoon}, title = {Implicit LiDAR Network: LiDAR Super-Resolution via Interpolation Weight Prediction}, journal = {CoRR}, volume = {abs/2203.06413}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.06413}, doi = {10.48550/ARXIV.2203.06413}, eprinttype = {arXiv}, eprint = {2203.06413}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-06413.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-00056, author = {Mario Krenn and Qianxiang Ai and Senja Barthel and Nessa Carson and Angelo Frei and Nathan C. Frey and Pascal Friederich and Th{\'{e}}ophile Gaudin and Alberto Alexander Gayle and Kevin Maik Jablonka and Rafael F. Lameiro and Dominik Lemm and Alston Lo and Seyed Mohamad Moosavi and Jos{\'{e}} Manuel N{\'{a}}poles{-}Duarte and AkshatKumar Nigam and Robert Pollice and Kohulan Rajan and Ulrich Schatzschneider and Philippe Schwaller and Marta Skreta and Berend Smit and Felix Strieth{-}Kalthoff and Chong Sun and Gary Tom and Guido Falk von Rudorff and Andrew Wang and Andrew D. White and Adamo Young and Rose Yu and Al{\'{a}}n Aspuru{-}Guzik}, title = {{SELFIES} and the future of molecular string representations}, journal = {CoRR}, volume = {abs/2204.00056}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.00056}, doi = {10.48550/ARXIV.2204.00056}, eprinttype = {arXiv}, eprint = {2204.00056}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-00056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-00227, author = {Jooyoung Choi and Jungbeom Lee and Chaehun Shin and Sungwon Kim and Hyunwoo Kim and Sungroh Yoon}, title = {Perception Prioritized Training of Diffusion Models}, journal = {CoRR}, volume = {abs/2204.00227}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.00227}, doi = {10.48550/ARXIV.2204.00227}, eprinttype = {arXiv}, eprint = {2204.00227}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-00227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04004, author = {Jae{-}Sung Bae and Jinhyeok Yang and Taejun Bak and Young{-}Sun Joo}, title = {Hierarchical and Multi-Scale Variational Autoencoder for Diverse and Natural Non-Autoregressive Text-to-Speech}, journal = {CoRR}, volume = {abs/2204.04004}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04004}, doi = {10.48550/ARXIV.2204.04004}, eprinttype = {arXiv}, eprint = {2204.04004}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05499, author = {Sunoh Kim and Kimin Yun and Jin Young Choi}, title = {Position-aware Location Regression Network for Temporal Video Grounding}, journal = {CoRR}, volume = {abs/2204.05499}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05499}, doi = {10.48550/ARXIV.2204.05499}, eprinttype = {arXiv}, eprint = {2204.05499}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05499.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-05753, author = {Hanbin Bae and Young{-}Sun Joo}, title = {Enhancement of Pitch Controllability using Timbre-Preserving Pitch Augmentation in FastPitch}, journal = {CoRR}, volume = {abs/2204.05753}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.05753}, doi = {10.48550/ARXIV.2204.05753}, eprinttype = {arXiv}, eprint = {2204.05753}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-05753.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-06113, author = {Ke He and Dan Dongseong Kim and Jing Sun and Jeong Do Yoo and Young Hun Lee and Huy Kang Kim}, title = {Liuer Mihou: {A} Practical Framework for Generating and Evaluating Grey-box Adversarial Attacks against {NIDS}}, journal = {CoRR}, volume = {abs/2204.06113}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.06113}, doi = {10.48550/ARXIV.2204.06113}, eprinttype = {arXiv}, eprint = {2204.06113}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-06113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-11665, author = {Kyeongtak Han and Youngeun Kim and Dongyoon Han and Sungeun Hong}, title = {Loss-based Sequential Learning for Active Domain Adaptation}, journal = {CoRR}, volume = {abs/2204.11665}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.11665}, doi = {10.48550/ARXIV.2204.11665}, eprinttype = {arXiv}, eprint = {2204.11665}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-11665.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-05282, author = {Jaehoon Oh and Sungnyun Kim and Namgyu Ho and Jin{-}Hwa Kim and Hwanjun Song and Se{-}Young Yun}, title = {ReFine: Re-randomization before Fine-tuning for Cross-domain Few-shot Learning}, journal = {CoRR}, volume = {abs/2205.05282}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.05282}, doi = {10.48550/ARXIV.2205.05282}, eprinttype = {arXiv}, eprint = {2205.05282}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-05282.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07874, author = {Yujin Kim and Jaehoon Oh and Sungnyun Kim and Se{-}Young Yun}, title = {Revisiting the Updates of a Pre-trained Model for Few-shot Learning}, journal = {CoRR}, volume = {abs/2205.07874}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07874}, doi = {10.48550/ARXIV.2205.07874}, eprinttype = {arXiv}, eprint = {2205.07874}, timestamp = {Mon, 23 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07874.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-11315, author = {Younghoon Jeong and Juhyun Oh and Jaimeen Ahn and Jongwon Lee and Jihyung Moon and Sungjoon Park and Alice Oh}, title = {{KOLD:} Korean Offensive Language Dataset}, journal = {CoRR}, volume = {abs/2205.11315}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.11315}, doi = {10.48550/ARXIV.2205.11315}, eprinttype = {arXiv}, eprint = {2205.11315}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-11315.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12630, author = {Youngjae Yu and Jiwan Chung and Heeseung Yun and Jack Hessel and Jae Sung Park and Ximing Lu and Prithviraj Ammanabrolu and Rowan Zellers and Ronan Le Bras and Gunhee Kim and Yejin Choi}, title = {Multimodal Knowledge Alignment with Reinforcement Learning}, journal = {CoRR}, volume = {abs/2205.12630}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12630}, doi = {10.48550/ARXIV.2205.12630}, eprinttype = {arXiv}, eprint = {2205.12630}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12630.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-15503, author = {Young{-}Ho Kim and Sungdong Kim and Minsuk Chang and Sang{-}Woo Lee}, title = {Leveraging Pre-Trained Language Models to Streamline Natural Language Interaction for Self-Tracking}, journal = {CoRR}, volume = {abs/2205.15503}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.15503}, doi = {10.48550/ARXIV.2205.15503}, eprinttype = {arXiv}, eprint = {2205.15503}, timestamp = {Wed, 01 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-15503.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-15543, author = {Ripon Kumar Saha and A. M. Mahmud Chowdhury and Kyung{-}Sun Na and Gyu Deok Hwang and Youngsub Eom and Jaeyoung Kim and Hae{-}Gon Jeon and Ho Sik Hwang and Euiheon Chung}, title = {AI-based automated Meibomian gland segmentation, classification and reflection correction in infrared Meibography}, journal = {CoRR}, volume = {abs/2205.15543}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.15543}, doi = {10.48550/ARXIV.2205.15543}, eprinttype = {arXiv}, eprint = {2205.15543}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-15543.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03060, author = {Jung{-}Hoon Kim and Sungyeob Yoo and Seungjae Moon and Joo{-}Young Kim}, title = {Exploration of Systolic-Vector Architecture with Resource Scheduling for Dynamic {ML} Workloads}, journal = {CoRR}, volume = {abs/2206.03060}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03060}, doi = {10.48550/ARXIV.2206.03060}, eprinttype = {arXiv}, eprint = {2206.03060}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03060.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-05579, author = {Marek Chrobak and Samuel Haney and Mehraneh Liaee and Debmalya Panigrahi and Rajmohan Rajaraman and Ravi Sundaram and Neal E. Young}, title = {Online Paging with Heterogeneous Cache Slots}, journal = {CoRR}, volume = {abs/2206.05579}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.05579}, doi = {10.48550/ARXIV.2206.05579}, eprinttype = {arXiv}, eprint = {2206.05579}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-05579.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-05787, author = {Kyurae Kim and Youngjae Kim and Sungyong Park}, title = {A Probabilistic Machine Learning Approach to Scheduling Parallel Loops with Bayesian Optimization}, journal = {CoRR}, volume = {abs/2206.05787}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.05787}, doi = {10.48550/ARXIV.2206.05787}, eprinttype = {arXiv}, eprint = {2206.05787}, timestamp = {Mon, 20 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-05787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-09314, author = {Jongseong Chae and Seungyul Han and Whiyoung Jung and Myungsik Cho and Sungho Choi and Youngchul Sung}, title = {Robust Imitation Learning against Variations in Environment Dynamics}, journal = {CoRR}, volume = {abs/2206.09314}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.09314}, doi = {10.48550/ARXIV.2206.09314}, eprinttype = {arXiv}, eprint = {2206.09314}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-09314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-10607, author = {Jeewon Jeon and Woojun Kim and Whiyoung Jung and Youngchul Sung}, title = {{MASER:} Multi-Agent Reinforcement Learning with Subgoals Generated from Experience Replay Buffer}, journal = {CoRR}, volume = {abs/2206.10607}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.10607}, doi = {10.48550/ARXIV.2206.10607}, eprinttype = {arXiv}, eprint = {2206.10607}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-10607.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13404, author = {Taejun Bak and Junmo Lee and Hanbin Bae and Jinhyeok Yang and Jae{-}Sung Bae and Young{-}Sun Joo}, title = {Avocodo: Generative Adversarial Network for Artifact-free Vocoder}, journal = {CoRR}, volume = {abs/2206.13404}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13404}, doi = {10.48550/ARXIV.2206.13404}, eprinttype = {arXiv}, eprint = {2206.13404}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13404.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04672, author = {Marta R. Costa{-}juss{\`{a}} and James Cross and Onur {\c{C}}elebi and Maha Elbayad and Kenneth Heafield and Kevin Heffernan and Elahe Kalbassi and Janice Lam and Daniel Licht and Jean Maillard and Anna Y. Sun and Skyler Wang and Guillaume Wenzek and Al Youngblood and Bapi Akula and Lo{\"{\i}}c Barrault and Gabriel Mejia Gonzalez and Prangthip Hansanti and John Hoffman and Semarley Jarrett and Kaushik Ram Sadagopan and Dirk Rowe and Shannon Spruit and Chau Tran and Pierre Andrews and Necip Fazil Ayan and Shruti Bhosale and Sergey Edunov and Angela Fan and Cynthia Gao and Vedanuj Goswami and Francisco Guzm{\'{a}}n and Philipp Koehn and Alexandre Mourachko and Christophe Ropers and Safiyyah Saleem and Holger Schwenk and Jeff Wang}, title = {No Language Left Behind: Scaling Human-Centered Machine Translation}, journal = {CoRR}, volume = {abs/2207.04672}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04672}, doi = {10.48550/ARXIV.2207.04672}, eprinttype = {arXiv}, eprint = {2207.04672}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-00323, author = {Jae{-}Won Choi and Dae{-}Yong Hong and Chan Jung and Eugene Hwang and Sung{-}Hyuk Park and Seung{-}Young Roh}, title = {A Multi-View Learning Approach to Enhance Automatic 12-Lead {ECG} Diagnosis Performance}, journal = {CoRR}, volume = {abs/2208.00323}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.00323}, doi = {10.48550/ARXIV.2208.00323}, eprinttype = {arXiv}, eprint = {2208.00323}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-00323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-08847, author = {Minseok Kim and Jinoh Oh and Jaeyoung Do and Sungjin Lee}, title = {Debiasing Neighbor Aggregation for Graph Neural Network in Recommender Systems}, journal = {CoRR}, volume = {abs/2208.08847}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.08847}, doi = {10.48550/ARXIV.2208.08847}, eprinttype = {arXiv}, eprint = {2208.08847}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-08847.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13427, author = {Sun Woo Park and Yun Young Choi and Dosang Joe and U Jin Choi and Youngho Woo}, title = {The {PWLR} Graph Representation: {A} Persistent Weisfeiler-Lehman scheme with Random Walks for Graph Classification}, journal = {CoRR}, volume = {abs/2208.13427}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13427}, doi = {10.48550/ARXIV.2208.13427}, eprinttype = {arXiv}, eprint = {2208.13427}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13427.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-14889, author = {Jihye Park and Soohyun Kim and Sunwoo Kim and Jaejun Yoo and Youngjung Uh and Seungryong Kim}, title = {{LANIT:} Language-Driven Image-to-Image Translation for Unlabeled Data}, journal = {CoRR}, volume = {abs/2208.14889}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.14889}, doi = {10.48550/ARXIV.2208.14889}, eprinttype = {arXiv}, eprint = {2208.14889}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-14889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-05917, author = {Eunseong Choi and Sunkyung Lee and Minjin Choi and Hyeseon Ko and Young{-}In Song and Jongwuk Lee}, title = {SpaDE: Improving Sparse Representations using a Dual Document Encoder for First-stage Retrieval}, journal = {CoRR}, volume = {abs/2209.05917}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.05917}, doi = {10.48550/ARXIV.2209.05917}, eprinttype = {arXiv}, eprint = {2209.05917}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-05917.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08803, author = {Jeongeun Park and Taerim Yoon and Jejoon Hong and Youngjae Yu and Matthew Pan and Sungjoon Choi}, title = {Active Visual Search in the Wild}, journal = {CoRR}, volume = {abs/2209.08803}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08803}, doi = {10.48550/ARXIV.2209.08803}, eprinttype = {arXiv}, eprint = {2209.08803}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-09731, author = {Wael R. Elwasif and Sergei I. Bastrakov and Spencer H. Bryngelson and Michael Bussmann and Sunita Chandrasekaran and Florina M. Ciorba and Michael A. Clark and Alexander Debus and William F. Godoy and Nick Hagerty and Jeff R. Hammond and David J. Hardy and James Austin Harris and Oscar R. Hernandez and B{\'{a}}lint Jo{\'{o}} and Sebastian Keller and Paul R. C. Kent and Henry Le Berre and Damien Lebrun{-}Grandi{\'{e}} and Elijah A. MacCarthy and Ver{\'{o}}nica G. Melesse Vergara and Bronson Messer and Ross Miller and Sarp Oral and Jean{-}Guillaume Piccinali and Anand Radhakrishnan and Osman Simsek and Filippo Spiga and Klaus Steiniger and Jan Stephan and John E. Stone and Christian Trott and Ren{\'{e}} Widera and Jeffrey Young}, title = {Early Application Experiences on a Modern GPU-Accelerated Arm-based {HPC} Platform}, journal = {CoRR}, volume = {abs/2209.09731}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.09731}, doi = {10.48550/ARXIV.2209.09731}, eprinttype = {arXiv}, eprint = {2209.09731}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-09731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-10797, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, journal = {CoRR}, volume = {abs/2209.10797}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.10797}, doi = {10.48550/ARXIV.2209.10797}, eprinttype = {arXiv}, eprint = {2209.10797}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-10797.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02077, author = {Youngwan Lee and Jeffrey Willette and Jonghee Kim and Juho Lee and Sung Ju Hwang}, title = {Exploring The Role of Mean Teachers in Self-supervised Masked Auto-Encoders}, journal = {CoRR}, volume = {abs/2210.02077}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02077}, doi = {10.48550/ARXIV.2210.02077}, eprinttype = {arXiv}, eprint = {2210.02077}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02077.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-05476, author = {Ahmet Can Mert and Aikata and Sunmin Kwon and Youngsam Shin and Donghoon Yoo and Yongwoo Lee and Sujoy Sinha Roy}, title = {Medha: Microcoded Hardware Accelerator for computing on Encrypted Data}, journal = {CoRR}, volume = {abs/2210.05476}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.05476}, doi = {10.48550/ARXIV.2210.05476}, eprinttype = {arXiv}, eprint = {2210.05476}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-05476.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-07762, author = {Sunwoo Kim and Youngjo Min and Younghun Jung and Seungryong Kim}, title = {Controllable Style Transfer via Test-time Training of Implicit Neural Representation}, journal = {CoRR}, volume = {abs/2210.07762}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.07762}, doi = {10.48550/ARXIV.2210.07762}, eprinttype = {arXiv}, eprint = {2210.07762}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-07762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08750, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Soyoung Kang and Min Young Lee and Sungdong Kim and Yuin Jeong and Hyeri Kim and Sang{-}Woo Lee and Woo{-}Myoung Park and Nako Sung}, title = {Keep Me Updated! Memory Management in Long-term Conversations}, journal = {CoRR}, volume = {abs/2210.08750}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08750}, doi = {10.48550/ARXIV.2210.08750}, eprinttype = {arXiv}, eprint = {2210.08750}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08997, author = {Furkan Kinli and Sami Mentes and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Radu Timofte and Yi Zuo and Zitao Wang and Xiaowen Zhang and Yu Zhu and Chenghua Li and Cong Leng and Jian Cheng and Shuai Liu and Chaoyu Feng and Furui Bai and Xiaotao Wang and Lei Lei and Tianzhi Ma and Zi{-}han Gao and Wenxin He and Woon{-}Ha Yeo and Wang{-}Taek Oh and Young{-}Il Kim and Han{-}Cheol Ryu and Gang He and Shaoyi Long and S. M. A. Sharif and Rizwan Ali Naqvi and Sungjun Kim and Guisik Kim and Seohyeon Lee and Sabari Nathan and Priya Kansal}, title = {{AIM} 2022 Challenge on Instagram Filter Removal: Methods and Results}, journal = {CoRR}, volume = {abs/2210.08997}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08997}, doi = {10.48550/ARXIV.2210.08997}, eprinttype = {arXiv}, eprint = {2210.08997}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-13186, author = {Minsu Kim and Youngjoon Yu and Sungjune Park and Yong Man Ro}, title = {Meta Input: How to Leverage Off-the-Shelf Deep Neural Networks}, journal = {CoRR}, volume = {abs/2210.13186}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.13186}, doi = {10.48550/ARXIV.2210.13186}, eprinttype = {arXiv}, eprint = {2210.13186}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-13186.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-15187, author = {Jihoon Kim and Youngjae Yu and Seungyoun Shin and Taehyun Byun and Sungjoon Choi}, title = {Learning Joint Representation of Human Motion and Language}, journal = {CoRR}, volume = {abs/2210.15187}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.15187}, doi = {10.48550/ARXIV.2210.15187}, eprinttype = {arXiv}, eprint = {2210.15187}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-15187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02284, author = {Dong Hoon Lee and Sung{-}Ik Choi and Hyunwoo J. Kim and Sae{-}Young Chung}, title = {Unsupervised Visual Representation Learning via Mutual Information Regularized Assignment}, journal = {CoRR}, volume = {abs/2211.02284}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02284}, doi = {10.48550/ARXIV.2211.02284}, eprinttype = {arXiv}, eprint = {2211.02284}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-03078, author = {Jihwan Lee and Jae{-}Sung Bae and Seongkyu Mun and Heejin Choi and Joun Yeop Lee and Hoon{-}Young Cho and Chanwoo Kim}, title = {An Empirical Study on {L2} Accents of Cross-lingual Text-to-Speech Systems via Vowel Space}, journal = {CoRR}, volume = {abs/2211.03078}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.03078}, doi = {10.48550/ARXIV.2211.03078}, eprinttype = {arXiv}, eprint = {2211.03078}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-03078.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-07814, author = {Matthew Peroni and Marharyta Kurban and Sun Young Yang and Young Sun Kim and Hae Yeon Kang and Ji Hyun Song}, title = {Extending the Neural Additive Model for Survival Analysis with {EHR} Data}, journal = {CoRR}, volume = {abs/2211.07814}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.07814}, doi = {10.48550/ARXIV.2211.07814}, eprinttype = {arXiv}, eprint = {2211.07814}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-07814.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-10636, author = {Sunil Hwang and Jaehong Yoon and Youngwan Lee and Sung Ju Hwang}, title = {Efficient Video Representation Learning via Masked Video Modeling with Motion-centric Token Selection}, journal = {CoRR}, volume = {abs/2211.10636}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.10636}, doi = {10.48550/ARXIV.2211.10636}, eprinttype = {arXiv}, eprint = {2211.10636}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-10636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11950, author = {Sunwook Hwang and Youngseok Kim and Seongwon Kim and Saewoong Bahk and Hyung{-}Sin Kim}, title = {UpCycling: Semi-supervised 3D Object Detection without Sharing Raw-level Unlabeled Scenes}, journal = {CoRR}, volume = {abs/2211.11950}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11950}, doi = {10.48550/ARXIV.2211.11950}, eprinttype = {arXiv}, eprint = {2211.11950}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11950.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-12758, author = {Young Chun Ahn and Seokhwan Jang and Sungheon Park and Ji{-}Yeon Kim and Nahyup Kang}, title = {PANeRF: Pseudo-view Augmentation for Improved Neural Radiance Fields Based on Few-shot Inputs}, journal = {CoRR}, volume = {abs/2211.12758}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.12758}, doi = {10.48550/ARXIV.2211.12758}, eprinttype = {arXiv}, eprint = {2211.12758}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-12758.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-15034, author = {Whiyoung Jung and Myungsik Cho and Jongeui Park and Youngchul Sung}, title = {Quantile Constrained Reinforcement Learning: {A} Reinforcement Learning Framework Constraining Outage Probability}, journal = {CoRR}, volume = {abs/2211.15034}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.15034}, doi = {10.48550/ARXIV.2211.15034}, eprinttype = {arXiv}, eprint = {2211.15034}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-15034.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-15992, author = {Eun Sun Lee and Junho Kim and SangWon Park and Young Min Kim}, title = {MoDA: Map style transfer for self-supervised Domain Adaptation of embodied agents}, journal = {CoRR}, volume = {abs/2211.15992}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.15992}, doi = {10.48550/ARXIV.2211.15992}, eprinttype = {arXiv}, eprint = {2211.15992}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-15992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10504, author = {Sang{-}Woo Lee and Sungdong Kim and Donghyeon Ko and Donghoon Ham and Youngki Hong and Shin Ah Oh and Hyunhoon Jung and Wangkyo Jung and Kyunghyun Cho and Dong{-}Hyun Kwak and Hyungsuk Noh and Woo{-}Myoung Park}, title = {Can Current Task-oriented Dialogue Models Automate Real-world Scenarios in the Wild?}, journal = {CoRR}, volume = {abs/2212.10504}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10504}, doi = {10.48550/ARXIV.2212.10504}, eprinttype = {arXiv}, eprint = {2212.10504}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-13563, author = {Woo{-}Young Kang and Jonghwan Mun and Sungjun Lee and Byungseok Roh}, title = {Noise-aware Learning from Web-crawled Image-Text Data for Image Captioning}, journal = {CoRR}, volume = {abs/2212.13563}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.13563}, doi = {10.48550/ARXIV.2212.13563}, eprinttype = {arXiv}, eprint = {2212.13563}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-13563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/MertAKSYLR22, author = {Ahmet Can Mert and Aikata and Sunmin Kwon and Youngsam Shin and Donghoon Yoo and Yongwoo Lee and Sujoy Sinha Roy}, title = {Medha: Microcoded Hardware Accelerator for computing on Encrypted Data}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {480}, year = {2022}, url = {https://eprint.iacr.org/2022/480}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/MertAKSYLR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aam/Sun21, author = {Ping Sun}, title = {On the moments of normal distributions and numbers of standard Young tableaux}, journal = {Adv. Appl. Math.}, volume = {130}, pages = {102230}, year = {2021}, url = {https://doi.org/10.1016/j.aam.2021.102230}, doi = {10.1016/J.AAM.2021.102230}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aam/Sun21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnKPC21, author = {Seyoung Ahn and Jeehyeong Kim and Soo Young Park and Sunghyun Cho}, title = {Explaining Deep Learning-Based Traffic Classification Using a Genetic Algorithm}, journal = {{IEEE} Access}, volume = {9}, pages = {4738--4751}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048348}, doi = {10.1109/ACCESS.2020.3048348}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhnKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AlnujaimROK21, author = {Ibrahim Alnujaim and Shobha Sundar Ram and Daegun Oh and Youngwook Kim}, title = {Synthesis of Micro-Doppler Signatures of Human Activities From Different Aspect Angles Using Generative Adversarial Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {46422--46429}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3068075}, doi = {10.1109/ACCESS.2021.3068075}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AlnujaimROK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AsifAKRPYL21, author = {Muhammad Asif and Imran Ali and Danial Khan and Muhammad Riaz ur Rehman and YoungGun Pu and Sang{-}Sun Yoo and Kang{-}Yoon Lee}, title = {Design of High Performance Hybrid Type Digital-Feedback Low Drop-Out Regulator Using {SSCG} Technique}, journal = {{IEEE} Access}, volume = {9}, pages = {28167--28176}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3057225}, doi = {10.1109/ACCESS.2021.3057225}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AsifAKRPYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoCCK21, author = {Hyun{-}Woong Cho and Sungdo Choi and Young{-}Rae Cho and Jongseok Kim}, title = {Complex-Valued Channel Attention and Application in Ego-Velocity Estimation With Automotive Radar}, journal = {{IEEE} Access}, volume = {9}, pages = {17717--17727}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3054368}, doi = {10.1109/ACCESS.2021.3054368}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoCCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoKKPLKK21, author = {Jeonghoon Cho and Myeong Woo Kim and Youngjin Kim and Jeong{-}Sun Park and Dohee Lee and Young{-}Sik Kim and Jae Joon Kim}, title = {Seawater Battery-Based Wireless Marine Buoy System With Battery Degradation Prediction and Multiple Power Optimization Capabilities}, journal = {{IEEE} Access}, volume = {9}, pages = {104104--104114}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098846}, doi = {10.1109/ACCESS.2021.3098846}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoKKPLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanKK21, author = {Jungwook Han and Sun Young Kim and Jinwhan Kim}, title = {Enhanced Target Ship Tracking With Geometric Parameter Estimation for Unmanned Surface Vehicles}, journal = {{IEEE} Access}, volume = {9}, pages = {39864--39872}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3063836}, doi = {10.1109/ACCESS.2021.3063836}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangKC21, author = {Gye{-}Bong Jang and Jin{-}Young Kim and Sung{-}Bae Cho}, title = {Cross-Domain Fault Diagnosis of Rotating Machinery Using Discriminative Feature Attention Network}, journal = {{IEEE} Access}, volume = {9}, pages = {99781--99793}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3096145}, doi = {10.1109/ACCESS.2021.3096145}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JangKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JinEHK21, author = {Youngsaeng Jin and Sungmin Eum and David K. Han and Hanseok Ko}, title = {Sketch-and-Fill Network for Semantic Segmentation}, journal = {{IEEE} Access}, volume = {9}, pages = {85874--85884}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3088854}, doi = {10.1109/ACCESS.2021.3088854}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JinEHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KhanUAULB21, author = {Noman Khan and Fath U Min Ullah and Afnan and Amin Ullah and Mi Young Lee and Sung Wook Baik}, title = {Batteries State of Health Estimation via Efficient Neural Networks With Multiple Channel Charging Profiles}, journal = {{IEEE} Access}, volume = {9}, pages = {7797--7813}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3047732}, doi = {10.1109/ACCESS.2020.3047732}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KhanUAULB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Kim0JLP21, author = {June{-}Hyung Kim and Youngjae Kim and Safdar Jamil and Chang{-}Gyu Lee and Sungyong Park}, title = {Parallelizing Shared File {I/O} Operations of {NVM} File System for Manycore Servers}, journal = {{IEEE} Access}, volume = {9}, pages = {24570--24585}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3054905}, doi = {10.1109/ACCESS.2021.3054905}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/Kim0JLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCBJK21, author = {Hyo{-}Young Kim and Sung{-}Jin Cho and Seung{-}Jin Baek and Seung{-}Won Jung and Sung{-}Jea Ko}, title = {Learning-Based Image Synthesis for Hazardous Object Detection in X-Ray Security Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {135256--135265}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3116255}, doi = {10.1109/ACCESS.2021.3116255}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimCBJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCSY21, author = {Jung Hwan Kim and Younggeol Cho and Young A. Suh and Man{-}Sung Yim}, title = {Development of an Information Security-Enforced EEG-Based Nuclear Operators' Fitness for Duty Classification System}, journal = {{IEEE} Access}, volume = {9}, pages = {72535--72546}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3078470}, doi = {10.1109/ACCESS.2021.3078470}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimCSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJC21, author = {Jin{-}Hyeok Kim and Sungjung Joo and Young{-}Seek Chung}, title = {Improved Flux Tracing Method Based on Parametric Curve for Calculating Ion Flow Field of {HVDC} Transmission Lines}, journal = {{IEEE} Access}, volume = {9}, pages = {105724--105732}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3099109}, doi = {10.1109/ACCESS.2021.3099109}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKK21a, author = {Sung{-}Soo Kim and Young{-}Kuk Kim and Young{-}Min Kang}, title = {{AORM:} Fast Incremental Arbitrary-Order Reachability Matrix Computation for Massive Graphs}, journal = {{IEEE} Access}, volume = {9}, pages = {69539--69558}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3077888}, doi = {10.1109/ACCESS.2021.3077888}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPKC21, author = {Hyoung{-}Woo Kim and Sung{-}Mun Park and Hong{-}Ju Kim and Joon{-}Young Choi}, title = {Nonlinear Damping Compensator for Dead-Time Disturbance Voltage Considering Parameter Uncertainty in {SPMSM} Drives}, journal = {{IEEE} Access}, volume = {9}, pages = {99571--99580}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3096810}, doi = {10.1109/ACCESS.2021.3096810}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimPKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonKLCYK21, author = {Sunyoung Kwon and Gyuwan Kim and Byunghan Lee and Jongsik Chun and Sungroh Yoon and Young{-}Han Kim}, title = {{NASCUP:} Nucleic Acid Sequence Classification by Universal Probability}, journal = {{IEEE} Access}, volume = {9}, pages = {162779--162791}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3127957}, doi = {10.1109/ACCESS.2021.3127957}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwonKLCYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeJMK21, author = {Gi{-}Young Lee and Chang{-}Tae Ju and Sung{-}Soo Min and Rae{-}Young Kim}, title = {Gate Driver for Wide-Bandgap Power Semiconductors With Small Negative Spike and Switching Ringing in Zero-Voltage Switching Circuit}, journal = {{IEEE} Access}, volume = {9}, pages = {145774--145784}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3122937}, doi = {10.1109/ACCESS.2021.3122937}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeJMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKCYW21, author = {Youngseok Lee and Woo Kyung Kim and Sung Hyun Choi and Ikjun Yeom and Honguk Woo}, title = {Repot: Transferable Reinforcement Learning for Quality-Centric Networked Monitoring in Various Environments}, journal = {{IEEE} Access}, volume = {9}, pages = {147280--147294}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3125008}, doi = {10.1109/ACCESS.2021.3125008}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKCYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLCAKGC21, author = {Ji Heon Lee and Young Seo Lee and Jeong Hwan Choi and Hussam Amrouch and Joonho Kong and Young{-}Ho Gong and Sung Woo Chung}, title = {Characterizing the Thermal Feasibility of Monolithic 3D Microprocessors}, journal = {{IEEE} Access}, volume = {9}, pages = {120715--120729}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3108628}, doi = {10.1109/ACCESS.2021.3108628}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLCAKGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLJPYS21, author = {Yeong{-}Rong Lee and Won{-}Seok Lee and Ji{-}Sung Jung and Chan{-}Yeob Park and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Hybrid Beamforming With Reduced {RF} Chain Based on {PZF} and {PD-NOMA} in mmWave Massive {MIMO} Systems}, journal = {{IEEE} Access}, volume = {9}, pages = {60695--60703}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3073502}, doi = {10.1109/ACCESS.2021.3073502}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLJPYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeMKOLKNHJ21, author = {Cheol Won Lee and Azamjon Muminov and Myeong{-}Cheol Ko and Hyung{-}Jun Oh and Jun Dong Lee and Young{-}Ae Kwon and Deayoung Na and Sung{-}Phil Heo and Heung Seok Jeon}, title = {Anti-Adaptive Harmful Birds Repelling Method Based on Reinforcement Learning Approach}, journal = {{IEEE} Access}, volume = {9}, pages = {60553--60563}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3073205}, doi = {10.1109/ACCESS.2021.3073205}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeMKOLKNHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSSKSKK21, author = {Donggu Lee and Young Ghyu Sun and Isaac Sim and Jae{-}Hyun Kim and Yoan Shin and Dong In Kim and Jin Young Kim}, title = {Neural Episodic Control-Based Adaptive Modulation and Coding Scheme for Inter-Satellite Communication Link}, journal = {{IEEE} Access}, volume = {9}, pages = {159175--159186}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3131714}, doi = {10.1109/ACCESS.2021.3131714}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeSSKSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MinJKSKPHKPHCMK21, author = {Sun{-}Hong Min and Hoechun Jung and Ohjoon Kwon and Matlabjon Sattorov and Seontae Kim and Seung{-}Hyuk Park and Dongpyo Hong and Seonmyeong Kim and Chawon Park and Bong Hwan Hong and Ilsung Cho and Sukhwal Ma and Minho Kim and Young Joon Yoo and Sang Yoon Park and Gun{-}Sik Park}, title = {Analysis of Electromagnetic Pulse Effects Under High-Power Microwave Sources}, journal = {{IEEE} Access}, volume = {9}, pages = {136775--136791}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3117395}, doi = {10.1109/ACCESS.2021.3117395}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MinJKSKPHKPHCMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MochammadKNPA21, author = {Mochamad Solichin and Young{-}Jin Kang and Yoojeong Noh and Sunhwa Park and Byeongha Ahn}, title = {Stable Hybrid Feature Selection Method for Compressor Fault Diagnosis}, journal = {{IEEE} Access}, volume = {9}, pages = {97415--97429}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3092884}, doi = {10.1109/ACCESS.2021.3092884}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MochammadKNPA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhOKCSHLY21, author = {Hansik Oh and Sungjae Oh and Hyungmo Koo and Woojin Choi and Jaekyung Shin and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Mid-Range Wireless Power Transfer System for Various Types of Multiple Receivers Using Power Customized Resonator}, journal = {{IEEE} Access}, volume = {9}, pages = {45230--45241}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3067023}, doi = {10.1109/ACCESS.2021.3067023}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OhOKCSHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RatnamCPZZKLCY21, author = {Vishnu V. Ratnam and Hao Chen and Sameer Pawar and Bingwen Zhang and Charlie Jianzhong Zhang and Young{-}Jin Kim and Soonyoung Lee and Minsung Cho and Sung{-}Rok Yoon}, title = {FadeNet: Deep Learning-Based mm-Wave Large-Scale Channel Fading Prediction and its Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {3278--3290}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048583}, doi = {10.1109/ACCESS.2020.3048583}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RatnamCPZZKLCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RehmanHAAOKPYHY21, author = {Muhammad Riaz ur Rehman and Arash Hejazi and Imran Ali and Muhammad Asif and SeongJin Oh and Pervesh Kumar and YoungGun Pu and Sang{-}Sun Yoo and Keum{-}Cheol Hwang and Youngoo Yang and Yeonjae Jung and Hyungki Huh and Seokkee Kim and Joon{-}Mo Yoo and Kang{-}Yoon Lee}, title = {An Ultra-Low-Power 2.4 GHz All-Digital Phase-Locked Loop With Injection-Locked Frequency Multiplier and Continuous Frequency Tracking}, journal = {{IEEE} Access}, volume = {9}, pages = {152984--152992}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3123167}, doi = {10.1109/ACCESS.2021.3123167}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RehmanHAAOKPYHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SongNK21, author = {Ha{-}Lim Song and Sung Sik Nam and Young{-}Chai Ko}, title = {Angle-of-Arrival Estimation Technique for Fast Beamforming Using Monopulse Signal in the Antenna Array Systems}, journal = {{IEEE} Access}, volume = {9}, pages = {95346--95359}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3095127}, doi = {10.1109/ACCESS.2021.3095127}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SongNK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SunK21, author = {Kyoungjae Sun and Younghan Kim}, title = {LISP-Based Integrated Control Plane Framework for Service Function Chaining in Distributed Edge Clouds}, journal = {{IEEE} Access}, volume = {9}, pages = {52944--52956}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3069974}, doi = {10.1109/ACCESS.2021.3069974}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SunK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SunKPCHS21, author = {Siyu Sun and Ja{-}Young Kwon and Yejin Park and Hyun Cheol Cho and Chang Min Hyun and Jin Keun Seo}, title = {Complementary Network for Accurate Amniotic Fluid Segmentation From Ultrasound Images}, journal = {{IEEE} Access}, volume = {9}, pages = {108223--108235}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098844}, doi = {10.1109/ACCESS.2021.3098844}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SunKPCHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VermaRSKKKSPYHY21, author = {Deeksha Verma and Behnam Samadpoor Rikan and Khuram Shehzad and Sung Jin Kim and Danial Khan and Venkatesh Kommangunta and Syed Adil Ali Shah and YoungGun Pu and Sang{-}Sun Yoo and Keum{-}Cheol Hwang and Youngoo Yang and Kang{-}Yoon Lee}, title = {A Design of 44.1 fJ/Conv-Step 12-Bit 80 ms/s Time Interleaved Hybrid Type {SAR} {ADC} With Redundancy Capacitor and On-Chip Time-Skew Calibration}, journal = {{IEEE} Access}, volume = {9}, pages = {133143--133155}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3115601}, doi = {10.1109/ACCESS.2021.3115601}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/VermaRSKKKSPYHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangPKLC21, author = {Dingyang Wang and Junyoung Park and Hee{-}Jin Kim and Kounseok Lee and Sung Ho Cho}, title = {Noncontact Extraction of Biomechanical Parameters in Gait Analysis Using a Multi-Input and Multi-Output Radar Sensor}, journal = {{IEEE} Access}, volume = {9}, pages = {138496--138508}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3117985}, doi = {10.1109/ACCESS.2021.3117985}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangPKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooKJS21, author = {Youngjoo Woo and Taesoo Kim and Sungin Jung and Euiseong Seo}, title = {Analysis and Optimization of Persistent Memory Index Structures' Write Amplification}, journal = {{IEEE} Access}, volume = {9}, pages = {167687--167698}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3136459}, doi = {10.1109/ACCESS.2021.3136459}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WooKJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangK21a, author = {Sung Jun Yang and Young{-}Dam Kim}, title = {An Accurate Near-Field Focusing of Array Antenna Based on Near-Field Active Element Pattern and Infinitesimal Dipole Modeling}, journal = {{IEEE} Access}, volume = {9}, pages = {143771--143781}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3120697}, doi = {10.1109/ACCESS.2021.3120697}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuDP21, author = {SungJin Yu and Ashok Kumar Das and Youngho Park}, title = {Comments on "ALAM: Anonymous Lightweight Authentication Mechanism for {SDN} Enabled Smart Homes"}, journal = {{IEEE} Access}, volume = {9}, pages = {49154--49159}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3068723}, doi = {10.1109/ACCESS.2021.3068723}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YuDP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuJP21, author = {SungJin Yu and Nam{-}Su Jho and Youngho Park}, title = {Lightweight Three-Factor-Based Privacy- Preserving Authentication Scheme for IoT-Enabled Smart Homes}, journal = {{IEEE} Access}, volume = {9}, pages = {126186--126197}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3111443}, doi = {10.1109/ACCESS.2021.3111443}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YuJP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ai/DazeleyVFYAC21, author = {Richard Dazeley and Peter Vamplew and Cameron Foale and Charlotte Young and Sunil Aryal and Francisco Cruz}, title = {Levels of explainable artificial intelligence for human-aligned conversational explanations}, journal = {Artif. Intell.}, volume = {299}, pages = {103525}, year = {2021}, url = {https://doi.org/10.1016/j.artint.2021.103525}, doi = {10.1016/J.ARTINT.2021.103525}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ai/DazeleyVFYAC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/QianSYK21, author = {Jinhua Qian and Mingyu Sun and Pei Yin and Young{-}Ho Kim}, title = {Null Darboux Curve Pairs in Minkowski 3-Space}, journal = {Axioms}, volume = {10}, number = {3}, pages = {142}, year = {2021}, url = {https://doi.org/10.3390/axioms10030142}, doi = {10.3390/AXIOMS10030142}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/QianSYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LeePK21, author = {Dohoon Lee and Youngjune Park and Sun Kim}, title = {Towards multi-omics characterization of tumor heterogeneity: a comprehensive review of statistical and machine learning approaches}, journal = {Briefings Bioinform.}, volume = {22}, number = {3}, year = {2021}, url = {https://doi.org/10.1093/bib/bbaa188}, doi = {10.1093/BIB/BBAA188}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/LeePK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/ParkKOK21, author = {Kyoung Sik Park and Seong Hoon Kim and Jung Hun Oh and Sung Young Kim}, title = {Highly accurate diagnosis of papillary thyroid carcinomas based on personalized pathways coupled with machine learning}, journal = {Briefings Bioinform.}, volume = {22}, number = {4}, year = {2021}, url = {https://doi.org/10.1093/bib/bbaa336}, doi = {10.1093/BIB/BBAA336}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/ParkKOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LuBWWS21, author = {Yang Young Lu and Jiaxing Bai and Yiwen Wang and Ying Wang and Fengzhu Sun}, title = {{CRAFT:} Compact genome Representation toward large-scale Alignment-Free daTabase}, journal = {Bioinform.}, volume = {37}, number = {2}, pages = {155--161}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btaa699}, doi = {10.1093/BIOINFORMATICS/BTAA699}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LuBWWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ParkLKLCK21, author = {Sungjoon Park and Dohoon Lee and Youngkuk Kim and Sangsoo Lim and Heejoon Chae and Sun Kim}, title = {BioVLAB-Cancer-Pharmacogenomics: tumor heterogeneity and pharmacogenomics analysis of multi-omics data from tumor on the cloud}, journal = {Bioinform.}, volume = {38}, number = {1}, pages = {275--277}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab478}, doi = {10.1093/BIOINFORMATICS/BTAB478}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ParkLKLCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bjet/JungL21, author = {Sung{-}Eun Jung and Kyunghwa Lee}, title = {A young child's dialogic appropriation of programmable robots}, journal = {Br. J. Educ. Technol.}, volume = {52}, number = {1}, pages = {394--410}, year = {2021}, url = {https://doi.org/10.1111/bjet.13012}, doi = {10.1111/BJET.13012}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bjet/JungL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LeeCCLCKKKK21, author = {Seunghyun Lee and Young Hun Choi and Yeon Jin Cho and Seul Bi Lee and Jung{-}Eun Cheon and Woo Sun Kim and Jaesung Ko and Jae{-}Moon Koh and Gyeong Hoon Kang}, title = {The usefulness of noninvasive liver stiffness assessment using shear-wave elastography for predicting liver fibrosis in children}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {68}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00601-8}, doi = {10.1186/S12880-021-00601-8}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/LeeCCLCKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChoPLSK21, author = {Sung Won Cho and Hyun Ji Park and Hanseob Lee and David Hyunchul Shim and Sun{-}Young Kim}, title = {Coverage path planning for multiple unmanned aerial vehicles in maritime search and rescue operations}, journal = {Comput. Ind. Eng.}, volume = {161}, pages = {107612}, year = {2021}, url = {https://doi.org/10.1016/j.cie.2021.107612}, doi = {10.1016/J.CIE.2021.107612}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/ChoPLSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/MoonLCCLCC21, author = {Jong Hak Moon and Da Young Lee and Won Chul Cha and Myung Jin Chung and Kyu{-}Sung Lee and Baek Hwan Cho and Jin Ho Choi}, title = {Automatic stenosis recognition from coronary angiography using convolutional neural networks}, journal = {Comput. Methods Programs Biomed.}, volume = {198}, pages = {105819}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2020.105819}, doi = {10.1016/J.CMPB.2020.105819}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/MoonLCCLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsci/SehlFD21, author = {Claudia G. Sehl and Ori Friedman and Stephanie Denison}, title = {Blind to Bias? Young Children Do Not Anticipate that Sunk Costs Lead to Irrational Choices}, journal = {Cogn. Sci.}, volume = {45}, number = {11}, year = {2021}, url = {https://doi.org/10.1111/cogs.13063}, doi = {10.1111/COGS.13063}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsci/SehlFD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/KwonPCPKY21, author = {SungMoon Kwon and Seongmin Park and HyungJin Cho and Youngkwon Park and Dowon Kim and Kangbin Yim}, title = {Towards 5G-based IoT security analysis against Vo5G eavesdropping}, journal = {Computing}, volume = {103}, number = {3}, pages = {425--447}, year = {2021}, url = {https://doi.org/10.1007/s00607-020-00855-0}, doi = {10.1007/S00607-020-00855-0}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/KwonPCPKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/YoonH21, author = {Jae Eun Yoon and Sun Young Hwang}, title = {On the threshold innovation in quasi-likelihood for conditionally heteroscedastic time series}, journal = {Commun. Stat. Simul. Comput.}, volume = {50}, number = {7}, pages = {2042--2053}, year = {2021}, url = {https://doi.org/10.1080/03610918.2019.1593453}, doi = {10.1080/03610918.2019.1593453}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssc/YoonH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dgov/KimPR21, author = {Junhan Kim and Sun Young Park and Lionel P. Robert}, title = {Bridging the Health Disparity of African Americans Through Conversational Agents}, journal = {Digit. Gov. Res. Pract.}, volume = {2}, number = {1}, pages = {4:1--4:7}, year = {2021}, url = {https://doi.org/10.1145/3428122}, doi = {10.1145/3428122}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dgov/KimPR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecr/LeeCC21, author = {Younghoon Lee and Sungzoon Cho and Jinhae Choi}, title = {Determining user needs through abnormality detection and heterogeneous embedding of usage sequence}, journal = {Electron. Commer. Res.}, volume = {21}, number = {2}, pages = {245--261}, year = {2021}, url = {https://doi.org/10.1007/s10660-019-09347-6}, doi = {10.1007/S10660-019-09347-6}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecr/LeeCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/ChoiK21, author = {Youngok Choi and Sung Un Kim}, title = {Public library Twitter use during the early period of the {COVID-19} lockdown in the United States}, journal = {Electron. Libr.}, volume = {39}, number = {5}, pages = {713--731}, year = {2021}, url = {https://doi.org/10.1108/EL-03-2021-0067}, doi = {10.1108/EL-03-2021-0067}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/el/ChoiK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/KoSL21, author = {Young Man Ko and Min Sun Song and Seung Jun Lee}, title = {Construction of metadata database structured by conceptual elements of text structure and semantic search evaluation of Korean studies}, journal = {Electron. Libr.}, volume = {39}, number = {5}, pages = {678--694}, year = {2021}, url = {https://doi.org/10.1108/EL-03-2021-0055}, doi = {10.1108/EL-03-2021-0055}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/KoSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/electronicmarkets/LiLEY21, author = {Lin Li and Kyung Young Lee and Emmanuel Emokpae and Sung{-}Byung Yang}, title = {What makes you continuously use chatbot services? Evidence from chinese online travel agencies}, journal = {Electron. Mark.}, volume = {31}, number = {3}, pages = {575--599}, year = {2021}, url = {https://doi.org/10.1007/s12525-020-00454-z}, doi = {10.1007/S12525-020-00454-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/electronicmarkets/LiLEY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/DoCLKC21, author = {Cong Thuan Do and Jeong Hwan Choi and Young Seo Lee and Cheol Hong Kim and Sung Woo Chung}, title = {Enhancing Matrix Multiplication With a Monolithic 3-D-Based Scratchpad Memory}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {2}, pages = {57--60}, year = {2021}, url = {https://doi.org/10.1109/LES.2020.3001954}, doi = {10.1109/LES.2020.3001954}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/DoCLKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/KimCC21, author = {Seon Young Kim and Yon Dohn Chung and Sung Woo Chung}, title = {{IDRA:} An In-Storage Data Reorganization Accelerator for Multidimensional Databases}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {4}, pages = {198--201}, year = {2021}, url = {https://doi.org/10.1109/LES.2021.3066057}, doi = {10.1109/LES.2021.3066057}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/KimCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/LeeCGC21, author = {Young Seo Lee and Eui{-}Young Chung and Young{-}Ho Gong and Sung Woo Chung}, title = {Quant-PIM: An Energy-Efficient Processing-in-Memory Accelerator for Layerwise Quantized Neural Networks}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {4}, pages = {162--165}, year = {2021}, url = {https://doi.org/10.1109/LES.2021.3050253}, doi = {10.1109/LES.2021.3050253}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esl/LeeCGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimC21, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {A systematic analysis and guidelines of graph neural networks for practical applications}, journal = {Expert Syst. Appl.}, volume = {184}, pages = {115466}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115466}, doi = {10.1016/J.ESWA.2021.115466}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimC21b, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {Explainable prediction of electric energy demand using a deep autoencoder with interpretable latent space}, journal = {Expert Syst. Appl.}, volume = {186}, pages = {115842}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115842}, doi = {10.1016/J.ESWA.2021.115842}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimC21b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeSJRKLPP21, author = {Wan{-}Kon Lee and Won{-}Chul Shin and Batselem Jagvaral and Jae{-}Seung Roh and Min{-}Sung Kim and Min{-}Ho Lee and Hyun{-}Kyu Park and Young{-}Tack Park}, title = {A path-based relation networks model for knowledge graph completion}, journal = {Expert Syst. Appl.}, volume = {182}, pages = {115273}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115273}, doi = {10.1016/J.ESWA.2021.115273}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeSJRKLPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LimKPK21, author = {Sunghoon Lim and Sun Jun Kim and Youngjae Park and Nahyun Kwon}, title = {A deep learning-based time series model with missing value handling techniques to predict various types of liquid cargo traffic}, journal = {Expert Syst. Appl.}, volume = {184}, pages = {115532}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115532}, doi = {10.1016/J.ESWA.2021.115532}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LimKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/JinPKP21, author = {Seung{-}Seop Jin and Young{-}Soo Park and SungTae Kim and Young{-}Hwan Park}, title = {Model updating based on mixed-integer nonlinear programming under model-form uncertainty in finite element model}, journal = {Eng. Comput.}, volume = {37}, number = {4}, pages = {3699--3725}, year = {2021}, url = {https://doi.org/10.1007/s00366-020-01030-x}, doi = {10.1007/S00366-020-01030-X}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/JinPKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/LeeCHKBYLH21, author = {Yong Sun Lee and Seung Eun Choi and Jarang Hahm and Myoung Joon Kim and Hyo Sook Bae and Kayoung Yi and Hyun Taek Lim and Joon Young Hyon}, title = {Digital Therapeutics: Exploring the Possibilities of Digital Intervention for Myopia}, journal = {Frontiers Digit. Health}, volume = {3}, pages = {710644}, year = {2021}, url = {https://doi.org/10.3389/fdgth.2021.710644}, doi = {10.3389/FDGTH.2021.710644}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/LeeCHKBYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/NguyenCGABHLSJW21, author = {Rebecca Nguyen and Isabelle M. Clare and Nisali Gamage and Gail A. Alvares and Lucinda J. Black and Prue H. Hart and Robyn M. Lucas and Mark Strickland and Mohinder Jaimangal and James White and Shelley Gorman}, title = {Developing an Online Tool to Promote Safe Sun Behaviors With Young Teenagers as Co-researchers}, journal = {Frontiers Digit. Health}, volume = {3}, pages = {626606}, year = {2021}, url = {https://doi.org/10.3389/fdgth.2021.626606}, doi = {10.3389/FDGTH.2021.626606}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/NguyenCGABHLSJW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdgth/SundstromCWRWPB21, author = {Beth Sundstrom and Kathleen B. Cartmell and Ashley A. White and Nicole Russo and Henry Well and Jennifer Young Pierce and Heather M. Brandt and James R. Roberts and Marvella E. Ford}, title = {{HPV} Vaccination Champions: Evaluating a Technology-Mediated Intervention for Parents}, journal = {Frontiers Digit. Health}, volume = {3}, pages = {636161}, year = {2021}, url = {https://doi.org/10.3389/fdgth.2021.636161}, doi = {10.3389/FDGTH.2021.636161}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdgth/SundstromCWRWPB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChungKKPK21, author = {Hyeonjin Chung and Jeongwan Kang and Hyowon Kim and Young{-}Mi Park and Sunwoo Kim}, title = {Adaptive Beamwidth Control for mmWave Beam Tracking}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {1}, pages = {137--141}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2020.3022877}, doi = {10.1109/LCOMM.2020.3022877}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/ChungKKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ParkKC21, author = {Sung{-}Mun Park and Young{-}Woo Kwon and Joon{-}Young Choi}, title = {Time Synchronization Between EtherCAT Network and External Processor}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {1}, pages = {103--107}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2020.3027388}, doi = {10.1109/LCOMM.2020.3027388}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/ParkKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/KimAKPH21, author = {Young{-}Min Kim and Hee{-}Jun Ahn and Yeon{-}Soo Kim and Sung{-}Su Park and Een{-}Kee Hong}, title = {Performance evaluation of the effect of traffic decentralization with mobile edge computing}, journal = {{ICT} Express}, volume = {7}, number = {2}, pages = {191--195}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.03.006}, doi = {10.1016/J.ICTE.2021.03.006}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/KimAKPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/YoonHLLSJ21, author = {Young{-}Suk Yoon and Sangwon Hwang and Dogyoon Lee and Sangyoun Lee and Jae{-}Won Suh and Sung{-}Uk Jung}, title = {3D mesh transformation preprocessing system in the real space for augmented reality services}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {71--75}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.02.001}, doi = {10.1016/J.ICTE.2021.02.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/YoonHLLSJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/HwangSSCK21, author = {Yu Min Hwang and Isaac Sim and Young Ghyu Sun and Juphil Cho and Jin Young Kim}, title = {Game-Theory Modeling of Multicolor LED-Based {VLC} Systems under Smart Interference}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {104-A}, number = {3}, pages = {656--660}, year = {2021}, url = {http://search.ieice.org/bin/summary.php?id=e104-a\_3\_656}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/HwangSSCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/SungB21, author = {Young{-}Hun Sung and Sang Won Bae}, title = {Counting Convex and Non-Convex 4-Holes in a Point Set}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {104-A}, number = {9}, pages = {1094--1100}, year = {2021}, url = {https://doi.org/10.1587/transfun.2020dmp0002}, doi = {10.1587/TRANSFUN.2020DMP0002}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/SungB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/KimLLJK21, author = {Hyun{-}Ho Kim and Sung{-}Gyun Lim and Gwangsoon Lee and Jun Young Jeong and Jae{-}Gon Kim}, title = {Efficient Patch Merging for Atlas Construction in 3DoF+ Video Coding}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {3}, pages = {477--480}, year = {2021}, url = {http://search.ieice.org/bin/summary.php?id=e104-d\_3\_477}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/KimLLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/KwonPC21, author = {Young{-}Woo Kwon and Sung{-}Mun Park and Joon{-}Young Choi}, title = {Time Synchronization Method for ARM-Based Distributed Embedded Linux Systems Using {CCNT} Register}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {2}, pages = {322--326}, year = {2021}, url = {http://search.ieice.org/bin/summary.php?id=e104-d\_2\_322}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/KwonPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ParkKCKP21, author = {Sungho Park and Youngjun Kim and Hyungoo Choi and Yeunwoong Kyung and Jinwoo Park}, title = {{HTTP} DDoS Flooding Attack Mitigation in Software-Defined Networking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {9}, pages = {1496--1499}, year = {2021}, url = {https://doi.org/10.1587/transinf.2021edl8022}, doi = {10.1587/TRANSINF.2021EDL8022}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ParkKCKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcisys/AhnBJ21, author = {Sun Shin Ahn and Hashem Bordbar and Young Bae Jun}, title = {Interior BCK/BCI-Algebras}, journal = {Int. J. Comput. Intell. Syst.}, volume = {14}, number = {1}, pages = {1923--1933}, year = {2021}, url = {https://doi.org/10.2991/ijcis.d.210622.002}, doi = {10.2991/IJCIS.D.210622.002}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcisys/AhnBJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/YounLKSCL21, author = {Eunhye Youn and Sangyoon Lee and Sunbum Kim and Youngbo Aram Shim and Liwei Chan and Geehyuk Lee}, title = {WristDial: An Eyes-Free Integer-Value Input Method by Quantizing the Wrist Rotation}, journal = {Int. J. Hum. Comput. Interact.}, volume = {37}, number = {17}, pages = {1607--1624}, year = {2021}, url = {https://doi.org/10.1080/10447318.2021.1898848}, doi = {10.1080/10447318.2021.1898848}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/YounLKSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimC21, author = {Jin{-}Young Kim and Sung{-}Bae Cho}, title = {Deep {CNN} transferred from {VAE} and {GAN} for classifying irritating noise in automobile}, journal = {Neurocomputing}, volume = {452}, pages = {395--403}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2019.10.123}, doi = {10.1016/J.NEUCOM.2019.10.123}, timestamp = {Thu, 17 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KimC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimC21a, author = {Tae{-}Young Kim and Sung{-}Bae Cho}, title = {Optimizing {CNN-LSTM} neural networks with {PSO} for anomalous query access control}, journal = {Neurocomputing}, volume = {456}, pages = {666--677}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.07.154}, doi = {10.1016/J.NEUCOM.2020.07.154}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/KimC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/GuptaYMJKLPAKCY21, author = {Amar Prasad Gupta and Seung Jun Yeo and Mallory Mativenga and Jaeik Jung and WooSeob Kim and Jongmin Lim and Junyoung Park and Jeung Sun Ahn and Seung Hoon Kim and Moon Shik Chae and Yeong Heum Yeon and Namkug Kim and Beom{-}Seok Ko and Jehwang Ryu}, title = {A feasibility study of a portable intraoperative specimen imaging X-ray system based on carbon nanotube field emitters}, journal = {Int. J. Imaging Syst. Technol.}, volume = {31}, number = {3}, pages = {1128--1135}, year = {2021}, url = {https://doi.org/10.1002/ima.22606}, doi = {10.1002/IMA.22606}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/GuptaYMJKLPAKCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/AiPSSKZ21, author = {Lingyu Ai and Min Pang and Changxu Shan and Chao Sun and Youngok Kim and Biao Zhou}, title = {A Novel Joint {TDOA/FDOA} Passive Localization Scheme Using Interval Intersection Algorithm}, journal = {Inf.}, volume = {12}, number = {9}, pages = {371}, year = {2021}, url = {https://doi.org/10.3390/info12090371}, doi = {10.3390/INFO12090371}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/AiPSSKZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/LeeKLGKC21, author = {Young Seo Lee and Kyung Min Kim and Ji Heon Lee and Young{-}Ho Gong and Seon Wook Kim and Sung Woo Chung}, title = {Monolithic 3D stacked multiply-accumulate units}, journal = {Integr.}, volume = {76}, pages = {183--189}, year = {2021}, url = {https://doi.org/10.1016/j.vlsi.2020.10.006}, doi = {10.1016/J.VLSI.2020.10.006}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/LeeKLGKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJCH21, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Chan Choi and Intae Hwang}, title = {Complexity-Effective Sequential Detection of Synchronization Signal for Cellular Narrowband IoT Communication Systems}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {4}, pages = {2900--2909}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3021101}, doi = {10.1109/JIOT.2020.3021101}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/YouJCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/KwonOK21, author = {Sunjae Kwon and Dongsuk Oh and Youngjoong Ko}, title = {Word sense disambiguation based on context selection using knowledge-based word similarity}, journal = {Inf. Process. Manag.}, volume = {58}, number = {4}, pages = {102551}, year = {2021}, url = {https://doi.org/10.1016/j.ipm.2021.102551}, doi = {10.1016/J.IPM.2021.102551}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipm/KwonOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/BaekLE21, author = {Sun Geol Baek and Sungkil Lee and Young Ik Eom}, title = {Efficient single-pair all-shortest-path query processing for massive dynamic networks}, journal = {Inf. Sci.}, volume = {546}, pages = {1306--1327}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2020.08.111}, doi = {10.1016/J.INS.2020.08.111}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/BaekLE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itd/LiLCYP21, author = {Lin Li and Kyung Young Lee and Luke Younghoon Chang and Sung{-}Byung Yang and Philip Park}, title = {IT-enabled sustainable development in electric scooter sharing platforms: focusing on the privacy concerns for traceable information}, journal = {Inf. Technol. Dev.}, volume = {27}, number = {4}, pages = {736--759}, year = {2021}, url = {https://doi.org/10.1080/02681102.2021.1882366}, doi = {10.1080/02681102.2021.1882366}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itd/LiLCYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ParkBLGLK21, author = {Jee{-}Tae Park and Ui{-}Jun Baek and Min{-}Seong Lee and Young{-}Hoon Goo and Sung{-}Ho Lee and Myung{-}Sup Kim}, title = {Flow based Sequential Grouping System for Malicious Traffic Detection}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {10}, pages = {3771--3792}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.10.016}, doi = {10.3837/TIIS.2021.10.016}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ParkBLGLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KangYKJLK21, author = {Byungkon Kang and Jisang Yoon and Ha Young Kim and Sung Jin Jo and Yourim Lee and Hye Jin Kam}, title = {Deep-learning-based automated terminology mapping in {OMOP-CDM}}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {7}, pages = {1489--1496}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocab030}, doi = {10.1093/JAMIA/OCAB030}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KangYKJLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LeeKSLPCKSY21, author = {Amy Junghyun Lee and Kyung Won Kim and Youngbin Shin and Jiwoo Lee and Hyo Jung Park and Young Chul Cho and Yousun Ko and Yu Sub Sung and Byung Sun Yoon}, title = {CDISC-compliant clinical trial imaging management system with automatic verification and data Transformation: Focusing on tumor response assessment data in clinical trials}, journal = {J. Biomed. Informatics}, volume = {117}, pages = {103782}, year = {2021}, url = {https://doi.org/10.1016/j.jbi.2021.103782}, doi = {10.1016/J.JBI.2021.103782}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LeeKSLPCKSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcta/ChoiKNO21, author = {Seung{-}Il Choi and Young{-}Hun Kim and Sun{-}Young Nam and Young{-}Tak Oh}, title = {Modules of the 0-Hecke algebra arising from standard permuted composition tableaux}, journal = {J. Comb. Theory {A}}, volume = {179}, pages = {105389}, year = {2021}, url = {https://doi.org/10.1016/j.jcta.2020.105389}, doi = {10.1016/J.JCTA.2020.105389}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcta/ChoiKNO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jeim/SungP21, author = {Chang Soo Sung and Joon{-}Young Park}, title = {Understanding of blockchain-based identity management system adoption in the public sector}, journal = {J. Enterp. Inf. Manag.}, volume = {34}, number = {5}, pages = {1481--1505}, year = {2021}, url = {https://doi.org/10.1108/JEIM-12-2020-0532}, doi = {10.1108/JEIM-12-2020-0532}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jeim/SungP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/HeoKPK21, author = {Tak{-}Sung Heo and Jong{-}Dae Kim and Chan{-}Young Park and Yu{-}Seop Kim}, title = {Sentence similarity evaluation using Sent2Vec and siamese neural network with parallel structure}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {4}, pages = {7735--7744}, year = {2021}, url = {https://doi.org/10.3233/JIFS-189593}, doi = {10.3233/JIFS-189593}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/HeoKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/ParkJ21, author = {Young{-}Sun Park and Young{-}Ju Jee}, title = {The Effects on Cognitive, Emotional, and Physical Functions of the Elderly at Local Senior Center from Dementia Prevention Program for the Dementia Safety Village}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {2}, pages = {508--512}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3286}, doi = {10.1166/JMIHI.2021.3286}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/ParkJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KimKCC21, author = {Young Geun Kim and Seon Young Kim and Seung Hun Choi and Sung Woo Chung}, title = {Thermal-aware adaptive {VM} allocation considering server locations in heterogeneous data centers}, journal = {J. Syst. Archit.}, volume = {117}, pages = {102071}, year = {2021}, url = {https://doi.org/10.1016/j.sysarc.2021.102071}, doi = {10.1016/J.SYSARC.2021.102071}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KimKCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KeelKKBKCSLSKAK21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Seung{-}Chul Shin and Myeonggyun Kye and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Jesuk Lee}, title = {A 1.2-Mpixel Indirect Time-of-Flight Image Sensor With 4-Tap 3.5-{\(\mu\)}m Pixels for Peak Current Mitigation and Multi-User Interference Cancellation}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {11}, pages = {3209--3219}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3112405}, doi = {10.1109/JSSC.2021.3112405}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KeelKKBKCSLSKAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NaLLCLYSKKJJSCL21, author = {Dae{-}Hoon Na and Jang{-}Woo Lee and Seon{-}Kyoo Lee and Hwasuk Cho and Junha Lee and Manjae Yang and Eunjin Song and Anil Kavala and Tongsung Kim and Dong{-}Su Jang and Youngmin Jo and Ji{-}Yeon Shin and Byung{-}Kwan Chun and Tae{-}Sung Lee and Byunghoon Jeong and Chiweon Yoon and Dongku Kang and Seungjae Lee and Jungdon Ihm and Dae{-}Seok Byeon and Jinyub Lee and Jai Hyuk Song}, title = {A 1.8-Gb/s/Pin 16-Tb {NAND} Flash Memory Multi-Chip Package With F-Chip for High-Performance and High-Capacity Storage}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {4}, pages = {1129--1140}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3052492}, doi = {10.1109/JSSC.2021.3052492}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NaLLCLYSKKJJSCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkZPSC21, author = {Chanmin Park and Wenda Zhao and Injun Park and Nan Sun and Youngcheol Chae}, title = {A 51-pJ/Pixel 33.7-dB {PSNR} 4{\texttimes} Compressive {CMOS} Image Sensor With Column-Parallel Single-Shot Compressive Sensing}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {8}, pages = {2503--2515}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3071875}, doi = {10.1109/JSSC.2021.3071875}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkZPSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.