Search dblp for Publications

export results for "toc:db/conf/islped/islped2004.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/Ali-BakhshianS04,
  author       = {M. Ali{-}Bakhshian and
                  K. Sadeghi},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A novel continuous-time common-mode feedback for low-voltage switched-OPAMP},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {296--300},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013308},
  doi          = {10.1145/1013235.1013308},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Ali-BakhshianS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AnanthanKR04,
  author       = {Hari Ananthan and
                  Chris H. Kim and
                  Kaushik Roy},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Larger-than-vdd forward body bias in sub-0.5V nanoscale {CMOS}},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {8--13},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013244},
  doi          = {10.1145/1013235.1013244},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AnanthanKR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BabighianBMM04,
  author       = {Pietro Babighian and
                  Luca Benini and
                  Alberto Macii and
                  Enrico Macii},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Post-layout leakage power minimization based on distributed sleep
                  transistor insertion},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {138--143},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013275},
  doi          = {10.1145/1013235.1013275},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BabighianBMM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BaniasadiM04,
  author       = {Amirali Baniasadi and
                  Andreas Moshovos},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {{SEPAS:} a highly accurate energy-efficient branch predictor},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {38--43},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013250},
  doi          = {10.1145/1013235.1013250},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BaniasadiM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Bryant04,
  author       = {Ray Bryant},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Why hot chips are no longer "cool"},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013236},
  doi          = {10.1145/1013235.1013236},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Bryant04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CalhounC04,
  author       = {Benton H. Calhoun and
                  Anantha P. Chandrakasan},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Characterizing and modeling minimum energy operation for subthreshold
                  circuits},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {90--95},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013265},
  doi          = {10.1145/1013235.1013265},
  timestamp    = {Mon, 27 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/CalhounC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChatterjeeSK04,
  author       = {Bhaskar Chatterjee and
                  Manoj Sachdev and
                  Ram Krishnamurthy},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A CPL-based dual supply 32-bit {ALU} for sub 180nm {CMOS} technologies},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {248--251},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013298},
  doi          = {10.1145/1013235.1013298},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChatterjeeSK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenC04,
  author       = {Deming Chen and
                  Jason Cong},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Delay optimal low-power circuit clustering for FPGAs with dual supply
                  voltages},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {70--73},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013259},
  doi          = {10.1145/1013235.1013259},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoC04,
  author       = {Youngjin Cho and
                  Naehyuck Chang},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Memory-aware energy-optimal frequency assignment for dynamic supply
                  voltage scaling},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {387--392},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013327},
  doi          = {10.1145/1013235.1013327},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChoiSP04,
  author       = {Kihwan Choi and
                  Ramakrishna Soma and
                  Massoud Pedram},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Dynamic voltage and frequency scaling based on workload decomposition},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {174--179},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013282},
  doi          = {10.1145/1013235.1013282},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChoiSP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ClarkPB04,
  author       = {Lawrence T. Clark and
                  Rakesh Patel and
                  Timothy S. Beatty},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Managing standby and active mode leakage power in deep sub-micron
                  design},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {274--279},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013239},
  doi          = {10.1145/1013235.1013239},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ClarkPB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Cornish04,
  author       = {John Cornish},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Balanced energy optimization},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {186},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013237},
  doi          = {10.1145/1013235.1013237},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Cornish04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Datta04,
  author       = {Supriyo Datta},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Understanding nanoscale conductors},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {325},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013240},
  doi          = {10.1145/1013235.1013240},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Datta04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ElgebalyS04,
  author       = {Mohamed Elgebaly and
                  Manoj Sachdev},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Efficient adaptive voltage scaling system through on-chip critical
                  path emulation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {375--380},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013325},
  doi          = {10.1145/1013235.1013325},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ElgebalyS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GhoneimaI04,
  author       = {Maged Ghoneima and
                  Yehea I. Ismail},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Delayed line bus scheme: a low-power bus scheme for coupled on-chip
                  buses},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {66--69},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013257},
  doi          = {10.1145/1013235.1013257},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GhoneimaI04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GorjiaraBC04,
  author       = {Bita Gorjiara and
                  Nader Bagherzadeh and
                  Pai H. Chou},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {An efficient voltage scaling algorithm for complex SoCs with few number
                  of voltage modes},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {381--386},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013326},
  doi          = {10.1145/1013235.1013326},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GorjiaraBC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GregoriLLLM04,
  author       = {Stefano Gregori and
                  Yunlei Li and
                  Huijuan Li and
                  Jin Liu and
                  Franco Maloberti},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {2.45 GHz power and data transmission for a low-power autonomous sensors
                  platform},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {269--273},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013303},
  doi          = {10.1145/1013235.1013303},
  timestamp    = {Thu, 02 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GregoriLLLM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HazelwoodB04,
  author       = {Kim M. Hazelwood and
                  David M. Brooks},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Eliminating voltage emergencies via microarchitectural voltage control
                  feedback and dynamic optimization},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {326--331},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013315},
  doi          = {10.1145/1013235.1013315},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HazelwoodB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeoA04,
  author       = {Seongmoo Heo and
                  Krste Asanovic},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Power-optimal pipelining in deep submicron technology},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {218--223},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013291},
  doi          = {10.1145/1013235.1013291},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HeoA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuBSZJB04,
  author       = {Zhigang Hu and
                  Alper Buyuktosunoglu and
                  Viji Srinivasan and
                  Victor V. Zyuban and
                  Hans M. Jacobson and
                  Pradip Bose},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Microarchitectural techniques for power gating of execution units},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {32--37},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013249},
  doi          = {10.1145/1013235.1013249},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuBSZJB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuSDM04,
  author       = {Jingcao Hu and
                  Youngsoo Shin and
                  Nagu R. Dhanwada and
                  Radu Marculescu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Architecting voltage islands in core-based system-on-a-chip designs},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {180--185},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013283},
  doi          = {10.1145/1013235.1013283},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuSDM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuangLK04,
  author       = {Ming{-}Feng Huang and
                  Shuenn{-}Yuh Lee and
                  Chung J. Kuo},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A {CMOS} even harmonic mixer with current reuse for low power applications},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {290--295},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013307},
  doi          = {10.1145/1013235.1013307},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuangLK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Jacobson04,
  author       = {Hans M. Jacobson},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Improved clock-gating through transparent pipelining},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {26--31},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013248},
  doi          = {10.1145/1013235.1013248},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Jacobson04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JejurikarG04,
  author       = {Ravindra Jejurikar and
                  Rajesh K. Gupta},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Dynamic voltage scaling for systemwide energy minimization in real-time
                  embedded systems},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {78--81},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013261},
  doi          = {10.1145/1013235.1013261},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JejurikarG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KaulSAK04,
  author       = {Himanshu Kaul and
                  Dennis Sylvester and
                  Mark A. Anders and
                  Ram Krishnamurthy},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Spatial encoding circuit techniques for peak power reduction of on-chip
                  high-performance buses},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {194--199},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013286},
  doi          = {10.1145/1013235.1013286},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KaulSAK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KawokgyS04,
  author       = {Mohamed Kawokgy and
                  C. Andr{\'{e}} T. Salama},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Low-power asynchronous viterbi decoder for wireless applications},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {286--289},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013306},
  doi          = {10.1145/1013235.1013306},
  timestamp    = {Mon, 15 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KawokgyS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KaxirasX04,
  author       = {Stefanos Kaxiras and
                  Polychronis Xekalakis},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {4T-decay sensors: a new class of small, fast, robust, and low-power,
                  temperature/leakage sensors},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {108--113},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013268},
  doi          = {10.1145/1013235.1013268},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KaxirasX04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KhandelwalS04,
  author       = {Vishal Khandelwal and
                  Ankur Srivastava},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Active mode leakage reduction using fine-grained forward body biasing
                  strategy},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {150--155},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013277},
  doi          = {10.1145/1013235.1013277},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KhandelwalS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimDJC04,
  author       = {Keunwoo Kim and
                  Koushik K. Das and
                  Rajiv V. Joshi and
                  Ching{-}Te Chuang},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Nanoscale {CMOS} circuit leakage power reduction by double-gate device},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {102--107},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013267},
  doi          = {10.1145/1013235.1013267},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimDJC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKBAM04,
  author       = {Nam Sung Kim and
                  Taeho Kgil and
                  Valeria Bertacco and
                  Todd M. Austin and
                  Trevor N. Mudge},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Microarchitectural power modeling techniques for deep sub-micron microprocessors},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {212--217},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013290},
  doi          = {10.1145/1013235.1013290},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimKBAM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKKS04,
  author       = {Suhwan Kim and
                  Stephen V. Kosonocky and
                  Daniel R. Knebel and
                  Kevin Stawiasz},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Experimental measurement of a novel power gating structure with intermediate
                  power saving mode},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {20--25},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013246},
  doi          = {10.1145/1013235.1013246},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimKKS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimKM04,
  author       = {Woonseok Kim and
                  Jihong Kim and
                  Sang Lyul Min},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Preemption-aware dynamic voltage scaling in hard real-time systems},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {393--398},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013328},
  doi          = {10.1145/1013235.1013328},
  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimKM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimP04,
  author       = {Joohee Kim and
                  Marios C. Papaefthymiou},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Constant-load energy recovery memory for efficient high-speed operation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {240--243},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013296},
  doi          = {10.1145/1013235.1013296},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KrintzWW04,
  author       = {Chandra Krintz and
                  Ye Wen and
                  Richard Wolski},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Application-level prediction of battery dissipation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {224--229},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013292},
  doi          = {10.1145/1013235.1013292},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KrintzWW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KulkarniSS04,
  author       = {Sarvesh H. Kulkarni and
                  Ashish Srivastava and
                  Dennis Sylvester},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A new algorithm for improved {VDD} assignment in low power dual {VDD}
                  systems},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {200--205},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013287},
  doi          = {10.1145/1013235.1013287},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KulkarniSS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LaiHKV04,
  author       = {Bo{-}Cheng Lai and
                  David Hwang and
                  Sungha Pete Kim and
                  Ingrid Verbauwhede},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Reducing radio energy consumption of key management protocols for
                  wireless sensor networks},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {351--356},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013320},
  doi          = {10.1145/1013235.1013320},
  timestamp    = {Tue, 12 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LaiHKV04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeDPABM04,
  author       = {Seokwoo Lee and
                  Shidhartha Das and
                  Toan Pham and
                  Todd M. Austin and
                  David T. Blaauw and
                  Trevor N. Mudge},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Reducing pipeline energy demands with local {DVS} and dynamic retiming},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {319--324},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013313},
  doi          = {10.1145/1013235.1013313},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeDPABM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiBHSB04,
  author       = {Yingmin Li and
                  David M. Brooks and
                  Zhigang Hu and
                  Kevin Skadron and
                  Pradip Bose},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Understanding the energy efficiency of simultaneous multithreading},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {44--49},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013251},
  doi          = {10.1145/1013235.1013251},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiBHSB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiC04,
  author       = {Dexin Li and
                  Pai H. Chou},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Maximizing efficiency of solar-powered systems by load matching},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {162--167},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013280},
  doi          = {10.1145/1013235.1013280},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiDVKI04,
  author       = {Lin Li and
                  Vijay Degalahal and
                  Narayanan Vijaykrishnan and
                  Mahmut T. Kandemir and
                  Mary Jane Irwin},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Soft error and energy consumption interactions: a data cache perspective},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {132--137},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013273},
  doi          = {10.1145/1013235.1013273},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiDVKI04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuF04,
  author       = {Yijun Liu and
                  Stephen B. Furber},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {The design of a low power asynchronous multiplier},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {301--306},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013310},
  doi          = {10.1145/1013235.1013310},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiuF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuWO04,
  author       = {Michael Liu and
                  Wei{-}Shen Wang and
                  Michael Orshansky},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Leakage power reduction by dual-vth designs under probabilistic analysis
                  of vth variation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {2--7},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013243},
  doi          = {10.1145/1013235.1013243},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiuWO04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MallikWM04,
  author       = {Arindam Mallik and
                  Matthew C. Wildrick and
                  Gokhan Memik},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Design and implementation of correlating caches},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {58--61},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013255},
  doi          = {10.1145/1013235.1013255},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MallikWM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Marculescu04,
  author       = {Diana Marculescu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Application adaptive energy efficient clustered architectures},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {344--349},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013318},
  doi          = {10.1145/1013235.1013318},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Marculescu04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MehrniaD04,
  author       = {Alireza Mehrnia and
                  Babak Daneshrad},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Minimizing power consumption and complexity in a programmable transmit
                  filter bank for {OFDM}},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {230--235},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013293},
  doi          = {10.1145/1013235.1013293},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MehrniaD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MeijerPG04,
  author       = {Maurice Meijer and
                  Francesco Pessolano and
                  Jos{\'{e}} Pineda de Gyvez},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Technology exploration for adaptive power and frequency scaling in
                  90nm {CMOS}},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {14--19},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013245},
  doi          = {10.1145/1013235.1013245},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MeijerPG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MinJH04,
  author       = {Rui Min and
                  Wen{-}Ben Jone and
                  Yiming Hu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Location cache: a low-power {L2} cache system},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {120--125},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013271},
  doi          = {10.1145/1013235.1013271},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MinJH04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Nassif04,
  author       = {Sani R. Nassif},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {The impact of variability on power},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {350},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013241},
  doi          = {10.1145/1013235.1013241},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Nassif04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NegriSMT04,
  author       = {Luca Negri and
                  Mariagiovanna Sami and
                  David Macii and
                  Alessandra Terranegra},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {FSM--based power modeling of wireless protocols: the case of bluetooth},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {369--374},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013323},
  doi          = {10.1145/1013235.1013323},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NegriSMT04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkC04,
  author       = {Chulsung Park and
                  Pai H. Chou},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Power utility maximization for multiple-supply systems by a load-matching
                  switch},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {168--173},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013281},
  doi          = {10.1145/1013235.1013281},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ParkC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkKPK04,
  author       = {Chanik Park and
                  Jeong{-}Uk Kang and
                  Seon{-}Yeong Park and
                  Jinsoo Kim},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Energy-aware demand paging on {NAND} flash-based embedded storages},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {338--343},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013317},
  doi          = {10.1145/1013235.1013317},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ParkKPK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PaulRR04,
  author       = {Bipul Chandra Paul and
                  Arijit Raychowdhury and
                  Kaushik Roy},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Device optimization for ultra-low power digital sub-threshold operation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {96--101},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013266},
  doi          = {10.1145/1013235.1013266},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PaulRR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PettisCL04,
  author       = {Nathaniel Pettis and
                  Le Cai and
                  Yung{-}Hsiang Lu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Dynamic power management for streaming data},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {62--65},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013256},
  doi          = {10.1145/1013235.1013256},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PettisCL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaghunathanPWNJ04,
  author       = {Vijay Raghunathan and
                  Trevor Pering and
                  Roy Want and
                  Alex Nguyen and
                  Peter Jensen},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Experience with a low power wireless mobile computing platform},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {363--368},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013322},
  doi          = {10.1145/1013235.1013322},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaghunathanPWNJ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RamaniB04,
  author       = {Shiva Shankar Ramani and
                  Sanjukta Bhanja},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Any-time probabilistic switching model using bayesian networks},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {86--89},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013263},
  doi          = {10.1145/1013235.1013263},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RamaniB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RaoASBNN04,
  author       = {Rahul M. Rao and
                  Kanak Agarwal and
                  Dennis Sylvester and
                  Richard B. Brown and
                  Kevin J. Nowka and
                  Sani R. Nassif},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Approaches to run-time and standby mode leakage reduction in global
                  buses},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {188--193},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013285},
  doi          = {10.1145/1013235.1013285},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RaoASBNN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SalernoBMP04,
  author       = {Sabino Salerno and
                  Alberto Bocca and
                  Enrico Macii and
                  Massimo Poncino},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Limited intra-word transition codes: an energy-efficient bus encoding
                  for {LCD} display interfaces},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {206--211},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013288},
  doi          = {10.1145/1013235.1013288},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SalernoBMP04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SchromHHKGNKD04,
  author       = {Gerhard Schrom and
                  Peter Hazucha and
                  Jae{-}Hong Hahn and
                  Volkan Kursun and
                  Donald S. Gardner and
                  Siva G. Narendra and
                  Tanay Karnik and
                  Vivek De},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Feasibility of monolithic and 3D-stacked {DC-DC} converters for microprocessors
                  in 90nm technology generation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {263--268},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013302},
  doi          = {10.1145/1013235.1013302},
  timestamp    = {Mon, 28 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SchromHHKGNKD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SuQQ04,
  author       = {Hang Su and
                  Peiliang Qiu and
                  Qinru Qiu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {{ESACW:} an adaptive algorithm for transmission power reduction in
                  wireless networks},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {82--85},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013262},
  doi          = {10.1145/1013235.1013262},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SuQQ04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SuzukiJR04,
  author       = {Hiroaki Suzuki and
                  Woopyo Jeong and
                  Kaushik Roy},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Low-power carry-select adder using adaptive supply voltage based on
                  input vector patterns},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {313--318},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013312},
  doi          = {10.1145/1013235.1013312},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SuzukiJR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TalpesM04,
  author       = {Emil Talpes and
                  Diana Marculescu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Impact of technology scaling on energy aware execution cache-based
                  microarchitectures},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {50--53},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013253},
  doi          = {10.1145/1013235.1013253},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TalpesM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TaylorS04,
  author       = {R. Reed Taylor and
                  Herman Schmit},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Creating a power-aware structured {ASIC}},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {74--77},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013260},
  doi          = {10.1145/1013235.1013260},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TaylorS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Thakkar04,
  author       = {Shreekant (Ticky) Thakkar},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Battery life challenges on future mobile notebook platforms},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {187},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013238},
  doi          = {10.1145/1013235.1013238},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Thakkar04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TsengOLL04,
  author       = {Hui{-}Chin Tseng and
                  Hsin{-}Hung Ou and
                  Chi{-}Sheng Lin and
                  Bin{-}Da Liu},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A low-power rail-to-rail 6-bit flash {ADC} based on a novel complementary
                  average-value approach},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {252--256},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013300},
  doi          = {10.1145/1013235.1013300},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TsengOLL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VerhelstVSD04,
  author       = {Marian Verhelst and
                  Wim Vereecken and
                  Michiel Steyaert and
                  Wim Dehaene},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Architectures for low power ultra-wideband radio receivers in the
                  3.1-5GHz band for data rates {\textless} 10Mbps},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {280--285},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013305},
  doi          = {10.1145/1013235.1013305},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/VerhelstVSD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangKY04,
  author       = {Jinn{-}Shyan Wang and
                  Chien{-}Nan Kuo and
                  Tsung{-}Han Yang},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Low-power fixed-width array multipliers},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {307--312},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013311},
  doi          = {10.1145/1013235.1013311},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangKY04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangM04,
  author       = {Baohua Wang and
                  Pinaki Mazumder},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {On optimality of adiabatic switching in {MOS} energy-recovery circuit},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {236--239},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013295},
  doi          = {10.1145/1013235.1013295},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangM04a,
  author       = {Baohua Wang and
                  Pinaki Mazumder},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {On optimality of adiabatic switching in {MOS} energy-recovery circuit},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {332--337},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013316},
  doi          = {10.1145/1013235.1013316},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangM04a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/YangL04,
  author       = {Chia{-}Lin Yang and
                  Chien{-}Hao Lee},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {HotSpot cache: joint temporal and spatial locality exploitation for
                  i-cache energy reduction},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {114--119},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013270},
  doi          = {10.1145/1013235.1013270},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/YangL04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhanDK04,
  author       = {Jing{-}Hong Conan Zhan and
                  Jon S. Duster and
                  Kevin T. Kornegay},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A comparative study of {MOS} VCOs for low voltage high performance
                  operation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {244--247},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013297},
  doi          = {10.1145/1013235.1013297},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ZhanDK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangMS04,
  author       = {Chuang Zhang and
                  Dongsheng Ma and
                  Ashok Kumar Srivastava},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Integrated adaptive {DC/DC} conversion with adaptive pulse-train technique
                  for low-ripple fast-response regulation},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {257--262},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013301},
  doi          = {10.1145/1013235.1013301},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangMS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangVYN04,
  author       = {Chuanjun Zhang and
                  Frank Vahid and
                  Jun Yang and
                  Walid A. Najjar},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A way-halting cache for low-energy high-performance systems},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {126--131},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013272},
  doi          = {10.1145/1013235.1013272},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangVYN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangWB04,
  author       = {Songqing Zhang and
                  Vineet Wason and
                  Kaustav Banerjee},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {A probabilistic framework to estimate full-chips subthreshold leakage
                  power distribution considering within-die and die-to-die {P-T-V} variations},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {156--161},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013278},
  doi          = {10.1145/1013235.1013278},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangWB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhouCJHM04,
  author       = {Feng Zhou and
                  Chunhong Chen and
                  Dawei Jin and
                  Chenling Huang and
                  Hao Min},
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Evaluating and optimizing power consumption of anti-collision protocols
                  for applications in {RFID} systems},
  booktitle    = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1013235.1013321},
  doi          = {10.1145/1013235.1013321},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhouCJHM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2004,
  editor       = {Rajiv V. Joshi and
                  Kiyoung Choi and
                  Vivek Tiwari and
                  Kaushik Roy},
  title        = {Proceedings of the 2004 International Symposium on Low Power Electronics
                  and Design, 2004, Newport Beach, California, USA, August 9-11, 2004},
  publisher    = {{ACM}},
  year         = {2004},
  timestamp    = {Wed, 27 Jul 2016 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics