"Voltage scaling and dark silicon in symmetric multicore processors."

Hamid Nejatollahi, Mostafa E. Salehi (2015)

Details and statistics

DOI: 10.1007/S11227-015-1486-9

access: closed

type: Journal Article

metadata version: 2020-05-22

a service of  Schloss Dagstuhl - Leibniz Center for Informatics