"Thermal-aware P/G TSV planning for IR drop reduction in 3D ICs."

Zuowei Li et al. (2013)

Details and statistics

DOI: 10.1016/J.VLSI.2012.05.002

access: closed

type: Journal Article

metadata version: 2020-02-20

a service of  Schloss Dagstuhl - Leibniz Center for Informatics