default search action
Stefanos Kaxiras
Person information
- affiliation: Uppsala University
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2024
- [c97]Ashkan Asgharzadeh, Eduardo José Gómez-Hernández, Juan M. Cebrian, Stefanos Kaxiras, Alberto Ros:
Hardware Cache Locking for All Memory Updates. ICCD 2024: 566-574 - [c96]Yuan Yao, Xiaoyue Chen, Hannah Atmer, Stefanos Kaxiras:
TangramFP: Energy-Efficient, Bit-Parallel, Multiply-Accumulate for Deep Neural Networks. SBAC-PAD 2024: 1-12 - [c95]Pavlos Aimoniotis, Stefanos Kaxiras:
JANUS: A Simple and Efficient Speculative Defense using Reinforcement Learning. SBAC-PAD 2024: 25-36 - [c94]Per Ekemark, Alberto Ros, Konstantinos Sagonas, Stefanos Kaxiras:
A First Exploration of Fine-Grain Coherence for Integrity Metadata. SEED 2024: 62-72 - [c93]Weining Song, Stefanos Kaxiras, Thiemo Voigt, Yuan Yao, Luca Mottola:
TaDA: Task Decoupling Architecture for the Battery-less Internet of Things. SenSys 2024: 409-421 - 2023
- [j29]Josué Feliu, Alberto Ros, Manuel E. Acacio, Stefanos Kaxiras:
Speculative inter-thread store-to-load forwarding in SMT architectures. J. Parallel Distributed Comput. 173: 94-106 (2023) - [j28]Christos Sakalis, Stefanos Kaxiras, Magnus Själander:
Delay-on-Squash: Stopping Microarchitectural Replay Attacks in Their Tracks. ACM Trans. Archit. Code Optim. 20(1): 9:1-9:24 (2023) - [c92]Weining Song, Stefanos Kaxiras, Luca Mottola, Thiemo Voigt, Yuan Yao:
Silent Stores in the Battery-less Internet of Things: A Good Idea? EWSN 2023: 40-45 - [c91]Xiaoyue Chen, Pavlos Aimoniotis, Stefanos Kaxiras:
How addresses are made. IISWC 2023: 223-225 - [c90]Amund Bergland Kvalsvik, Pavlos Aimoniotis, Stefanos Kaxiras, Magnus Själander:
Doppelganger Loads: A Safe, Complexity-Effective Optimization for Secure Speculation Schemes. ISCA 2023: 53:1-53:13 - [c89]Pavlos Aimoniotis, Amund Bergland Kvalsvik, Xiaoyue Chen, Magnus Själander, Stefanos Kaxiras:
ReCon: Efficient Detection, Management, and Use of Non-Speculative Information Leakage. MICRO 2023: 828-842 - [i7]Xiaoyue Chen, Pavlos Aimoniotis, Stefanos Kaxiras:
Clueless: A Tool Characterising Values Leaking as Addresses. CoRR abs/2301.10618 (2023) - 2022
- [j27]Marina Shimchenko, J. Rubén Titos Gil, Ricardo Fernández Pascual, Manuel E. Acacio, Stefanos Kaxiras, Alberto Ros, Alexandra Jimborean:
Analysing software prefetching opportunities in hardware transactional memory. J. Supercomput. 78(1): 919-944 (2022) - [c88]Xiaoyue Chen, Pavlos Aimoniotis, Stefanos Kaxiras:
Clueless: A Tool Characterising Values Leaking as Addresses. HASP@MICRO 2022: 27-34 - [c87]Eduardo José Gómez-Hernández, Juan M. Cebrian, Stefanos Kaxiras, Alberto Ros:
Splash-4: A Modern Benchmark Suite with Lock-Free Constructs. IISWC 2022: 51-64 - [c86]Ashkan Asgharzadeh, Juan M. Cebrian, Arthur Perais, Stefanos Kaxiras, Alberto Ros:
Free atomics: hardware atomic operations without fences. ISCA 2022: 14-26 - [c85]Pavlos Aimoniotis, Amund Bergland Kvalsvik, Magnus Själander, Stefanos Kaxiras:
Data-Out Instruction-In (DOIN!): Leveraging Inclusive Caches to Attack Speculative Delay Schemes. SEED 2022: 49-60 - 2021
- [j26]Pavlos Aimoniotis, Christos Sakalis, Magnus Själander, Stefanos Kaxiras:
Reorder Buffer Contention: A Forward Speculative Interference Attack for Speculation Invariant Instructions. IEEE Comput. Archit. Lett. 20(2): 162-165 (2021) - [j25]Ricardo Alves, Stefanos Kaxiras, David Black-Schaffer:
Early Address Prediction: Efficient Pipeline Prefetch and Reuse. ACM Trans. Archit. Code Optim. 18(3): 39:1-39:22 (2021) - [c84]Per Ekemark, Yuan Yao, Alberto Ros, Konstantinos Sagonas, Stefanos Kaxiras:
TSOPER: Efficient Coherence-Based Strict Persistency. HPCA 2021: 125-138 - [c83]Eduardo José Gómez-Hernández, Ruixiang Shao, Christos Sakalis, Stefanos Kaxiras, Alberto Ros:
Splash-4: Improving Scalability with Lock-Free Constructs. ISPASS 2021: 235-236 - [c82]Eduardo José Gómez-Hernández, Juan M. Cebrian, J. Rubén Titos Gil, Stefanos Kaxiras, Alberto Ros:
Efficient, Distributed, and Non-Speculative Multi-Address Atomic Operations. MICRO 2021: 337-349 - [c81]Josué Feliu, Alberto Ros, Manuel E. Acacio, Stefanos Kaxiras:
ITSLF: Inter-Thread Store-to-Load Forwardingin Simultaneous Multithreading. MICRO 2021: 1296-1308 - [c80]Christos Sakalis, Zamshed I. Chowdhury, Shayne Wadle, Ismail Akturk, Alberto Ros, Magnus Själander, Stefanos Kaxiras, Ulya R. Karpuzcu:
Do Not Predict - Recompute! How Value Recomputation Can Truly Boost the Performance of Invisible Speculation. SEED 2021: 89-100 - [c79]Christos Sakalis, Magnus Själander, Stefanos Kaxiras:
Seeds of SEED: Preventing Priority Inversion in Instruction Scheduling to Disrupt Speculative Interference. SEED 2021: 101-107 - [i6]Christos Sakalis, Zamshed I. Chowdhury, Shayne Wadle, Ismail Akturk, Alberto Ros, Magnus Själander, Stefanos Kaxiras, Ulya R. Karpuzcu:
On Value Recomputation to Accelerate Invisible Speculation. CoRR abs/2102.10932 (2021) - [i5]Christos Sakalis, Stefanos Kaxiras, Magnus Själander:
Selectively Delaying Instructions to Prevent Microarchitectural Replay Attacks. CoRR abs/2103.10692 (2021) - [i4]Pavlos Aimoniotis, Christos Sakalis, Magnus Själander, Stefanos Kaxiras:
"It's a Trap!"-How Speculation Invariance Can Be Abused with Forward Speculative Interference. CoRR abs/2109.10774 (2021) - 2020
- [j24]Christos Sakalis, Alexandra Jimborean, Stefanos Kaxiras, Magnus Själander:
Evaluating the Potential Applications of Quaternary Logic for Approximate Computing. ACM J. Emerg. Technol. Comput. Syst. 16(1): 5:1-5:25 (2020) - [j23]Christos Sakalis, Stefanos Kaxiras, Alberto Ros, Alexandra Jimborean, Magnus Själander:
Understanding Selective Delay as a Method for Efficient Secure Speculative Execution. IEEE Trans. Computers 69(11): 1584-1595 (2020) - [c78]Kim-Anh Tran, Christos Sakalis, Magnus Själander, Alberto Ros, Stefanos Kaxiras, Alexandra Jimborean:
Clearing the Shadows: Recovering Lost Performance for Invisible Speculative Execution through HW/SW Co-Design. PACT 2020: 241-254 - [c77]Mehdi Alipour, Stefanos Kaxiras, David Black-Schaffer, Rakesh Kumar:
Delay and Bypass: Ready and Criticality Aware Instruction Scheduling in Out-of-Order Processors. HPCA 2020: 424-434 - [c76]Alberto Ros, Stefanos Kaxiras:
Speculative Enforcement of Store Atomicity. MICRO 2020: 555-567 - [c75]Juan M. Cebrian, Stefanos Kaxiras, Alberto Ros:
Boosting Store Buffer Efficiency with Store-Prefetch Bursts. MICRO 2020: 568-580
2010 – 2019
- 2019
- [j22]Mehdi Alipour, Trevor E. Carlson, David Black-Schaffer, Stefanos Kaxiras:
Maximizing Limited Resources: a Limit-Based Study and Taxonomy of Out-of-Order Commit. J. Signal Process. Syst. 91(3-4): 379-397 (2019) - [c74]Christos Sakalis, Mehdi Alipour, Alberto Ros, Alexandra Jimborean, Stefanos Kaxiras, Magnus Själander:
Ghost loads: what is the cost of invisible speculation? CF 2019: 153-163 - [c73]Mehdi Alipour, Rakesh Kumar, Stefanos Kaxiras, David Black-Schaffer:
FIFOrder MicroArchitecture: Ready-Aware Instruction Scheduling for OoO Processors. DATE 2019: 716-721 - [c72]Ricardo Alves, Alberto Ros, David Black-Schaffer, Stefanos Kaxiras:
Filter caching for free: the untapped potential of the store-buffer. ISCA 2019: 436-448 - [c71]Christos Sakalis, Stefanos Kaxiras, Alberto Ros, Alexandra Jimborean, Magnus Själander:
Efficient invisible speculative execution through selective delay and value prediction. ISCA 2019: 723-735 - 2018
- [j21]Parosh Aziz Abdulla, Mohamed Faouzi Atig, Stefanos Kaxiras, Carl Leonardsson, Alberto Ros, Yunyun Zhu:
Mending Fences with Self-Invalidation and Self-Downgrade. Log. Methods Comput. Sci. 14(1) (2018) - [j20]Stefanos Kaxiras, Trevor E. Carlson, Mehdi Alipour, Alberto Ros:
Non-Speculative Load Reordering in Total Store Ordering. IEEE Micro 38(3): 48-57 (2018) - [j19]Kim-Anh Tran, Trevor E. Carlson, Konstantinos Koukos, Magnus Själander, Vasileios Spiliopoulos, Stefanos Kaxiras, Alexandra Jimborean:
Static Instruction Scheduling for High Performance on Limited Hardware. IEEE Trans. Computers 67(4): 513-527 (2018) - [j18]Alexandra Jimborean, Per Ekemark, Jonatan Waern, Stefanos Kaxiras, Alberto Ros:
Automatic Detection of Large Extended Data-Race-Free Regions with Conflict Isolation. IEEE Trans. Parallel Distributed Syst. 29(3): 527-541 (2018) - [c70]Ricardo Alves, Stefanos Kaxiras, David Black-Schaffer:
Dynamically Disabling Way-prediction to Reduce Instruction Replay. ICCD 2018: 140-143 - [c69]Alberto Ros, Stefanos Kaxiras:
Non-Speculative Store Coalescing in Total Store Order. ISCA 2018: 221-234 - [c68]Alberto Ros, Stefanos Kaxiras:
The Superfluous Load Queue. MICRO 2018: 95-107 - [c67]Kim-Anh Tran, Alexandra Jimborean, Trevor E. Carlson, Konstantinos Koukos, Magnus Själander, Stefanos Kaxiras:
SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order cores. PLDI 2018: 328-343 - 2017
- [j17]Trevor E. Carlson, Kim-Anh Tran, Alexandra Jimborean, Konstantinos Koukos, Magnus Själander, Stefanos Kaxiras:
Transcending Hardware Limits with Software Out-of-Order Processing. IEEE Comput. Archit. Lett. 16(2): 162-165 (2017) - [j16]Alberto Ros, Carl Leonardsson, Christos Sakalis, Stefanos Kaxiras:
Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics. IEEE Trans. Parallel Distributed Syst. 28(12): 3413-3425 (2017) - [c66]Mehdi Alipour, Trevor E. Carlson, Stefanos Kaxiras:
Exploring the Performance Limits of Out-of-order Commit. Conf. Computing Frontiers 2017: 211-220 - [c65]Alexandra Jimborean, Jonatan Waern, Per Ekemark, Stefanos Kaxiras, Alberto Ros:
Automatic detection of extended data-race-free regions. CGO 2017: 14-26 - [c64]Kim-Anh Tran, Trevor E. Carlson, Konstantinos Koukos, Magnus Själander, Vasileios Spiliopoulos, Stefanos Kaxiras, Alexandra Jimborean:
Clairvoyance: look-ahead compile-time scheduling. CGO 2017: 171-184 - [c63]Alberto Ros, Trevor E. Carlson, Mehdi Alipour, Stefanos Kaxiras:
Non-Speculative Load-Load Reordering in TSO. ISCA 2017: 187-200 - [c62]Mehdi Alipour, Trevor E. Carlson, Stefanos Kaxiras:
A taxonomy of out-of-order instruction commit. ISPASS 2017: 135-136 - [c61]Ricardo Alves, Nikos Nikoleris, Stefanos Kaxiras, David Black-Schaffer:
Addressing Energy Challenges in Filter Caches. SBAC-PAD 2017: 49-56 - [i3]Anton Weber, Kim-Anh Tran, Stefanos Kaxiras, Alexandra Jimborean:
Decoupled Access-Execute on ARM big.LITTLE. CoRR abs/1701.05478 (2017) - 2016
- [j15]Konstantinos Koukos, Alberto Ros, Erik Hagersten, Stefanos Kaxiras:
Building Heterogeneous Unified Virtual Memories (UVMs) without the Overhead. ACM Trans. Archit. Code Optim. 13(1): 1:1-1:22 (2016) - [c60]Alberto Ros, Carl Leonardsson, Christos Sakalis, Stefanos Kaxiras:
POSTER: Efficient Self-Invalidation/Self-Downgrade for Critical Sections with Relaxed Semantics. PACT 2016: 433-434 - [c59]Konstantinos Koukos, Per Ekemark, Georgios Zacharopoulos, Vasileios Spiliopoulos, Stefanos Kaxiras, Alexandra Jimborean:
Multiversioned decoupled access-execute: the key to energy-efficient compilation of general-purpose programs. CC 2016: 121-131 - [c58]Magnus Själander, Gustaf Borgström, Mykhailo V. Klymenko, Françoise Remacle, Stefanos Kaxiras:
Techniques for modulating error resilience in emerging multi-value technologies. Conf. Computing Frontiers 2016: 55-63 - [c57]Parosh Aziz Abdulla, Mohamed Faouzi Atig, Stefanos Kaxiras, Carl Leonardsson, Alberto Ros, Yunyun Zhu:
Fencing Programs with Self-Invalidation and Self-Downgrade. FORTE 2016: 19-35 - [c56]Christos Sakalis, Carl Leonardsson, Stefanos Kaxiras, Alberto Ros:
Splash-3: A properly synchronized benchmark suite for contemporary research. ISPASS 2016: 101-111 - [c55]Alberto Ros, Stefanos Kaxiras:
Racer: TSO consistency via race detection. MICRO 2016: 33:1-33:13 - [i2]Jonatan Waern, Per Ekemark, Konstantinos Koukos, Stefanos Kaxiras, Alexandra Jimborean:
Profiling-Assisted Decoupled Access-Execute. CoRR abs/1601.01722 (2016) - [i1]Parosh Aziz Abdulla, Mohamed Faouzi Atig, Stefanos Kaxiras, Carl Leonardsson, Alberto Ros, Yunyun Zhu:
Mending Fences with Self-Invalidation and Self-Downgrade. CoRR abs/1611.07372 (2016) - 2015
- [j14]Mahdad Davari, Alberto Ros, Erik Hagersten, Stefanos Kaxiras:
The Effects of Granularity and Adaptivity on Private/Shared Classification for Coherence. ACM Trans. Archit. Code Optim. 12(3): 26:1-26:21 (2015) - [c54]Mahdad Davari, Alberto Ros, Erik Hagersten, Stefanos Kaxiras:
An Efficient, Self-Contained, On-chip Directory: DIR1-SISD. PACT 2015: 317-330 - [c53]Alberto Ros, Stefanos Kaxiras:
Fast&Furious: A Tool for Detecting Covert Racing. PARMA-DITAM@HiPEAC 2015: 1-6 - [c52]Alberto Ros, Mahdad Davari, Stefanos Kaxiras:
Hierarchical private/shared classification: The key to simple and efficient coherence for clustered cache hierarchies. HPCA 2015: 186-197 - [c51]Stefanos Kaxiras, David Klaftenegger, Magnus Norgren, Alberto Ros, Konstantinos Sagonas:
Turning Centralized Coherence and Distributed Critical-Section Execution on their Head: A New Approach for Scalable Distributed Shared Memory. HPDC 2015: 3-14 - [c50]Andreas Sandberg, Nikos Nikoleris, Trevor E. Carlson, Erik Hagersten, Stefanos Kaxiras, David Black-Schaffer:
Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed. IISWC 2015: 183-192 - [c49]Trevor E. Carlson, Wim Heirman, Osman Allam, Stefanos Kaxiras, Lieven Eeckhout:
The load slice core microarchitecture. ISCA 2015: 272-284 - [c48]Alberto Ros, Stefanos Kaxiras:
Callback: efficient synchronization without invalidation with a directory just for spin-waiting. ISCA 2015: 427-438 - 2014
- [b2]Magnus Själander, Margaret Martonosi, Stefanos Kaxiras:
Power-Efficient Computer Architectures: Recent Advances. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2014, ISBN 978-3-031-00617-3 - [j13]Juan M. Cebrian, Daniel Sánchez, Juan L. Aragón, Stefanos Kaxiras:
Managing power constraints in a single-core scenario through power tokens. J. Supercomput. 68(1): 414-442 (2014) - [c47]Alexandra Jimborean, Konstantinos Koukos, Vasileios Spiliopoulos, David Black-Schaffer, Stefanos Kaxiras:
Fix the code. Don't tweak the hardware: A new compiler approach to Voltage-Frequency scaling. CGO 2014: 262 - [c46]Magnus Själander, Nina Shariati Nilsson, Stefanos Kaxiras:
A tunable cache for approximate computing. NANOARCH 2014: 88-89 - 2013
- [j12]Juan M. Cebrian, Daniel Sánchez, Juan L. Aragón, Stefanos Kaxiras:
Efficient inter-core power and thermal balancing for multicore processors. Computing 95(7): 537-566 (2013) - [c45]Konstantinos Koukos, David Black-Schaffer, Vasileios Spiliopoulos, Stefanos Kaxiras:
Towards more efficient execution: a decoupled access-execute approach. ICS 2013: 253-262 - [c44]Stefanos Kaxiras, Alberto Ros:
A new perspective for efficient virtual-cache coherence. ISCA 2013: 535-546 - [c43]Vasileios Spiliopoulos, Akash Bagdia, Andreas Hansson, Peter Aldworth, Stefanos Kaxiras:
Introducing DVFS-Management in a Full-System Simulator. MASCOTS 2013: 535-545 - 2012
- [c42]Alberto Ros, Stefanos Kaxiras:
Complexity-effective multicore coherence. PACT 2012: 241-252 - [c41]Stephan Wong, Luigi Carro, Stamatios Kavvadias, Georgios Keramidas, Francesco Papariello, Claudio Scordino, Roberto Giorgi, Stefanos Kaxiras:
Embedded reconfigurable architectures. CASES 2012: 213-214 - [c40]Vasileios Spiliopoulos, Andreas Sembrant, Stefanos Kaxiras:
Power-Sleuth: A Tool for Investigating Your Program's Power Behavior. MASCOTS 2012: 241-250 - [c39]Georgios Keramidas, Chrysovalantis Datsios, Stefanos Kaxiras:
A framework for efficient cache resizing. ICSAMOS 2012: 76-85 - [c38]Stefanos Kaxiras, Alberto Ros:
Efficient, snoopless, System-on-Chip coherence. SoCC 2012: 230-235 - 2011
- [j11]Juan M. Cebrian, Juan L. Aragón, José M. García, Stefanos Kaxiras:
Leakage-efficient design of value predictors through state and non-state preserving techniques. J. Supercomput. 55(1): 28-50 (2011) - [c37]Georgios Keramidas, Nikolaos Strikos, Stefanos Kaxiras:
Multicore Cache Simulations Using Heterogeneous Computing on General Purpose and Graphics Processors. DSD 2011: 270-273 - [c36]Juan M. Cebrian, Juan L. Aragón, Stefanos Kaxiras:
Token3D: Reducing Temperature in 3D Die-Stacked CMPs through Cycle-Level Power Control Mechanisms. Euro-Par (1) 2011: 295-309 - [c35]Vasileios Spiliopoulos, Stefanos Kaxiras, Georgios Keramidas:
Green governors: A framework for Continuously Adaptive DVFS. IGCC 2011: 1-8 - [c34]Vasileios Spiliopoulos, Georgios Keramidas, Stefanos Kaxiras, Konstantinos Efstathiou:
Poster: DVFS management in real-processors. ICS 2011: 373 - [c33]Juan M. Cebrian, Juan L. Aragón, Stefanos Kaxiras:
Power Token Balancing: Adapting CMPs to Power Constraints for Parallel Multithreaded Workloads. IPDPS 2011: 431-442 - 2010
- [j10]Stefanos Kaxiras, Georgios Keramidas:
SARC Coherence: Scaling Directory Cache Coherence in Performance and Power. IEEE Micro 30(5): 54-65 (2010) - [c32]Pavlos Petoumenos, Georgia Psychou, Stefanos Kaxiras, Juan Manuel Cebrian Gonzalez, Juan L. Aragón:
MLP-Aware Instruction Queue Resizing: The Key to Power-Efficient Performance. ARCS 2010: 113-125 - [c31]Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras:
Where replacement algorithms fail: a thorough analysis. Conf. Computing Frontiers 2010: 141-150 - [c30]Georgios Keramidas, Vasileios Spiliopoulos, Stefanos Kaxiras:
Interval-based models for run-time DVFS orchestration in superscalar processors. Conf. Computing Frontiers 2010: 287-296 - [c29]Nikolaos M. Avouris, Stefanos Kaxiras, Odysseas G. Koufopavlou, Kyriakos N. Sgarbas, Polyxeni Stathopoulou:
Teaching Introduction to Computing Through a Project-Based Collaborative Learning Approach. Panhellenic Conference on Informatics 2010: 237-241
2000 – 2009
- 2009
- [j9]Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras:
Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. Trans. High Perform. Embed. Archit. Compil. 2: 4-22 (2009) - [c28]Demid Borodin, Ben H. H. Juurlink, Stefanos Kaxiras:
Instruction Precomputation for Fault Detection. DSD 2009: 91-99 - [c27]Juan M. Cebrian, Juan L. Aragón, José M. García, Pavlos Petoumenos, Stefanos Kaxiras:
Efficient microarchitecture policies for accurately adapting to power constraints. IPDPS 2009: 1-12 - [c26]Pavlos Petoumenos, Georgios Keramidas, Stefanos Kaxiras:
Instruction-based reuse-distance prediction for effective cache management. ICSAMOS 2009: 49-58 - 2008
- [b1]Stefanos Kaxiras, Margaret Martonosi:
Computer Architecture Techniques for Power-Efficiency. Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers 2008, ISBN 978-3-031-00593-0 - [j8]Georgios Keramidas, Alexandros Antonopoulos, Dimitrios N. Serpanos, Stefanos Kaxiras:
Non deterministic caches: a simple and effective defense against side channel attacks. Des. Autom. Embed. Syst. 12(3): 221-230 (2008) - [c25]Frederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras:
Low power microarchitecture with instruction reuse. Conf. Computing Frontiers 2008: 149-158 - 2007
- [c24]Juan M. Cebrian, Juan L. Aragón, José M. García, Stefanos Kaxiras:
Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors. Conf. Computing Frontiers 2007: 113-122 - [c23]Georgios Keramidas, Pavlos Petoumenos, Alexandros Antonopoulos, Stefanos Kaxiras, Dimitrios N. Serpanos:
Using value locality to reduce memory encryption overhead in embedded processors. ETFA 2007: 632-637 - [c22]Georgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras:
Applying Decay to Reduce Dynamic Power in Set-Associative Caches. HiPEAC 2007: 38-53 - [c21]Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras:
Cache replacement based on reuse-distance prediction. ICCD 2007: 245-250 - 2006
- [c20]Georgios Keramidas, Konstantinos Aisopos, Stefanos Kaxiras:
Dynamic Dictionary-Based Data Compression for Level-1 Caches. ARCS 2006: 114-129 - [c19]Jürgen Teich, Stefanos Kaxiras, Toomas P. Plaks, Krisztián Flautner:
Topic 18: Embedded Parallel Systems. Euro-Par 2006: 1179 - [c18]Pavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten:
Modeling Cache Sharing on Chip Multiprocessor Architectures. IISWC 2006: 160-171 - [c17]Georgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos:
Preventing Denial-of-Service Attacks in Shared CMP Caches. SAMOS 2006: 359-372 - 2005
- [c16]Stefanos Kaxiras, Georgios Keramidas:
IPStash: a set-associative memory approach for efficient IP-lookup. INFOCOM 2005: 992-1001 - [c15]Stefanos Kaxiras, Polychronis Xekalakis, Georgios Keramidas:
A simple mechanism to adapt leakage-control policies to temperature. ISLPED 2005: 54-59 - 2004
- [j7]Philo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras:
Implementing branch-predictor decay using quasi-static memory cells. ACM Trans. Archit. Code Optim. 1(2): 180-219 (2004) - [c14]Stefanos Kaxiras, Polychronis Xekalakis:
4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors. ISLPED 2004: 108-113 - 2003
- [c13]Zhigang Hu, Margaret Martonosi, Stefanos Kaxiras:
TCP: Tag Correlating Prefetchers. HPCA 2003: 317-326 - [c12]