default search action
Masoud Daneshtalab
Person information
- affiliation: University of Turku, Finland
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j60]Najafi Mohammadreza, Masoud Daneshtalab, Jeong-A Lee, Ghazal Saadloonia, Seokjoo Shin:
Enhancing Global Model Performance in Federated Learning With Non-IID Data Using a Data-Free Generative Diffusion Model. IEEE Access 12: 148230-148239 (2024) - [j59]Mohammad Hasan Ahmadilivani, Mahdi Taheri, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
A Systematic Literature Review on Hardware Reliability Assessment Methods for Deep Neural Networks. ACM Comput. Surv. 56(6): 141:1-141:39 (2024) - [j58]Ali Zoljodi, Sadegh Abadijou, Mina Alibeigi, Masoud Daneshtalab:
Contrastive Learning for Lane Detection via cross-similarity. Pattern Recognit. Lett. 185: 175-183 (2024) - [j57]Ali Asghar Sharifi, Ali Zoljodi, Masoud Daneshtalab:
TrajectoryNAS: A Neural Architecture Search for Trajectory Prediction. Sensors 24(17): 5696 (2024) - [c167]Joakim Lindén, Andreas Ermedahl, Hans Salomonsson, Masoud Daneshtalab, Björn Forsberg, Paris Carbone:
Autonomous Realization of Safety- and Time-Critical Embedded Artificial Intelligence. DATE 2024: 1-4 - [c166]Mahdi Taheri, Masoud Daneshtalab, Jaan Raik, Maksim Jenihhin, Salvatore Pappalardo, Paul Jiménez, Bastien Deveautour, Alberto Bosio:
SAFFIRA: a Framework for Assessing the Reliability of Systolic-Array-Based DNN Accelerators. DDECS 2024: 19-24 - [c165]Mahdi Taheri, Natalia Cherezova, Samira Nazari, Ahsan Rafiq, Ali Azarpeyvand, Tara Ghasempouri, Masoud Daneshtalab, Jaan Raik, Maksim Jenihhin:
AdAM: Adaptive Fault-Tolerant Approximate Multiplier for Edge DNN Accelerators. ETS 2024: 1-4 - [c164]Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
Bandwidth Reservation Analysis for Schedulability of AVB Traffic in TSN. ICIT 2024: 1-8 - [c163]Mohammad Hasan Ahmadilivani, Seyedhamidreza Mousavi, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
Cost-Effective Fault Tolerance for CNNs Using Parameter Vulnerability Based Hardening and Pruning. IOLTS 2024: 1-7 - [c162]Mahdi Taheri, Natalia Cherezova, Mohammad Saeed Ansari, Maksim Jenihhin, Ali Mahani, Masoud Daneshtalab, Jaan Raik:
Exploration of Activation Fault Reliability in Quantized Systolic Array-Based DNN Accelerators. ISQED 2024: 1-8 - [c161]Maksim Jenihhin, Mahdi Taheri, Natalia Cherezova, Mohammad Hasan Ahmadilivani, Hardi Selg, Artur Jutman, Konstantin Shibin, Anton Tsertov, Sergei Devadze, Rama Mounika Kodamanchili, Ahsan Rafiq, Jaan Raik, Masoud Daneshtalab:
Keynote: Cost-Efficient Reliability for Edge-AI Chips. LATS 2024: 1-2 - [i20]Mahdi Taheri, Natalia Cherezova, Mohammad Saeed Ansari, Maksim Jenihhin, Ali Mahani, Masoud Daneshtalab, Jaan Raik:
Exploration of Activation Fault Reliability in Quantized Systolic Array-Based DNN Accelerators. CoRR abs/2401.09509 (2024) - [i19]Mahdi Taheri, Natalia Cherezova, Samira Nazari, Ahsan Rafiq, Ali Azarpeyvand, Tara Ghasempouri, Masoud Daneshtalab, Jaan Raik, Maksim Jenihhin:
AdAM: Adaptive Fault-Tolerant Approximate Multiplier for Edge DNN Accelerators. CoRR abs/2403.02936 (2024) - [i18]Mahdi Taheri, Masoud Daneshtalab, Jaan Raik, Maksim Jenihhin, Salvatore Pappalardo, Paul Jiménez, Bastien Deveautour, Alberto Bosio:
SAFFIRA: a Framework for Assessing the Reliability of Systolic-Array-Based DNN Accelerators. CoRR abs/2403.02946 (2024) - [i17]Ali Asghar Sharifi, Ali Zoljodi, Masoud Daneshtalab:
TrajectoryNAS: A Neural Architecture Search for Trajectory Prediction. CoRR abs/2403.11695 (2024) - [i16]Mohammad Hasan Ahmadilivani, Seyedhamidreza Mousavi, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
Cost-Effective Fault Tolerance for CNNs Using Parameter Vulnerability Based Hardening and Pruning. CoRR abs/2405.10658 (2024) - [i15]Seyedhamidreza Mousavi, Mohammad Hasan Ahmadilivani, Jaan Raik, Maksim Jenihhin, Masoud Daneshtalab:
ProAct: Progressive Training for Hybrid Clipped Activation Function to Enhance Resilience of DNNs. CoRR abs/2406.06313 (2024) - 2023
- [j56]Mohammad K. Fallah, Mahmood Fazlali, Masoud Daneshtalab:
A symbiosis between population based incremental learning and LP-relaxation based parallel genetic algorithm for solving integer linear programming models. Computing 105(5): 1121-1139 (2023) - [j55]Zenepe Satka, Mohammad Ashjaei, Hossein Fotouhi, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
A comprehensive systematic review of integration of time sensitive networking and 5G communication. J. Syst. Archit. 138: 102852 (2023) - [j54]Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
Supporting end-to-end data propagation delay analysis for TSN-based distributed vehicular embedded systems. J. Syst. Archit. 141: 102911 (2023) - [j53]Hamid Mousavi, Mohammad Loni, Mina Alibeigi, Masoud Daneshtalab:
DASS: Differentiable Architecture Search for Sparse Neural Networks. ACM Trans. Embed. Comput. Syst. 22(5s): 105:1-105:21 (2023) - [c160]Seyed Ali Mousavi, Hamid Mousavi, Masoud Daneshtalab:
FARMUR: Fair Adversarial Retraining to Mitigate Unfairness in Robustness. ADBIS 2023: 133-145 - [c159]Hamid Mousavi, Ali Zoljodi, Masoud Daneshtalab:
Analysing Robustness of Tiny Deep Neural Networks. ADBIS (Short Papers) 2023: 150-159 - [c158]Joakim Lindén, Håkan Forsberg, Masoud Daneshtalab, Ingemar Söderquist:
Evaluating the Robustness of ML Models to Out-of-Distribution Data Through Similarity Analysis. ADBIS (Short Papers) 2023: 348-359 - [c157]Mohammad Hasan Ahmadilivani, Mahdi Taheri, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
Enhancing Fault Resilience of QNNs by Selective Neuron Splitting. AICAS 2023: 1-5 - [c156]Ali Monavari Bidgoli, Sepideh Fattahi, Seyyed Hossein Seyyedaghaei Rezaei, Mehdi Modarressi, Masoud Daneshtalab:
NeuroPIM: Felxible Neural Accelerator for Processing-in-Memory Architectures. DDECS 2023: 51-56 - [c155]Mahdi Taheri, Mohammad Hasan Ahmadilivani, Maksim Jenihhin, Masoud Daneshtalab, Jaan Raik:
APPRAISER: DNN Fault Resilience Analysis Employing Approximation Errors. DDECS 2023: 124-127 - [c154]Mohammad Hasan Ahmadilivani, Jaan Raik, Masoud Daneshtalab, Alar Kuusik:
Analysis and Improvement of Resilience for Long Short-Term Memory Neural Networks. DFT 2023: 1-4 - [c153]Aldin Berisa, Adis Panjevic, Imran Kovac, Hans Lyngbäck, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
Comparative Evaluation of Various Generations of Controller Area Network Based on Timing Analysis. ETFA 2023: 1-8 - [c152]Mohammad Hasan Ahmadilivani, Mahdi Taheri, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
DeepVigor: VulnerabIlity Value RanGes and FactORs for DNNs' Reliability Assessment. ETS 2023: 1-6 - [c151]Amin Yoosefi, Hamid Mousavi, Masoud Daneshtalab, Mehdi Kargahi:
Efficient On-device Transfer Learning using Activation Memory Reduction. FMEC 2023: 210-215 - [c150]Bahar Houtan, Mehmet Onur Aybek, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, John Lundbäck, Saad Mubeen:
End-to-end Timing Modeling and Analysis of TSN in Component-Based Vehicular Software. ISORC 2023: 126-135 - [c149]Aldin Berisa, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
Investigating and Analyzing CAN-to-TSN Gateway Forwarding Techniques. ISORC 2023: 136-145 - [c148]Mahdi Taheri, Mohammad Riazati, Mohammad Hasan Ahmadilivani, Maksim Jenihhin, Masoud Daneshtalab, Jaan Raik, Mikael Sjödin, Björn Lisper:
DeepAxe: A Framework for Exploration of Approximation and Reliability Trade-offs in DNN Accelerators. ISQED 2023: 1-8 - [c147]Mohammad Hasan Ahmadilivani, Mario Barbareschi, Salvatore Barone, Alberto Bosio, Masoud Daneshtalab, Salvatore Della Torca, Gabriele Gavarini, Maksim Jenihhin, Jaan Raik, Annachiara Ruospo, Ernesto Sánchez, Mahdi Taheri:
Special Session: Approximation and Fault Resiliency of DNN Accelerators. VTS 2023: 1-10 - [i14]Mehdi Asadi, Fatemeh Poursalim, Mohammad Loni, Masoud Daneshtalab, Mikael Sjödin, Arash Gharehbaghi:
Accurate Detection of Paroxysmal Atrial Fibrillation with Certified-GAN and Neural Architecture Search. CoRR abs/2301.10173 (2023) - [i13]Mina Ashoury, Mohammad Loni, Farshad Khunjush, Masoud Daneshtalab:
Auto-SpMV: Automated Optimizing SpMV Kernels on GPU. CoRR abs/2302.05662 (2023) - [i12]Mohammad Hasan Ahmadilivani, Mahdi Taheri, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
DeepVigor: Vulnerability Value Ranges and Factors for DNNs' Reliability Assessment. CoRR abs/2303.06931 (2023) - [i11]Mahdi Taheri, Mohammad Riazati, Mohammad Hasan Ahmadilivani, Maksim Jenihhin, Masoud Daneshtalab, Jaan Raik, Mikael Sjödin, Björn Lisper:
DeepAxe: A Framework for Exploration of Approximation and Reliability Trade-offs in DNN Accelerators. CoRR abs/2303.08226 (2023) - [i10]Mohammad Hasan Ahmadilivani, Mahdi Taheri, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
A Systematic Literature Review on Hardware Reliability Assessment Methods for Deep Neural Networks. CoRR abs/2305.05750 (2023) - [i9]Mahdi Taheri, Mohammad Hasan Ahmadilivani, Maksim Jenihhin, Masoud Daneshtalab, Jaan Raik:
APPRAISER: DNN Fault Resilience Analysis Employing Approximation Errors. CoRR abs/2305.19733 (2023) - [i8]Mohammad Hasan Ahmadilivani, Mario Barbareschi, Salvatore Barone, Alberto Bosio, Masoud Daneshtalab, Salvatore Della Torca, Gabriele Gavarini, Maksim Jenihhin, Jaan Raik, Annachiara Ruospo, Ernesto Sánchez, Mahdi Taheri:
Special Session: Approximation and Fault Resiliency of DNN Accelerators. CoRR abs/2306.04645 (2023) - [i7]Mohammad Hasan Ahmadilivani, Mahdi Taheri, Jaan Raik, Masoud Daneshtalab, Maksim Jenihhin:
Enhancing Fault Resilience of QNNs by Selective Neuron Splitting. CoRR abs/2306.09973 (2023) - [i6]Ali Zoljodi, Sadegh Abadijou, Mina Alibeigi, Masoud Daneshtalab:
Contrastive Learning for Lane Detection via Cross-Similarity. CoRR abs/2308.08242 (2023) - 2022
- [j52]Rajendra Singh, Manoj Kumar Bohra, Prashant Hemrajani, Anshuman Kalla, Devershi Pallavi Bhatt, Nitin Purohit, Masoud Daneshtalab:
Review, Analysis, and Implementation of Path Selection Strategies for 2D NoCs. IEEE Access 10: 129245-129268 (2022) - [j51]Seyed Ahmad Mirsalari, Najmeh Nazari, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab:
FaCT-LSTM: Fast and Compact Ternary Architecture for LSTM Recurrent Neural Networks. IEEE Des. Test 39(3): 45-53 (2022) - [j50]Mohammad Loni, Ali Zoljodi, Amin Majd, Byung Hoon Ahn, Masoud Daneshtalab, Mikael Sjödin, Hadi Esmaeilzadeh:
FastStereoNet: A Fast Neural Architecture Search for Improving the Inference of Disparity Estimation on Resource-Limited Platforms. IEEE Trans. Syst. Man Cybern. Syst. 52(8): 5222-5234 (2022) - [c146]Mohammad Riazati, Masoud Daneshtalab, Mikael Sjödin, Björn Lisper:
AutoDeepHLS: Deep Neural Network High-level Synthesis using fixed-point precision. AICAS 2022: 122-125 - [c145]Mohammad Loni, Hamid Mousavi, Mohammad Riazati, Masoud Daneshtalab, Mikael Sjödin:
TAS: Ternarized Neural Architecture Search for Resource-Constrained Edge Devices. DATE 2022: 1115-1118 - [c144]Bahar Houtan, Mehmet Onur Aybek, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
End-to-end Timing Model Extraction from TSN-Aware Distributed Vehicle Software. SEAA 2022: 366-369 - [c143]Ali Zoljodi, Mohammad Loni, Sadegh Abadijou, Mina Alibeigi, Masoud Daneshtalab:
3DLaneNAS: Neural Architecture Search for Accurate and Light-Weight 3D Lane Detection. ICANN (1) 2022: 404-415 - [c142]Mohammad Riazati, Masoud Daneshtalab, Mikael Sjödin, Björn Lisper:
DeepFlexiHLS: Deep Neural Network Flexible High-Level Synthesis Directive Generator. NorCAS 2022: 1-6 - [c141]Zenepe Satka, Mohammad Ashjaei, Hossein Fotouhi, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
QoS-MAN: A Novel QoS Mapping Algorithm for TSN-5G Flows. RTCSA 2022: 220-227 - [c140]Aldin Berisa, Luxi Zhao, Silviu S. Craciunas, Mohammad Ashjaei, Saad Mubeen, Masoud Daneshtalab, Mikael Sjödin:
AVB-aware Routing and Scheduling for Critical Traffic in Time-sensitive Networks with Preemption. RTNS 2022: 207-218 - [c139]Zenepe Satka, David Pantzar, Alexander Magnusson, Mohammad Ashjaei, Hossein Fotouhi, Mikael Sjödin, Masoud Daneshtalab, Saad Mubeen:
Developing a Translation Technique for Converged TSN-5G Communication. WFCS 2022: 1-8 - [i5]Hamid Mousavi, Mohammad Loni, Mina Alibeigi, Masoud Daneshtalab:
PR-DARTS: Pruning-Based Differentiable Architecture Search. CoRR abs/2207.06968 (2022) - [i4]Hamidreza Mahini, Hamid Mousavi, Masoud Daneshtalab:
GTFLAT: Game Theory Based Add-On For Empowering Federated Learning Aggregation Techniques. CoRR abs/2212.04103 (2022) - 2021
- [j49]Mohammad Ashjaei, Lucia Lo Bello, Masoud Daneshtalab, Gaetano Patti, Sergio Saponara, Saad Mubeen:
Time-Sensitive Networking in automotive embedded systems: State of the art and research opportunities. J. Syst. Archit. 117: 102137 (2021) - [j48]Saad Mubeen, Lucia Lo Bello, Masoud Daneshtalab, Sergio Saponara:
Guest Editorial: Special issue on parallel, distributed, and network-based processing in next-generation embedded systems. J. Syst. Archit. 117: 102159 (2021) - [c138]Bita Dabiri, Mehdi Modarressi, Masoud Daneshtalab:
Network-on-ReRAM for Scalable Processing-in-Memory Architecture Design. DSD 2021: 143-149 - [c137]Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Sara Afshar, Saad Mubeen:
Schedulability Analysis of Best-Effort Traffic in TSN Networks. ETFA 2021: 1-8 - [c136]Bahar Houtan, Albert Bergström, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
An Automated Configuration Framework for TSN Networks. ICIT 2021: 771-778 - [c135]Vahid Geraeinejad, Sima Sinaei, Mehdi Modarressi, Masoud Daneshtalab:
RoCo-NAS: Robust and Compact Neural Architecture Search. IJCNN 2021: 1-8 - [c134]Seyed Ahmad Mirsalari, Najmeh Nazari, Seyed Ali Ansarmohammadi, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab:
ELC-ECG: Efficient LSTM Cell for ECG Classification Based on Quantized Architecture. ISCAS 2021: 1-5 - [c133]Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
Synthesising Schedules to Improve QoS of Best-effort Traffic in TSN Networks. RTNS 2021: 68-77 - [c132]Najda Vidimlic, Alexandra Levin, Mohammad Loni, Masoud Daneshtalab:
Image Synthesisation and Data Augmentation for Safe Object Detection in Aircraft Auto-landing System. VISIGRAPP (5: VISAPP) 2021: 123-135 - 2020
- [j47]Seyyed Hossein Seyyedaghaei Rezaei, Mehdi Modarressi, Rachata Ausavarungnirun, Mohammad Sadrosadati, Onur Mutlu, Masoud Daneshtalab:
NoM: Network-on-Memory for Inter-Bank Data Transfer in Highly-Banked Memories. IEEE Comput. Archit. Lett. 19(1): 80-83 (2020) - [j46]Zahra Ebrahimi, Mohammad Loni, Masoud Daneshtalab, Arash Gharehbaghi:
A review on deep learning methods for ECG arrhythmia classification. Expert Syst. Appl. X 7: 100033 (2020) - [j45]Mohammad Loni, Sima Sinaei, Ali Zoljodi, Masoud Daneshtalab, Mikael Sjödin:
DeepMaker: A multi-objective optimization framework for deep neural networks in embedded systems. Microprocess. Microsystems 73: 102989 (2020) - [j44]Seyyed Amir Asghari, Mohammadreza Binesh Marvasti, Masoud Daneshtalab:
A software implemented comprehensive soft error detection method for embedded systems. Microprocess. Microsystems 77: 103161 (2020) - [j43]Hoda Mahdiani, Alireza Khadem, Azam Ghanbari, Mehdi Modarressi, Farima Fattahi-Bayat, Masoud Daneshtalab:
ΔNN: Power-Efficient Neural Network Acceleration Using Differential Weights. IEEE Micro 40(1): 67-74 (2020) - [c131]Mohammad Loni, Ali Zoljodi, Daniel Maier, Amin Majd, Masoud Daneshtalab, Mikael Sjödin, Ben H. H. Juurlink, Reza Akbari:
DenseDisp: Resource-Aware Disparity Map Estimation by Compressing Siamese Neural Architecture. CEC 2020: 1-8 - [c130]Mohammad Riazati, Masoud Daneshtalab, Mikael Sjödin, Björn Lisper:
SHiLA: Synthesizing High-Level Assertions for High-Speed Validation of High-Level Designs. DDECS 2020: 1-4 - [c129]Mohammad Riazati, Tara Ghasempouri, Masoud Daneshtalab, Jaan Raik, Mikael Sjödin, Björn Lisper:
Adjustable self-healing methodology for accelerated functions in heterogeneous systems. DSD 2020: 638-645 - [c128]Mohammad Riazati, Masoud Daneshtalab, Mikael Sjödin, Björn Lisper:
DeepHLS: A complete toolchain for automatic synthesis of deep neural networks to FPGA. ICECS 2020: 1-4 - [c127]Seyed Ahmad Mirsalari, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab:
MuBiNN: Multi-Level Binarized Recurrent Neural Network for EEG Signal Classification. ISCAS 2020: 1-5 - [c126]Najmeh Nazari, Seyed Ahmad Mirsalari, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab:
Multi-level Binarized LSTM in EEG Classification for Wearable Devices. PDP 2020: 175-181 - [c125]Mohammad K. Fallah, Mina Mirhosseini, Mahmood Fazlali, Masoud Daneshtalab:
Scalable Parallel Genetic Algorithm For Solving Large Integer Linear Programming Models Derived From Behavioral Synthesis. PDP 2020: 390-394 - [c124]Masoud Daneshtalab, Mats Brorsson:
Message from Program Co-Chairs: PDP 2020. PDP 2020: i - [c123]Masoud Daneshtalab, Francesco Leporati, Mikael Sjödin:
Preface from General Co-Chairs: PDP 2020. PDP 2020: i - [i3]Seyed Ahmad Mirsalari, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab:
MuBiNN: Multi-Level Binarized Recurrent Neural Network for EEG signal Classification. CoRR abs/2004.08914 (2020) - [i2]Seyyed Hossein Seyyedaghaei Rezaei, Mehdi Modarressi, Rachata Ausavarungnirun, Mohammad Sadrosadati, Onur Mutlu, Masoud Daneshtalab:
NOM: Network-On-Memory for Inter-Bank Data Transfer in Highly-Banked Memories. CoRR abs/2004.09923 (2020) - [i1]Najmeh Nazari, Seyed Ahmad Mirsalari, Sima Sinaei, Mostafa E. Salehi, Masoud Daneshtalab:
Multi-level Binarized LSTM in EEG Classification for Wearable Devices. CoRR abs/2004.11206 (2020)
2010 – 2019
- 2019
- [j42]Naveed Khan Baloch, Muhammad Iram Baig, Masoud Daneshtalab:
Defender: A Low Overhead and Efficient Fault-Tolerant Mechanism for Reliable on-Chip Router. IEEE Access 7: 142843-142854 (2019) - [j41]Fahimeh Yazdanpanah, Raheel Afsharmazayejani, Mohammad Alaei, Amin Rezaei, Masoud Daneshtalab:
An energy-efficient partition-based XYZ-planar routing algorithm for a wireless network-on-chip. J. Supercomput. 75(2): 837-861 (2019) - [c122]Adnan Ghaderi, Masoud Daneshtalab, Mohammad Ashjaei, Mohammad Loni, Saad Mubeen, Mikael Sjödin:
Design Challenges in Hardware Development of Time-Sensitive Networking: A Research Plan. CPS Summer School, PhD Workshop 2019: 29-38 - [c121]Najmeh Nazari, Mohammad Loni, Mostafa E. Salehi, Masoud Daneshtalab, Mikael Sjödin:
TOT-Net: An Endeavor Toward Optimizing Ternary Neural Networks. DSD 2019: 305-312 - [c120]Maghsood Salimi, Amin Majd, Mohammad Loni, Tiberiu Seceleanu, Cristina Seceleanu, Marjan Sirjani, Masoud Daneshtalab, Elena Troubitsyna:
Multi-objective Optimization of Real-Time Task Scheduling Problem for Distributed Environments. ECBS 2019: 13:1-13:9 - [c119]Syed Rameez Ullah Kakakhel, Tomi Westerlund, Masoud Daneshtalab, Zhuo Zou, Juha Plosila, Hannu Tenhunen:
A Qualitative Comparison Model for Application Layer IoT Protocols. FMEC 2019: 210-215 - [c118]Mohammad Loni, Ali Zoljodi, Sima Sinaei, Masoud Daneshtalab, Mikael Sjödin:
NeuroPower: Designing Energy Efficient Convolutional Neural Network Architecture for Embedded Systems. ICANN (1) 2019: 208-222 - [c117]Neda Maleki, Mohammad Loni, Masoud Daneshtalab, Mauro Conti, Hossein Fotouhi:
SoFA: A Spark-oriented Fog Architecture. IECON 2019: 2792-2799 - [c116]Amin Majd, Mohammad Loni, Golnaz Sahebi, Masoud Daneshtalab, Elena Troubitsyna:
A Cloud Based Super-Optimization Method to Parallelize the Sequential Code's Nested Loops. MCSoC 2019: 281-287 - [c115]Bahar Houtan, Mohammad Ashjaei, Masoud Daneshtalab, Mikael Sjödin, Saad Mubeen:
Work in Progress: Investigating the Effects of High Priority Traffic on the Best Effort Traffic in TSN Networks. RTSS 2019: 556-559 - 2018
- [j40]Amin Rezaei, Masoud Daneshtalab, Hai Zhou:
Chapter Three - Multiobjectivism in Dark Silicon Age. Adv. Comput. 110: 83-126 (2018) - [j39]Amin Majd, Golnaz Sahebi, Masoud Daneshtalab, Juha Plosila, Shahriar Lotfi, Hannu Tenhunen:
Parallel imperialist competitive algorithms. Concurr. Comput. Pract. Exp. 30(7) (2018) - [j38]Masoumeh Ebrahimi, Masoud Daneshtalab:
A General Methodology on Designing Acyclic Channel Dependency Graphs in Interconnection Networks. IEEE Micro 38(3): 79-85 (2018) - [c114]Raheel Afsharmazayejani, Fahimeh Yazdanpanah, Amin Rezaei, Mohammad Alaei, Masoud Daneshtalab:
HoneyWiN: Novel Honeycomb-Based Wireless NoC Architecture in Many-Core Era. ARC 2018: 304-316 - [c113]Nasrin Akbari, Mehdi Modarressi, Masoud Daneshtalab, Mohammad Loni:
A Customized Processing-in-Memory Architecture for Biological Sequence Alignment. ASAP 2018: 1-8 - [c112]Amin Majd, Adnan Ashraf, Elena Troubitsyna, Masoud Daneshtalab:
Using Optimization, Learning, and Drone Reflexes to Maximize Safety of Swarms of Drones. CEC 2018: 1-8 - [c111]Mohammad Loni, Masoud Daneshtalab, Mikael Sjödin:
ADONN: Adaptive Design of Optimized Deep Neural Networks for Embedded Systems. DSD 2018: 397-404 - [c110]Mohammad Loni, Amin Majd, Abdolah Loni, Masoud Daneshtalab, Mikael Sjödin, Elena Troubitsyna:
Designing Compact Convolutional Neural Network for Embedded Stereo Vision Systems. MCSoC 2018: 244-251 - [c109]Alireza Namazi, Meisam Abdollahi, Saeed Safari, Siamak Mohammadi, Masoud Daneshtalab:
LRTM: Life-time and Reliability-aware Task Mapping Approach for Heterogeneous Multi-core Systems. NoCArc@MICRO 2018: 1-6 - [c108]Arash Firuzan, Mehdi Modarressi, Masoud Daneshtalab, Midia Reshadi:
Reconfigurable Network-on-Chip for 3D Neural Network Accelerators. NOCS 2018: 18:1-18:8 - [c107]Amin Majd, Adnan Ashraf, Elena Troubitsyna, Masoud Daneshtalab:
Integrating Learning, Optimization, and Prediction for Efficient Navigation of Swarms of Drones. PDP 2018: 101-108 - 2017
- [j37]Amin Rezaei, Masoud Daneshtalab, Dan Zhao:
CAP-W: Congestion-aware platform for wireless-based network-on-chip in many-core era. Microprocess. Microsystems 52: 23-33 (2017) - [j36]Reza Hojabr, Mehdi Modarressi, Masoud Daneshtalab, Ali Yasoubi, Ahmad Khonsari:
Customizing Clos Network-on-Chip for Neural Networks. IEEE Trans. Computers 66(11): 1865-1877 (2017) - [c106]Elham Momenzadeh, Mehdi Modarressi, Abbas Mazloumi, Masoud Daneshtalab:
Parallel Forwarding for Efficient Bandwidth Utilization in Networks-on-Chip. ARCS 2017: 152-163 - [c105]Amin Majd, Masoud Daneshtalab, Elena Troubitsyna, Golnaz Sahebi:
Optimal smart mobile access point placement for maximal coverage and minimal communication. ECBS 2017: 21:1-21:2 - [c104]Masoumeh Ebrahimi, Masoud Daneshtalab:
EbDa: A New Theory on Design and Verification of Deadlock-free Interconnection Networks. ISCA 2017: 703-715 - [c103]Amin Majd, Golnaz Sahebi, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
Hierarchal Placement of Smart Mobile Access Points in Wireless Sensor Networks Using Fog Computing. PDP 2017: 176-180 - [c102]Amin Rezaei, Dan Zhao, Masoud Daneshtalab, Hai Zhou:
Multi-objective Task Mapping Approach for Wireless NoC in Dark Silicon Age. PDP 2017: 589-592 - [c101]Amin Majd, Elena Troubitsyna, Masoud Daneshtalab:
Safety-Aware Control of Swarms of Drones. SAFECOMP Workshops 2017: 249-260 - [c100]Amin Majd, Golnaz Sahebi, Masoud Daneshtalab, Elena Troubitsyna:
Optimizing scheduling for heterogeneous computing systems using combinatorial meta-heuristic solution. SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI 2017: 1-8 - [e6]Igor V. Kotenko, Yiannis Cotronis, Masoud Daneshtalab:
25th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2017, St. Petersburg, Russia, March 6-8, 2017. IEEE Computer Society 2017, ISBN 978-1-5090-6058-0 [contents] - 2016
- [j35]Hamid Sarbazi-Azad, Nader Bagherzadeh, Masoumeh Ebrahimi, Masoud Daneshtalab:
Introduction to the Special Section on On-chip parallel and network-based systems. Comput. Electr. Eng. 51: 118-120 (2016) - [j34]Amin Rezaei, Masoud Daneshtalab, Farshad Safaei, Danella Zhao:
Hierarchical approach for hybrid wireless Network-on-chip in many-core era. Comput. Electr. Eng. 51: 225-234 (2016) - [j33]Maurizio Palesi, Mario Collotta, Masoud Daneshtalab, Pradip Bose:
Special issue on energy efficient methods and systems in the emerging cloud era. J. Comput. Syst. Sci. 82(2): 173 (2016) - [j32]Mohamed Bakhouya, Masoud Daneshtalab, Maurizio Palesi, Hassan Ghasemzadeh:
Many-core System-on-Chip: architectures and applications. Microprocess. Microsystems 43: 1-3 (2016) - [j31]Xiaohang Wang, Baoxin Zhao, Ling Wang, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab:
A pareto-optimal runtime power budgeting scheme for many-core systems. Microprocess. Microsystems 46: 136-148 (2016) - [j30]Letian Huang, Junshi Wang, Masoumeh Ebrahimi, Masoud Daneshtalab, Xiaofan Zhang, Guangjun Li, Axel Jantsch:
Non-Blocking Testing for Network-on-Chip. IEEE Trans. Computers 65(3): 679-692 (2016) - [j29]Xiaohang Wang, Baoxin Zhao, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab:
On Fine-Grained Runtime Power Budgeting for Networks-on-Chip Systems. IEEE Trans. Computers 65(9): 2780-2793 (2016) - [j28]Syed M. A. H. Jafri, Masoud Daneshtalab, Naeem Abbas, Guillermo Serrano Leon, Ahmed Hemani:
TransMap: Transformation Based Remapping and Parallelism for High Utilization and Energy Efficiency in CGRAs. IEEE Trans. Computers 65(11): 3456-3469 (2016) - [c99]Amin Rezaei, Danella Zhao, Masoud Daneshtalab, Hongyi Wu:
Shift sprinting: fine-grained temperature-aware NoC-based MCSoC architecture in dark silicon age. DAC 2016: 155:1-155:6 - [c98]Seyyed Hossein Seyyedaghaei Rezaei, Mehdi Modarressi, Reza Yazdani Aminabadi, Masoud Daneshtalab:
Fault-tolerant 3-D network-on-chip design using dynamic link sharing. DATE 2016: 1195-1200 - [c97]Amin Majd, Mahdi Abdollahi, Golnaz Sahebi, Davoud Abdollahi, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
Multi-population parallel imperialist competitive algorithm for solving systems of nonlinear equations. HPCS 2016: 767-775 - [c96]Alireza Namazi, Meisam Abdollahi, Saeed Safari, Siamak Mohammadi, Masoud Daneshtalab:
Reliability-Aware Task Scheduling using Clustered Replication for Multi-core Real-Time systems. NoCArc@MICRO 2016: 45-50 - [c95]Amin Majd, Shahriar Lotfi, Golnaz Sahebi, Masoud Daneshtalab, Juha Plosila:
PICA: Multi-population Implementation of Parallel Imperialist Competitive Algorithms. PDP 2016: 248-255 - [c94]Amin Rezaei, Masoud Daneshtalab, Maurizio Palesi, Danella Zhao:
Efficient Congestion-Aware Scheme for Wireless on-Chip Networks. PDP 2016: 742-749 - [c93]Seyyed Hossein Seyyedaghaei Rezaei, Mehdi Modarressi, Masoud Daneshtalab, Shervin Roshanisefat:
A Three-Dimensional Networks-on-Chip Architecture with Dynamic Buffer Sharing. PDP 2016: 771-776 - [c92]Amin Rezaei, Masoud Daneshtalab, Dan Zhao, Mehdi Modarressi:
SAMi: Self-aware migration approach for congestion reduction in NoC-based MCSoC. SoCC 2016: 145-150 - [c91]Somayeh Maabi, Farshad Safaei, Amin Rezaei, Masoud Daneshtalab, Dan Zhao:
ERFAN: Efficient reconfigurable fault-tolerant deflection routing algorithm for 3-D Network-on-Chip. SoCC 2016: 306-311 - [c90]Amin Majd, Golnaz Sahebi, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
Placement of Smart Mobile Access Points in Wireless Sensor Networks and Cyber-Physical Systems Using Fog Computing. UIC/ATC/ScalCom/CBDCom/IoP/SmartWorld 2016: 680-689 - 2015
- [j27]Masoud Daneshtalab, Maurizio Palesi, Terrence S. T. Mak:
Introduction to the special issue on NoC-based many-core architectures. Comput. Electr. Eng. 45: 359-361 (2015) - [j26]Masoud Daneshtalab, Nader Bagherzadeh, Hamid Sarbazi-Azad:
Special issue on on-chip parallel and network-based systems. Computing 97(6): 539-541 (2015) - [j25]Masoumeh Ebrahimi, Masoud Daneshtalab:
A Light-weight fault-tolerant routing algorithm tolerating faulty links and routers. Computing 97(6): 631-648 (2015) - [j24]Letian Huang, Hui Dong, Junshi Wang, Masoud Daneshtalab, Guangjun Li:
WeNA: Deterministic Run-time Task Mapping for Performance Improvement in Many-core Embedded Systems. IEEE Embed. Syst. Lett. 7(4): 93-96 (2015) - [j23]Masoud Daneshtalab, Nader Bagherzadeh, Hamid Sarbazi-Azad:
On-chip parallel and network-based systems. Integr. 50: 137-138 (2015) - [j22]Xiaohang Wang, Baoxin Zhao, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab:
An efficient runtime power allocation scheme for many-core systems inspired from auction theory. Integr. 50: 147-157 (2015) - [j21]Masoud Daneshtalab, Farhad Mehdipour, Zhiyi Yu, Hannu Tenhunen:
Special Issue on Emerging Many-Core Systems for Exascale Computing. ACM J. Emerg. Technol. Comput. Syst. 11(4): 39:1-39:2 (2015) - [j20]Syed M. A. H. Jafri, Masoud Daneshtalab, Ahmed Hemani, Naeem Abbas, Muhammad Ali Awan, Juha Plosila:
TEA: Timing and Energy Aware compression architecture for Efficient Configuration in CGRAs. Microprocess. Microsystems 39(8): 973-986 (2015) - [j19]Masoud Daneshtalab, Masoumeh Ebrahimi, Sergei Dytckov, Juha Plosila:
In-order delivery approach for 2D and 3D NoCs. J. Supercomput. 71(8): 2877-2899 (2015) - [c89]Xiaohang Wang, Tengfei Wang, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab:
Fine-grained runtime power budgeting for networks-on-chip. ASP-DAC 2015: 160-165 - [c88]Ali Yasoubi, Reza Hojabr, Hengameh Takshi, Mehdi Modarressi, Masoud Daneshtalab:
CuPAN - High Throughput On-chip Interconnection for Neural Networks. ICONIP (3) 2015: 559-566 - [c87]Awet Yemane Weldezion, Masoumeh Ebrahimi, Masoud Daneshtalab, Hannu Tenhunen:
Automated Power and Latency Management in Heterogeneous 3D NoCs. NoCArc@MICRO 2015: 33-38 - [c86]Shima Soroushnia, Masoud Daneshtalab, Tapio Pahikkala, Juha Plosila:
Parallel Implementation of Fuzzified Pattern Matching Algorithm on GPU. PDP 2015: 341-344 - [c85]Amin Rezaei, Masoud Daneshtalab, Danella Zhao, Farshad Safaei, Xiaohang Wang, Masoumeh Ebrahimi:
Dynamic Application Mapping Algorithm for Wireless Network-on-Chip. PDP 2015: 421-424 - [c84]Tuan Ngyen, Syed M. A. H. Jafri, Masoud Daneshtalab, Ahmed Hemani, Sergei Dytckov, Juha Plosila, Hannu Tenhunen:
FIST: A Framework to Interleave Spiking Neural Networks on CGRAs. PDP 2015: 751-758 - [c83]Arash Firuzan, Mehdi Modarressi, Masoud Daneshtalab:
Reconfigurable communication fabric for efficient implementation of neural networks. ReCoSoC 2015: 1-8 - [e5]Masoumeh Ebrahimi, Diana Goehringer, Masoud Daneshtalab, Maurizio Palesi, Sören Sonntag, Federico Angiolini:
Proceedings of the 3rd International Workshop on Many-core Embedded Systems (MES'2015) held on June 13, 2015 in conjunction with the 42nd International Symposium on Computer Architecture (ISCA'2015), Portland, OR, USA. ACM 2015, ISBN 978-1-4503-3408-2 [contents] - [e4]Masoud Daneshtalab, Marco Aldinucci, Ville Leppänen, Johan Lilius, Mats Brorsson:
23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, PDP 2015, Turku, Finland, March 4-6, 2015. IEEE Computer Society 2015, ISBN 978-1-4799-8491-6 [contents] - 2014
- [j18]Masoud Daneshtalab, Maurizio Palesi, Terrence S. T. Mak:
Introduction to the Special Issue on Network-on-Chip Architectures. Comput. Electr. Eng. 40(8): 257-259 (2014) - [j17]Shima Soroushnia, Masoud Daneshtalab, Juha Plosila, Tapio Pahikkala, Pasi Liljeberg:
High Performance Pattern Matching on Heterogeneous Platform. J. Integr. Bioinform. 11(3) (2014) - [j16]Fahimeh Farahnakian, Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Bi-LCQ: A low-weight clustering-based Q-learning approach for NoCs. Microprocess. Microsystems 38(1): 64-75 (2014) - [j15]Masoud Daneshtalab, Maurizio Palesi, Juha Plosila, Ahmed Hemani:
Special issue on many-core embedded systems. Microprocess. Microsystems 38(6): 525 (2014) - [j14]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, José Flich, Hannu Tenhunen:
Path-Based Partitioning Methods for 3D Networks-on-Chip with Minimal Adaptive Routing. IEEE Trans. Computers 63(3): 718-733 (2014) - [j13]Xiaohang Wang, Mei Yang, Yingtao Jiang, Peng Liu, Masoud Daneshtalab, Maurizio Palesi, Terrence S. T. Mak:
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation. ACM Trans. Embed. Comput. Syst. 13(2s): 73:1-73:21 (2014) - [j12]Masoud Daneshtalab, Maurizio Palesi, Juha Plosila:
Editorial: Special issue on design challenges for many-core processors. ACM Trans. Embed. Comput. Syst. 13(3s): 100:1-100:2 (2014) - [j11]Fahimeh Farahnakian, Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Adaptive load balancing in learning-based approaches for many-core embedded systems. J. Supercomput. 68(3): 1214-1234 (2014) - [c82]Xiaohang Wang, Zhiming Li, Mei Yang, Yingtao Jiang, Masoud Daneshtalab, Terrence S. T. Mak:
Agile frequency scaling for adaptive power allocation in many-core systems powered by renewable energy sources. ASP-DAC 2014: 298-303 - [c81]Xiaohang Wang, Baoxin Zhao, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab, Maurizio Palesi:
Adaptive power allocation for many-core systems inspired from multiagent auction model. DATE 2014: 1-4 - [c80]Masoumeh Ebrahimi, Junshi Wang, Letian Huang, Masoud Daneshtalab, Axel Jantsch:
Rescuing healthy cores against disabled routers. DFT 2014: 98-103 - [c79]Manoj Kumar, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Masoumeh Ebrahimi, Mark Zwolinski:
Fault tolerant and highly adaptive routing for 2D NoCs. DFT 2014: 104-109 - [c78]Syed Mohammad Asad Hassan Jafri, Muhammad Adeel Tajammul, Masoud Daneshtalab, Ahmed Hemani, Kolin Paul, Peeter Ellervee, Juha Plosila, Hannu Tenhunen:
Morphable Compression Architecture for Efficient Configuration in CGRAs. DSD 2014: 42-49 - [c77]Hassan Anwar, Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila, Hannu Tenhunen, Sergei Dytckov, Giovanni Beltrame:
Parameterized AES-Based Crypto Processor for FPGAs. DSD 2014: 465-472 - [c76]Sergei Dytckov, Masoud Daneshtalab, Masoumeh Ebrahimi, Hassan Anwar, Juha Plosila, Hannu Tenhunen:
Efficient STDP Micro-Architecture for Silicon Spiking Neural Networks. DSD 2014: 496-503 - [c75]Syed M. A. H. Jafri, Muhammad Adeel Tajammul, Masoud Daneshtalab, Ahmed Hemani, Kolin Paul, Peeter Ellervee, Juha Plosila, Hannu Tenhunen:
Customizable Compression Architecture for Efficient Configuration in CGRAs. FCCM 2014: 31 - [c74]Syed M. A. H. Jafri, Guilermo Serrano, Masoud Daneshtalab, Naeem Abbas, Ahmed Hemani, Kolin Paul, Juha Plosila, Hannu Tenhunen:
TransPar: Transformation based dynamic Parallelism for low power CGRAs. FPL 2014: 1-8 - [c73]Manoj Kumar, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Seok-Bum Ko, Mark Zwolinski:
Highly adaptive and congestion-aware routing for 3D NoCs. ACM Great Lakes Symposium on VLSI 2014: 97-98 - [c72]Amin Rezaei, Farshad Safaei, Masoud Daneshtalab, Hannu Tenhunen:
HiWA: A hierarchical Wireless Network-on-Chip architecture. HPCS 2014: 499-505 - [c71]Syed M. A. H. Jafri, Tuan Nguyen Gia, Sergei Dytckov, Masoud Daneshtalab, Ahmed Hemani, Juha Plosila, Hannu Tenhunen:
NeuroCGRA: A CGRA with support for neural networks. HPCS 2014: 506-511 - [c70]Sergei Dytckov, Sushri Sunita Purohit, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
Exploring NoC jitter effect on simulation of spiking neural networks. HPCS 2014: 693-696 - [c69]Niyati Gupta, Manoj Kumar, Ashish Sharma, Manoj Singh Gaur, Vijay Laxmi, Masoud Daneshtalab, Masoumeh Ebrahimi:
Improved Route Selection Approaches using Q-learning framework for 2D NoCs. MES@ISCA 2014: 33-40 - [c68]Hassan Anwar, Syed M. A. H. Jafri, Sergei Dytckov, Masoud Daneshtalab, Masoumeh Ebrahimi, Ahmed Hemani, Juha Plosila, Giovanni Beltrame, Hannu Tenhunen:
Exploring Spiking Neural Network on Coarse-Grain Reconfigurable Architectures. MES 2014: 64-67 - [c67]Manoj Kumar, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Pankaj Kumar Srivastava, Seok-Bum Ko, Mark Zwolinski:
A novel non-minimal/minimal turn model for highly adaptive routing in 2D NoCs. NOCS 2014: 184-185 - [c66]Nguyen Duc Bui Phong, Masoud Daneshtalab, Sergei Dytckov, Juha Plosila, Hannu Tenhunen:
Silicon synapse designs for VLSI neuromorphic platform. NORCHIP 2014: 1-6 - [c65]Shima Soroushnia, Masoud Daneshtalab, Juha Plosila, Pasi Liljeberg:
Heterogeneous Parallelization of Aho-Corasick Algorithm. PACBB 2014: 153-160 - [c64]Hassan Anwar, Masoud Daneshtalab, Masoumeh Ebrahimi, Marco Ramírez, Juha Plosila, Hannu Tenhunen:
Integration of AES on Heterogeneous Many-Core System. PDP 2014: 424-427 - [c63]Syed M. A. H. Jafri, Guilermo Serrano, Junaid Iqbal, Masoud Daneshtalab, Ahmed Hemani, Kolin Paul, Juha Plosila, Hannu Tenhunen:
RuRot: Run-time rotatable-expandable partitions for efficient mapping in CGRAs. ICSAMOS 2014: 233-241 - [c62]Manoj Kumar, Vijay Laxmi, Manoj Singh Gaur, Masoud Daneshtalab, Mark Zwolinski:
A novel non-minimal turn model for highly adaptive routing in 2D NoCs. VLSI-SoC 2014: 1-6 - [e3]Masoud Daneshtalab, Masoumeh Ebrahimi, Maurizio Palesi, Federico Angiolini, Juha Plosila:
Proceedings of the 2nd International Workshop on Many-core Embedded Systems, MES'2014, in conjunction with the 41st International Symposium on Computer Architecture, ISCA'2014, Minneapolis, MN, USA, June 15, 2014. ACM 2014, ISBN 978-1-4503-2822-7 [contents] - 2013
- [j10]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Cluster-based topologies for 3D Networks-on-Chip using advanced inter-layer bus architecture. J. Comput. Syst. Sci. 79(4): 475-491 (2013) - [j9]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
A systematic reordering mechanism for on-chip networks using efficient congestion-aware method. J. Syst. Archit. 59(4-5): 213-222 (2013) - [j8]Masoud Daneshtalab, Pasi Liljeberg, Mehdi Modarressi, Leandro Soares Indrusiak:
Special issue on network-based many-core embedded systems. J. Syst. Archit. 59(9): 691-692 (2013) - [c61]Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila, Farhad Mehdipour:
MD: Minimal path-based fault-tolerant routing in on-Chip Networks. ASP-DAC 2013: 35-40 - [c60]Mohammad Fattah, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Smart hill climbing for agile dynamic mapping in many-core systems. DAC 2013: 39:1-39:6 - [c59]Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila, Hannu Tenhunen:
CARS: congestion-aware request scheduler for network interfaces in NoC-based manycore systems. DATE 2013: 1048-1051 - [c58]Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila:
Fault-tolerant routing algorithm for 3D NoC using Hamiltonian path strategy. DATE 2013: 1601-1604 - [c57]Xiaohang Wang, Zhiming Li, Mei Yang, Yingtao Jiang, Masoud Daneshtalab, Terrence S. T. Mak:
A low cost, high performance dynamic-programming-based adaptive power allocation scheme for many-core architectures in the dark silicon era. ESTIMedia 2013: 61-67 - [c56]Hassan Anwar, Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila, Hannu Tenhunen:
FPGA implementation of AES-based crypto processor. ICECS 2013: 369-372 - [c55]Georgios Georgakarakos, Masoud Daneshtalab, Juha Plosila:
Efficient application mapping in resource limited homogeneous NoC-based manycore systems. HPCS 2013: 207-212 - [c54]Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
Minimal-path fault-tolerant approach using connection-retaining structure in Networks-on-Chip. NOCS 2013: 1-8 - [c53]Xiaohang Wang, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab, Maurizio Palesi:
On self-tuning networks-on-chip for dynamic network-flow dominance adaptation. NOCS 2013: 1-8 - [c52]Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila:
High Performance Fault-Tolerant Routing Algorithm for NoC-Based Many-Core Systems. PDP 2013: 462-469 - [c51]Masoumeh Ebrahimi, Xin Chang, Masoud Daneshtalab, Juha Plosila, Pasi Liljeberg, Hannu Tenhunen:
DyXYZ: Fully Adaptive Routing Algorithm for 3D NoCs. PDP 2013: 499-503 - [c50]Jesús Carabaño, Francisco Dios, Masoud Daneshtalab, Masoumeh Ebrahimi:
An exploration of heterogeneous systems. ReCoSoC 2013: 1-7 - [c49]Marco Ramírez, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Towards a Configurable Many-core Accelerator for FPGA-based embedded systems. ReCoSoC 2013: 1-4 - [e2]Masoud Daneshtalab, Ahmed Hemani, Maurizio Palesi:
Proceedings of the 1st International Workshop on Many-core Embedded Systems 2013, MES'2013, Held in conjunction with the 40th Annual IEEE/ACM International Symposium on Computer Architecture, ISCA 2013, June 24, 2013. ACM 2013, ISBN 978-1-4503-2063-4 [contents] - [e1]Maurizio Palesi, Terrence S. T. Mak, Masoud Daneshtalab:
Network on Chip Architectures, NoCArc '13, in conjunction with the 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7, 2013. ACM 2013, ISBN 978-1-4503-2370-3 [contents] - 2012
- [j7]Masoud Daneshtalab, Masoumeh Kamali, Masoumeh Ebrahimi, Siamak Mohammadi, Ali Afzali-Kusha, Juha Plosila:
Adaptive Input-Output Selection Based On-Chip Router Architecture. J. Low Power Electron. 8(1): 11-29 (2012) - [j6]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Memory-Efficient On-Chip Network With Adaptive Interfaces. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(1): 146-159 (2012) - [c48]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks. DATE 2012: 320-325 - [c47]Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
MAFA: Adaptive Fault-Tolerant Routing Algorithm for Networks-on-Chip. DSD 2012: 201-207 - [c46]Marco Ramírez, Masoud Daneshtalab, Juha Plosila, Pasi Liljeberg:
NoC-AXI interface for FPGA-based MPSoC platforms. FPL 2012: 479-480 - [c45]Mohammad Fattah, Marco Ramírez, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
CoNA: Dynamic application mapping for congestion reduction in many-core systems. ICCD 2012: 364-370 - [c44]Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila, Hannu Tenhunen:
Dual Congestion Awareness scheme in On-Chip Networks. NESEA 2012: 1-6 - [c43]Fahimeh Farahnakian, Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila, Pasi Liljeberg:
Optimized Q-learning model for distributing traffic in on-Chip Networks. NESEA 2012: 1-8 - [c42]Masoumeh Ebrahimi, Masoud Daneshtalab, Fahimeh Farahnakian, Juha Plosila, Pasi Liljeberg, Maurizio Palesi, Hannu Tenhunen:
HARAQ: Congestion-Aware Learning Model for Highly Adaptive Routing Algorithm in On-Chip Networks. NOCS 2012: 19-26 - [c41]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
LEAR - A Low-Weight and Highly Adaptive Routing Method for Distributing Congestions in On-chip Networks. PDP 2012: 520-524 - [c40]Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila:
GLB - Efficient Global Load Balancing method for moderating congestion in on-chip networks. ReCoSoC 2012: 1-5 - [c39]Mohammad Fattah, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Transport layer aware design of network interface in many-core systems. ReCoSoC 2012: 1-7 - [c38]Fahimeh Farahnakian, Masoumeh Ebrahimi, Masoud Daneshtalab, Juha Plosila, Pasi Liljeberg:
Adaptive reinforcement learning method for networks-on-chip. ICSAMOS 2012: 236-243 - 2011
- [j5]Masoud Daneshtalab, Masoumeh Ebrahimi, Thomas Canhao Xu, Pasi Liljeberg, Hannu Tenhunen:
A generic adaptive path-based routing method for MPSoCs. J. Syst. Archit. 57(1): 109-120 (2011) - [c37]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Memory-efficient logic layer communication platform for 3D-stacked memory-on-processor architectures. 3DIC 2011: 1-8 - [c36]Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila:
HIBS - Novel inter-layer bus structure for stacked architectures. 3DIC 2011: 1-7 - [c35]Masoud Dehyadegari, Masoud Daneshtalab, Masoumeh Ebrahimi, Juha Plosila, Siamak Mohammadi:
An adaptive fuzzy logic-based routing algorithm for networks-on-chip. AHS 2011: 208-214 - [c34]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Cluster-based topologies for 3D stacked architectures. Conf. Computing Frontiers 2011: 14 - [c33]Maryam Kamali, Luigia Petre, Kaisa Sere, Masoud Daneshtalab:
Formal Modeling of Multicast Communication in 3D NoCs. DSD 2011: 634-642 - [c32]Maryam Kamali, Luigia Petre, Kaisa Sere, Masoud Daneshtalab:
Refinement-Based Modeling of 3D NoCs. FSEN 2011: 236-252 - [c31]Fahimeh Farahnakian, Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Q-learning based congestion-aware routing algorithm for on-chip network. NESEA 2011: 1-7 - [c30]Maryam Kamali, Luigia Petre, Kaisa Sere, Masoud Daneshtalab:
CorreComm: A formal hierarchical framework for communication designs. NESEA 2011: 1-7 - [c29]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model. NOCS 2011: 73-80 - [c28]Mohammad D. Mottaghi, Masoud Daneshtalab:
Using Routing Agents for Improving the Quality of Service in General Purpose Networks. PECCS 2011: 609-613 - [c27]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
High-performance on-chip network platform for memory-on-processor architectures. ReCoSoC 2011: 1-6 - [c26]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Efficient congestion-aware selection method for on-chip networks. ReCoSoC 2011: 1-4 - [c25]Mohammad Fattah, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila:
Exploration of MPSoC monitoring and management systems. ReCoSoC 2011: 1-3 - [c24]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Agent-based on-chip network using efficient selection method. VLSI-SoC 2011: 284-289 - 2010
- [j4]Pejman Lotfi-Kamran, Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Zainalabedin Navabi:
EDXY - A low cost congestion-aware routing algorithm for network-on-chips. J. Syst. Archit. 56(7): 256-264 (2010) - [c23]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
CMIT - A novel cluster-based topology for 3D stacked architectures. 3DIC 2010: 1-5 - [c22]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen:
Partitioning methods for unicast/multicast traffic in 3D NoC architecture. DDECS 2010: 127-132 - [c21]Amir-Mohammad Rahmani, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen:
Power-aware NoC router using central forecasting-based dynamic virtual channel allocation. ISCAS 2010: 3224-3227 - [c20]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
Input-Output Selection Based Router for Networks-on-Chip. ISVLSI 2010: 92-97 - [c19]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
High-Performance TSV Architecture for 3-D ICs. ISVLSI 2010: 467-468 - [c18]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen:
Performance Analysis of 3D NoCs Partitioning Methods. ISVLSI 2010: 479-480 - [c17]Masoud Daneshtalab, Masoumeh Ebrahimi, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
A Low-Latency and Memory-Efficient On-chip Network. NOCS 2010: 99-106 - [c16]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen:
HAMUM - A Novel Routing Protocol for Unicast and Multicast Traffic in MPSoCs. PDP 2010: 525-532 - [c15]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen:
A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing. PDP 2010: 546-550
2000 – 2009
- 2009
- [j3]Masoud Daneshtalab, Masoumeh Ebrahimi, Siamak Mohammadi, Ali Afzali-Kusha:
Low-distance path-based multicast routing algorithm for network-on-chips. IET Comput. Digit. Tech. 3(5): 430-442 (2009) - [j2]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channel Management for Power Reduction in Network-on-Chips. J. Low Power Electron. 5(3): 385-395 (2009) - [c14]Masoumeh Ebrahimi, Masoud Daneshtalab, Mohammad Hossein Neishaburi, Siamak Mohammadi, Ali Afzali-Kusha, Juha Plosila, Hannu Tenhunen:
An efficent dynamic multicast routing protocol for distributing traffic in NOCs. DATE 2009: 1064-1069 - [c13]Masoumeh Ebrahimi, Masoud Daneshtalab, Pasi Liljeberg, Hannu Tenhunen:
An Adaptive Unicast/Multicast Routing Algorithm for MPSoCs. DSD 2009: 203-206 - [c12]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips. VLSI Design 2009: 151-156 - 2008
- [c11]Pejman Lotfi-Kamran, Masoud Daneshtalab, Caro Lucas, Zainalabedin Navabi:
BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs. DATE 2008: 1408-1413 - [c10]Mohammadreza Binesh Marvasti, Masoud Daneshtalab, Ali Afzali-Kusha, Siamak Mohammadi:
PAMPR: Power-aware and minimum path routing algorithm for NoCs. ICECS 2008: 418-421 - 2007
- [j1]Mohammad Hossein Neishaburi, Mohammad Reza Kakoee, Masoud Daneshtalab, Saeed Safari:
HW/SW architecture for soft-error cancellation in real-time operating system. IEICE Electron. Express 4(23): 755-761 (2007) - [c9]Mohammad Hossein Neishaburi, Masoud Daneshtalab, Majid Nabi, Siamak Mohammadi:
System Level Voltage Scheduling Technique Using UML-RT Model. AICCSA 2007: 500-505 - [c8]Mohammad Hossein Neishaburi, Masoud Daneshtalab, Mohammad Reza Kakoee, Saeed Safari:
Improving Robustness of Real-Time Operating Systems (RTOS) Services Related to Soft-Errors. AICCSA 2007: 528-534 - [c7]Mohammad Hossein Neishaburi, Mohammad Reza Kakoee, Masoud Daneshtalab, Saeed Safari, Zainalabedin Navabi:
A HW/SW Architecture to Reduce the Effects of Soft-Errors in Real-Time Operating System Services. DDECS 2007: 247-250 - [c6]Mohammad Reza Kakoee, Mohammad Hossein Neishaburi, Masoud Daneshtalab, Saeed Safari, Zainalabedin Navabi:
On-Chip Verification of NoCs Using Assertion Processors. DSD 2007: 535-538 - [c5]Mohammad Reza Kakoee, Mohammad Hossein Neishaburi, Masoud Daneshtalab, Saeed Safari:
Assertion based design error diagnosis for core-based SoCs. SoCC 2007: 269-272 - [c4]Masoud Daneshtalab, A. Pedram, Mohammad Hossein Neishaburi, Mohammad Riazati, Ali Afzali-Kusha, Siamak Mohammadi:
Distributing Congestions in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections. VLSI Design 2007: 546-550 - 2006
- [c3]Masoud Daneshtalab, Ashkan Sobhani, Ali Afzali-Kusha, Omid Fatemi, Zainalabedin Navabi:
NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm. ASAP 2006: 33-38 - [c2]Masoud Daneshtalab, Ali Afzali-Kusha, Siamak Mohammadi:
Minimizing Hot Spots in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections. SoC 2006: 1-4 - [c1]Masoud Daneshtalab, Ali Afzali-Kusha, Ashkan Sobhani, Zainalabedin Navabi, Mohammad D. Mottaghi, Omid Fatemi:
Ant colony based routing architecture for minimizing hot spots in NOCs. SBCCI 2006: 56-61
Coauthor Index
aka: Syed Mohammad Asad Hassan Jafri
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-13 23:53 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint