default search action
Saibal Mukhopadhyay
Person information
- affiliation: Georgia Institute of Technology, Atlanta GA, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j100]Jongseok Woo, Kuchul Jung, Saibal Mukhopadhyay:
Efficient Hardware Design of DNN for RF Signal Modulation Recognition Employing Ternary Weights. IEEE Access 12: 80165-80175 (2024) - [j99]Daehyun Kim, Nael Mizanur Rahman, Saibal Mukhopadhyay:
PRESTO: A Processing-in-Memory-Based k-SAT Solver Using Recurrent Stochastic Neural Network With Unsupervised Learning. IEEE J. Solid State Circuits 59(7): 2310-2320 (2024) - [c211]Kuchul Jung, Jongseok Woo, Saibal Mukhopadhyay:
A Hardware Accelerated Autoencoder for RF Communication Using Short-Time-Fourier- Transform Assisted Convolutional Neural Network. DATE 2024: 1-6 - [c210]Minah Lee, Sudarshan Sharma, Wei Chun Wang, Hemant Kumawat, Nael Mizanur Rahman, Saibal Mukhopadhyay:
Cognitive Sensing for Energy-Efficient Edge Intelligence. DATE 2024: 1-6 - [c209]Nael Mizanur Rahman, Uday Kamal, Manish Nagaraj, Shaunak Roy, Saibal Mukhopadhyay:
Driving Autonomy with Event-Based Cameras: Algorithm and Hardware Perspectives. DATE 2024: 1-6 - [c208]Uday Kamal, Saibal Mukhopadhyay:
Efficient Learning of Event-Based Dense Representation Using Hierarchical Memories with Adaptive Update. ECCV (82) 2024: 74-89 - [c207]Mark Lee, Chris Clark, Saibal Mukhopadhyay:
A Pre-Silicon Physical Design Study Towards Mitigating EMSCA on Cryptographic ICs. HOST 2024: 66-69 - [c206]Biswadeep Chakraborty, Beomseok Kang, Harshit Kumar, Saibal Mukhopadhyay:
Sparse Spiking Neural Network: Exploiting Heterogeneity in Timescales for Pruning Recurrent SNN. ICLR 2024 - [c205]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Topological Representations of Heterogeneous Learning Dynamics of Recurrent Spiking Neural Networks. IJCNN 2024: 1-8 - [c204]Beomseok Kang, Minah Lee, Harshit Kumar, Saibal Mukhopadhyay:
Structured Latent Space for Lightweight Prediction in Locally Interacting Discrete Dynamical Systems. IJCNN 2024: 1-7 - [c203]Payman Behnam, Uday Kamal, Ali Shafiee, Alexey Tumanov, Saibal Mukhopadhyay:
Harmonica: Hybrid Accelerator to Overcome Imperfections of Mixed-signal DNN Accelerators. IPDPS 2024: 619-630 - [c202]Wei Chun Wang, Shida Zhang, Sudarshan Sharma, Minah Lee, Saibal Mukhopadhyay:
Measurement of Aging Effect on an Analog Computing-In-Memory Macro in 28nm CMOS. IRPS 2024: 1-4 - [c201]Shida Zhang, Nael Mizanur Rahman, Wei Chun Wang, Narasimha Vasishta Kidambi, Carlos Tokunaga, Saibal Mukhopadhyay:
Measurement of Aging Effect in a Digitally Controlled Inductive Voltage Regulator in 65nm. IRPS 2024: 1-6 - [c200]Kuchul Jung, Jongseok Woo, Saibal Mukhopadhyay:
Enhancing IoT Security with a Hardware Accelerated Machine Learning Model coupling Autoencoder and Long-Short-Term-Memory for Anomaly Detection. ISCAS 2024: 1-5 - [c199]Nael Mizanur Rahman, Uday Kamal, Venkata Chaitanya Krishna Chekuri, Arvind Singh, Saibal Mukhopadhyay:
Passive Lightweight On-chip Sensors for Power Side Channel Attack Detection. ISCAS 2024: 1-5 - [c198]Jongseok Woo, Kuchul Jung, Saibal Mukhopadhyay:
Efficient Hardware Design of DNN for RF Signal Modulation Recognition. ISCAS 2024: 1-5 - [c197]Woohong Byun, Jongseok Woo, Saibal Mukhopadhyay:
Hardware-friendly Hessian-driven Row-wise Quantization and FPGA Acceleration for Transformer-based Models. ISLPED 2024: 1-6 - [c196]Laith A. Shamieh, Wei-Chun Wang, Shida Zhang, Rakshith Saligram, Amol D. Gaidhane, Yu Cao, Arijit Raychowdhury, Suman Datta, Saibal Mukhopadhyay:
Cryogenic Operation of Computing-In-Memory based Spiking Neural Network. ISLPED 2024: 1-6 - [c195]Beomseok Kang, Harshit Kumar, Minah Lee, Biswadeep Chakraborty, Saibal Mukhopadhyay:
Learning locally interacting discrete dynamical systems: Towards data-efficient and scalable prediction. L4DC 2024: 1357-1369 - [c194]Hemant Kumawat, Biswadeep Chakraborty, Saibal Mukhopadhyay:
STEMFold: Stochastic temporal manifold for multi-agent interactions in the presence of hidden agents. L4DC 2024: 1427-1439 - [c193]Woohong Byun, Jongseok Woo, Saibal Mukhopadhyay:
Hessian-Aware KV Cache Quantization for LLMs. MWSCAS 2024: 243-247 - [c192]Kuchul Jung, Jongseok Woo, Saibal Mukhopadhyay:
A Hybrid Approach to Defend Against Adversarial Evasion Attacks. MWSCAS 2024: 919-922 - [c191]Nael Mizanur Rahman, Sudarshan Sharma, Coleman DeLude, Wei Chun Wang, Justin Romberg, Saibal Mukhopadhyay:
BeamCIM: A Compute-In-Memory based Broadband Beamforming Accelerator using Linear Embedding. RWS 2024: 46-49 - [c190]Jongseok Woo, Kuchul Jung, Saibal Mukhopadhyay:
Modulation Recognition with Untrained Deep Neural Network for IoT and Mobile Applications. RWS 2024: 54-57 - [i46]Hemant Kumawat, Biswadeep Chakraborty, Saibal Mukhopadhyay:
STEMFold: Stochastic Temporal Manifold for Multi-Agent Interactions in the Presence of Hidden Agents. CoRR abs/2401.14522 (2024) - [i45]Harshit Kumar, Biswadeep Chakraborty, Beomseok Kang, Saibal Mukhopadhyay:
Studying the Impact of Stochasticity on the Evaluation of Deep Neural Networks for Forest-Fire Prediction. CoRR abs/2402.15163 (2024) - [i44]Biswadeep Chakraborty, Beomseok Kang, Harshit Kumar, Saibal Mukhopadhyay:
Sparse Spiking Neural Network: Exploiting Heterogeneity in Timescales for Pruning Recurrent SNN. CoRR abs/2403.03409 (2024) - [i43]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Topological Representations of Heterogeneous Learning Dynamics of Recurrent Spiking Neural Networks. CoRR abs/2403.12462 (2024) - [i42]Beomseok Kang, Harshit Kumar, Minah Lee, Biswadeep Chakraborty, Saibal Mukhopadhyay:
Learning Locally Interacting Discrete Dynamical Systems: Towards Data-Efficient and Scalable Prediction. CoRR abs/2404.06460 (2024) - [i41]Harshit Kumar, Sudarshan Sharma, Biswadeep Chakraborty, Saibal Mukhopadhyay:
Towards Robust Real-Time Hardware-based Mobile Malware Detection using Multiple Instance Learning Formulation. CoRR abs/2404.13125 (2024) - [i40]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Exploiting Heterogeneity in Timescales for Sparse Recurrent Spiking Neural Networks for Energy-Efficient Edge Computing. CoRR abs/2407.06452 (2024) - [i39]Hemant Kumawat, Biswadeep Chakraborty, Saibal Mukhopadhyay:
RoboKoop: Efficient Control Conditioned Representations from Visual Input in Robotics using Koopman Operator. CoRR abs/2409.03107 (2024) - [i38]Priyabrata Saha, Saibal Mukhopadhyay:
Bridging Autoencoders and Dynamic Mode Decomposition for Reduced-order Modeling and Control of PDEs. CoRR abs/2409.06101 (2024) - 2023
- [j98]Kuchul Jung, Jongseok Woo, Saibal Mukhopadhyay:
On-Chip Acceleration of RF Signal Modulation Classification With Short-Time Fourier Transform and Convolutional Neural Network. IEEE Access 11: 144051-144063 (2023) - [j97]Priyabrata Saha, Luis Guerrero-Bonilla, Magnus Egerstedt, Saibal Mukhopadhyay:
Learning Deep Neural Network Controller for Path Following of Unicycle Robots. IEEE Robotics Autom. Lett. 8(1): 248-255 (2023) - [j96]Harshit Kumar, Biswadeep Chakraborty, Sudarshan Sharma, Saibal Mukhopadhyay:
XMD: An Expansive Hardware-Telemetry-Based Mobile Malware Detector for Endpoint Detection. IEEE Trans. Inf. Forensics Secur. 18: 5906-5919 (2023) - [c189]Biswadeep Chakraborty, Uday Kamal, Xueyuan She, Saurabh Dash, Saibal Mukhopadhyay:
Brain-Inspired Spatiotemporal Processing Algorithms for Efficient Event-Based Perception. DATE 2023: 1-6 - [c188]Sudarshan Sharma, Wei Chun Wang, Coleman DeLude, Minah Lee, Nael Mizanur Rahman, Narasimha Vasishta Kidambi, Justin Romberg, Saibal Mukhopadhyay:
AFE-CIM: A Current-Domain Compute-In-Memory Macro for Analog-to-Feature Extraction. ESSCIRC 2023: 33-36 - [c187]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Heterogeneous Neuronal and Synaptic Dynamics for Spike-Efficient Unsupervised Learning: Theory and Design Principles. ICLR 2023 - [c186]Uday Kamal, Saurabh Dash, Saibal Mukhopadhyay:
Associative Memory Augmented Asynchronous Spatiotemporal Representation Learning for Event-based Perception. ICLR 2023 - [c185]Beomseok Kang, Biswadeep Chakraborty, Saibal Mukhopadhyay:
Unsupervised 3D Object Learning through Neuron Activity aware Plasticity. ICLR 2023 - [c184]Minah Lee, Sudarshan Sharma, Wei Chun Wang, Saibal Mukhopadhyay:
Energy-Efficient Sensor Platform using Reliable Analog-to-Feature Extraction. SENSORS 2023: 1-4 - [c183]Sudarshan Sharma, Uday Kamal, Jianming Tong, Tushar Krishna, Saibal Mukhopadhyay:
SNATCH: Stealing Neural Network Architecture from ML Accelerator in Intelligent Sensors. SENSORS 2023: 1-4 - [c182]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Brain-Inspired Spiking Neural Network for Online Unsupervised Time Series Prediction. IJCNN 2023: 1-8 - [c181]Beomseok Kang, Saibal Mukhopadhyay:
Forecasting Evolution of Clusters in Game Agents with Hebbian Learning. IJCNN 2023: 1-8 - [c180]Minah Lee, Anni Lu, Mandovi Mukherjee, Shimeng Yu, Saibal Mukhopadhyay:
CLUE: Cross-Layer Uncertainty Estimator for Reliable Neural Perception using Processing-in-Memory Accelerators. IJCNN 2023: 1-8 - [c179]Daehyun Kim, Nael Mizanur Rahman, Saibal Mukhopadhyay:
A 32.5mW Mixed-Signal Processing-in-Memory-Based k-SAT Solver in 65nm CMOS with 74.0% Solvability for 3D-Variable 126-Clause 3-SAT Problems. ISSCC 2023: 418-419 - [c178]Woohong Byun, Saibal Mukhopadhyay:
Hessian-Based Parameter Quantization Method for BERT. MWSCAS 2023: 516-520 - [c177]Nathan Eli Miller, Biswadeep Chakraborty, Saibal Mukhopadhyay:
A Reconfigurable Quantum State Tomography Solver in FPGA. QCE 2023: 1412-1421 - [i37]Giovanni Finocchio, Supriyo Bandyopadhyay, Peng Lin, Gang Pan, J. Joshua Yang, Riccardo Tomasello, Christos Panagopoulos, Mario Carpentieri, Vito Puliafito, Johan Åkerman, Hiroki Takesue, Amit Ranjan Trivedi, Saibal Mukhopadhyay, Kaushik Roy, Vinod K. Sangwan, Mark C. Hersam, Anna Giordano, Huynsoo Yang, Julie Grollier, Kerem Yunus Çamsari, Peter L. McMahon, Supriyo Datta, Jean Anne C. Incorvia, Joseph S. Friedman, Sorin Cotofana, Florin Ciubotaru, Andrii V. Chumak, Azad J. Naeemi, Brajesh Kumar Kaushik, Yao Zhu, Kang Wang, Belita Koiller, Gabriel Aguilar, Guilherme P. Temporão, Kremena Makasheva, Aida Todri-Sanial, Jennifer Hasler, William Levy, Vwani Roychowdhury, Samiran Ganguly, Avik W. Ghosh, Davi Rodriquez, Satoshi Sunada, Karin Everschor-Sitte, Amit Lal, Shubham Jadhav, Massimiliano Di Ventra, Yuriy V. Pershin, Kosuke Tatsumura, Hayato Goto:
Roadmap for Unconventional Computing with Nanotechnology. CoRR abs/2301.06727 (2023) - [i36]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Heterogeneous Neuronal and Synaptic Dynamics for Spike-Efficient Unsupervised Learning: Theory and Design Principles. CoRR abs/2302.11618 (2023) - [i35]Beomseok Kang, Biswadeep Chakraborty, Saibal Mukhopadhyay:
Unsupervised 3D Object Learning through Neuron Activity aware Plasticity. CoRR abs/2302.11622 (2023) - [i34]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Brain-Inspired Spiking Neural Network for Online Unsupervised Time Series Prediction. CoRR abs/2304.04697 (2023) - 2022
- [j95]Biswadeep Chakraborty, Saibal Mukhopadhyay:
μDARTS: Model Uncertainty-Aware Differentiable Architecture Search. IEEE Access 10: 98670-98682 (2022) - [j94]Edward Lee, Daehyun Kim, Jinwoo Kim, Sung Kyu Lim, Saibal Mukhopadhyay:
A ReRAM Memory Compiler for Monolithic 3D Integrated Circuits in a Carbon Nanotube Process. ACM J. Emerg. Technol. Comput. Syst. 18(1): 20:1-20:20 (2022) - [j93]Saurabh Dash, Yandong Luo, Anni Lu, Shimeng Yu, Saibal Mukhopadhyay:
Robust Processing-In-Memory With Multibit ReRAM Using Hessian-Driven Mixed-Precision Computation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(4): 1006-1019 (2022) - [j92]Edward Lee, Nael Mizanur Rahman, Venkata Chaitanya Krishna Chekuri, Arvind Singh, Saibal Mukhopadhyay:
A Low-Power Authentication IC for Visible-Light-Based Interrogation. IEEE Trans. Ind. Electron. 69(3): 3120-3130 (2022) - [j91]Kruttidipta Samal, Hemant Kumawat, Priyabrata Saha, Marilyn Wolf, Saibal Mukhopadhyay:
Task-Driven RGB-Lidar Fusion for Object Tracking in Resource-Efficient Autonomous System. IEEE Trans. Intell. Veh. 7(1): 102-112 (2022) - [c176]Xueyuan She, Saurabh Dash, Saibal Mukhopadhyay:
Sequence Approximation using Feedforward Spiking Neural Network for Spatiotemporal Learning: Theory and Optimization Methods. ICLR 2022 - [c175]Saurabh Dash, Xueyuan She, Saibal Mukhopadhyay:
Learning Point Processes using Recurrent Graph Network. IJCNN 2022: 1-8 - [c174]Beomseok Kang, Harshit Kumar, Saurabh Dash, Saibal Mukhopadhyay:
Unsupervised Hebbian Learning on Point Sets in StarCraft II. IJCNN 2022: 1-8 - [c173]Hemant Kumawat, Saibal Mukhopadhyay:
Radar Guided Dynamic Visual Attention for Resource-Efficient RGB Object Detection. IJCNN 2022: 1-8 - [c172]Minah Lee, Burhan Ahmad Mudassar, Saibal Mukhopadhyay:
Lightweight Model Uncertainty Estimation for Deep Neural Object Detection. IJCNN 2022: 1-8 - [c171]Kruttidipta Samal, Hemant Kumawat, Marilyn Wolf, Saibal Mukhopadhyay:
A Methodology for Understanding the Origins of False Negatives in DNN Based Object Detectors. IJCNN 2022: 1-8 - [c170]Shida Zhang, Nael Mizanur Rahman, Venkata Chaitanya Krishna Chekuri, Carlos Tokunaga, Saibal Mukhopadhyay:
Analysis of the Effect of Hot Carrier Injection in An Integrated Inductive Voltage Regulator. ISLPED 2022: 12:1-12:6 - [i33]Priyabrata Saha, Saibal Mukhopadhyay:
Unraveled Multilevel Transformation Networks for Predicting Sparsely-Observed Spatiotemporal Dynamics. CoRR abs/2203.08655 (2022) - [i32]Burhan Ahmad Mudassar, Sho Ko, Maojingjing Li, Priyabrata Saha, Saibal Mukhopadhyay:
RADNet: A Deep Neural Network Model for Robust Perception in Moving Autonomous Systems. CoRR abs/2205.00364 (2022) - [i31]Hemant Kumawat, Saibal Mukhopadhyay:
Radar Guided Dynamic Visual Attention for Resource-Efficient RGB Object Detection. CoRR abs/2206.01772 (2022) - [i30]Harshit Kumar, Biswadeep Chakraborty, Sudarshan Sharma, Nikhil Chawla, Saibal Mukhopadhyay:
XMD: An Expansive Hardware-telemetry based Malware Detector to enhance Endpoint Detection. CoRR abs/2206.12447 (2022) - [i29]Beomseok Kang, Harshit Kumar, Saurabh Dash, Saibal Mukhopadhyay:
Unsupervised Hebbian Learning on Point Sets in StarCraft II. CoRR abs/2207.12323 (2022) - [i28]Saurabh Dash, Xueyuan She, Saibal Mukhopadhyay:
Learning Point Processes using Recurrent Graph Network. CoRR abs/2208.05736 (2022) - [i27]Payman Behnam, Uday Kamal, Saibal Mukhopadhyay:
An Algorithm-Hardware Co-design Framework to Overcome Imperfections of Mixed-signal DNN Accelerators. CoRR abs/2208.13896 (2022) - [i26]Beomseok Kang, Saibal Mukhopadhyay:
Forecasting Evolution of Clusters in StarCraft II with Hebbian Learning. CoRR abs/2209.06904 (2022) - [i25]Beomseok Kang, Minah Lee, Harshit Kumar, Saibal Mukhopadhyay:
Forecasting local behavior of multi-agent system and its application to forest fire model. CoRR abs/2210.17289 (2022) - [i24]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Heterogeneous Recurrent Spiking Neural Network for Spatio-Temporal Classification. CoRR abs/2211.04297 (2022) - 2021
- [j90]Priyabrata Saha, Saibal Mukhopadhyay:
A Deep Learning Approach for Predicting Spatiotemporal Dynamics From Sparsely Observed Data. IEEE Access 9: 64200-64210 (2021) - [j89]Beomseok Kang, Anni Lu, Yun Long, Daehyun Kim, Shimeng Yu, Saibal Mukhopadhyay:
Genetic Algorithm-Based Energy-Aware CNN Quantization for Processing-In-Memory Architecture. IEEE J. Emerg. Sel. Topics Circuits Syst. 11(4): 649-662 (2021) - [j88]Nikhil Chawla, Arvind Singh, Harshit Kumar, Monodeep Kar, Saibal Mukhopadhyay:
Securing IoT Devices Using Dynamic Power Management: Machine Learning Approach. IEEE Internet Things J. 8(22): 16379-16394 (2021) - [j87]Priyabrata Saha, Saurabh Dash, Saibal Mukhopadhyay:
Physics-incorporated convolutional recurrent neural networks for source identification and forecasting of dynamical systems. Neural Networks 144: 359-371 (2021) - [j86]Xueyuan She, Yun Long, Daehyun Kim, Saibal Mukhopadhyay:
ScieNet: Deep learning with spike-assisted contextual information extraction. Pattern Recognit. 118: 108002 (2021) - [j85]Priyabrata Saha, Magnus Egerstedt, Saibal Mukhopadhyay:
Neural Identification for Control. IEEE Robotics Autom. Lett. 6(3): 4648-4655 (2021) - [j84]Burhan Ahmad Mudassar, Priyabrata Saha, Marilyn Wolf, Saibal Mukhopadhyay:
A Task-Driven Feedback Imager with Uncertainty Driven Hybrid Control. Sensors 21(8): 2610 (2021) - [j83]Nikhil Chawla, Harshit Kumar, Saibal Mukhopadhyay:
Machine Learning in Wavelet Domain for Electromagnetic Emission Based Malware Analysis. IEEE Trans. Inf. Forensics Secur. 16: 3426-3441 (2021) - [j82]Biswadeep Chakraborty, Xueyuan She, Saibal Mukhopadhyay:
A Fully Spiking Hybrid Neural Network for Energy-Efficient Object Detection. IEEE Trans. Image Process. 30: 9014-9029 (2021) - [j81]Bahar Asgari, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
MAHASIM: Machine-Learning Hardware Acceleration Using a Software-Defined Intelligent Memory System. J. Signal Process. Syst. 93(6): 659-675 (2021) - [c169]Nathan Eli Miller, Zheng Wang, Saurabh Dash, Asif Islam Khan, Saibal Mukhopadhyay:
Characterization of Drain Current Variations in FeFETs for PIM-based DNN Accelerators. AICAS 2021: 1-4 - [c168]Kruttidipta Samal, Marilyn Wolf, Saibal Mukhopadhyay:
Introspective Closed-Loop Perception for Energy-efficient Sensors. AVSS 2021: 1-8 - [c167]Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay:
Towards Improving the Trustworthiness of Hardware based Malware Detector using Online Uncertainty Estimation. DAC 2021: 961-966 - [c166]Kruttidipta Samal, Marilyn Wolf, Saibal Mukhopadhyay:
Closed-loop Approach to Perception in Autonomous System. DATE 2021: 463-468 - [c165]Minah Lee, Xueyuan She, Biswadeep Chakraborty, Saurabh Dash, Burhan Ahmad Mudassar, Saibal Mukhopadhyay:
Reliable Edge Intelligence in Unreliable Environment. DATE 2021: 896-901 - [c164]He Xiao, Monodeep Kar, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
VDPred: Predicting Voltage Droop for Power-Effient 3D Multi-core Processor Design. ICCAE 2021: 83-88 - [i23]Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay:
Towards Improving the Trustworthiness of Hardware based Malware Detector using Online Uncertainty Estimation. CoRR abs/2103.11519 (2021) - [i22]Biswadeep Chakraborty, Xueyuan She, Saibal Mukhopadhyay:
A Fully Spiking Hybrid Neural Network for Energy-Efficient Object Detection. CoRR abs/2104.10719 (2021) - [i21]Nathan Eli Miller, Saibal Mukhopadhyay:
A Quantum Hopfield Associative Memory Implemented on an Actual Quantum Processor. CoRR abs/2105.11590 (2021) - [i20]Biswadeep Chakraborty, Saibal Mukhopadhyay:
Characterization of Generalizability of Spike Time Dependent Plasticity trained Spiking Neural Networks. CoRR abs/2105.14677 (2021) - [i19]Biswadeep Chakraborty, Saibal Mukhopadhyay:
$μ$DARTS: Model Uncertainty-Aware Differentiable Architecture Search. CoRR abs/2107.11500 (2021) - 2020
- [j80]Arjun Chaudhuri, Sanmitra Banerjee, Heechun Park, Jinwoo Kim, Gauthaman Murali, Edward Lee, Daehyun Kim, Sung Kyu Lim, Saibal Mukhopadhyay, Krishnendu Chakrabarty:
Advances in Design and Test of Monolithic 3-D ICs. IEEE Des. Test 37(4): 92-100 (2020) - [j79]Kruttidipta Samal, Marilyn Wolf, Saibal Mukhopadhyay:
Attention-Based Activation Pruning to Reduce Data Movement in Real-Time AI: A Case-Study on Local Motion Planning in Autonomous Vehicles. IEEE J. Emerg. Sel. Topics Circuits Syst. 10(3): 306-319 (2020) - [j78]Minah Lee, Mandovi Mukherjee, Edward Lee, Priyabrata Saha, Mohammad Faisal Amir, Taesik Na, Saibal Mukhopadhyay:
Cross-Layer Noise Analysis in Smart Digital Pixel Sensors With Integrated Deep Neural Network. IEEE J. Emerg. Sel. Topics Circuits Syst. 10(4): 444-457 (2020) - [j77]Arvind Singh, Monodeep Kar, Venkata Chaitanya Krishna Chekuri, Sanu K. Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Enhanced Power and Electromagnetic SCA Resistance of Encryption Engines via a Security-Aware Integrated All-Digital LDO. IEEE J. Solid State Circuits 55(2): 478-493 (2020) - [j76]Venkata Chaitanya Krishna Chekuri, Monodeep Kar, Arvind Singh, Anto Kavungal Davis, Mohamed Lamine Faycal Bellaredj, Madhavan Swaminathan, Saibal Mukhopadhyay:
An Inductive Voltage Regulator With Overdrive Tracking Across Input Voltage in Cascoded Power Stage. IEEE Trans. Circuits Syst. 67-II(12): 3083-3087 (2020) - [j75]Ahish Shylendra, Priyesh Shukla, Saibal Mukhopadhyay, Swarup Bhunia, Amit Ranjan Trivedi:
Low Power Unsupervised Anomaly Detection by Nonparametric Modeling of Sensor Statistics. IEEE Trans. Very Large Scale Integr. Syst. 28(8): 1833-1843 (2020) - [j74]Jinwoo Kim, Gauthaman Murali, Heechun Park, Eric Qin, Hyoukjun Kwon, Venkata Chaitanya Krishna Chekuri, Nael Mizanur Rahman, Nihar Dasari, Arvind Singh, Minah Lee, Hakki Mert Torun, Kallol Roy, Madhavan Swaminathan, Saibal Mukhopadhyay, Tushar Krishna, Sung Kyu Lim:
Architecture, Chip, and Package Codesign Flow for Interposer-Based 2.5-D Chiplet Integration Enabling Heterogeneous IP Reuse. IEEE Trans. Very Large Scale Integr. Syst. 28(11): 2424-2437 (2020) - [c163]Minah Lee, Mandovi Mukherjee, Priyabrata Saha, Mohammad Faisal Amir, Taesik Na, Saibal Mukhopadhyay:
Effect of Process Variations in Digital Pixel Circuits on the Accuracy of DNN based Smart Sensor. AICAS 2020: 296-300 - [c162]Venkata Chaitanya Krishna Chekuri, Nael Mizanur Rahman, Edward Lee, Arvind Singh, Saibal Mukhopadhyay:
A Fully Synthesized Integrated Buck Regulator with Auto-generated GDS-II in 65nm CMOS Process. CICC 2020: 1-4 - [c161]Edward Lee, Nael Mizanur Rahman, Venkata Chaitanya Krishna Chekuri, Saibal Mukhopadhyay:
An Authentication IC with Visible Light Based Interrogation in 65nm CMOS. CICC 2020: 1-4 - [c160]Nael Mizanur Rahman, Edward Lee, Venkata Chaitanya Krishna Chekuri, Arvind Singh, Saibal Mukhopadhyay:
A Configurable Dual-Mode PRINCE Cipher with Security Aware Pipelining in 65nm for High Throughput Applications. CICC 2020: 1-4 - [c159]Minah Lee, Burhan Ahmad Mudassar, Taesik Na, Saibal Mukhopadhyay:
WarningNet: A Deep Learning Platform for Early Warning of Task Failures under Input Perturbation for Reliable Autonomous Platforms. DAC 2020: 1-6 - [c158]Yun Long, Edward Lee, Daehyun Kim, Saibal Mukhopadhyay:
Q-PIM: A Genetic Algorithm based Flexible DNN Quantization Method and Application to Processing-In-Memory Platform. DAC 2020: 1-6 - [c157]Saurabh Dash, Saibal Mukhopadhyay:
Hessian-Driven Unequal Protection of DNN Parameters for Robust Inference. ICCAD 2020: 76:1-76:9 - [c156]Jinwoo Kim, Gauthaman Murali, Pruek Vanna-Iampikul, Edward Lee, Daehyun Kim, Arjun Chaudhuri, Sanmitra Banerjee, Krishnendu Chakrabarty, Saibal Mukhopadhyay, Sung Kyu Lim:
RTL-to-GDS Design Tools for Monolithic 3D ICs. ICCAD 2020: 126:1-126:8 - [c155]Jinwoo Kim, Venkata Chaitanya Krishna Chekuri, Nael Mizanur Rahman, Majid Ahadi Dolatsara, Hakki Mert Torun, Madhavan Swaminathan, Saibal Mukhopadhyay, Sung Kyu Lim:
Silicon vs. Organic Interposer: PPA and Reliability Tradeoffs in Heterogeneous 2.5D Chiplet Integration. ICCD 2020: 80-87 - [c154]Priyabrata Saha, Arslan Ali, Burhan Ahmad Mudassar, Yun Long, Saibal Mukhopadhyay:
MagNet: Discovering Multi-agent Interaction Dynamics using Neural Network. ICRA 2020: 8158-8164 - [c153]Burhan Ahmad Mudassar, Priyabrata Saha, Saibal Mukhopadhyay:
Uncertainty Characterization in Active Sensor Systems with DNN-based Feedback Control. IEEE SENSORS 2020: 1-4 - [c152]Mandovi Mukherjee, Burhan Ahmad Mudassar, Minah Lee, Saibal Mukhopadhyay:
Algorithm-Circuit Cross-layer Control for Digital Pixel Image Sensors. IEEE SENSORS 2020: 1-4 - [c151]Yun Long, Edward Lee, Daehyun Kim, Saibal Mukhopadhyay:
Flex-PIM: A Ferroelectric FET based Vector Matrix Multiplication Engine with Dynamical Bitwidth and Floating Point Precision. IJCNN 2020: 1-8 - [c150]Kruttidipta Samal, Marilyn Wolf, Saibal Mukhopadhyay:
Hybridization of Data and Model based Object Detection for Tracking in Flash Lidars. IJCNN 2020: 1-6 - [c149]Xueyuan She, Priyabrata Saha, Daehyun Kim, Yun Long, Saibal Mukhopadhyay:
SAFE-DNN: A Deep Neural Network With Spike Assisted Feature Extraction For Noise Robust Inference. IJCNN 2020: 1-8 - [c148]Venkata Chaitanya Krishna Chekuri, Arvind Singh, Nael Mizanur Rahman, Edward Lee, Saibal Mukhopadhyay:
Aging Challenges in On-chip Voltage Regulator Design. IRPS 2020: 1-8 - [c147]Harshit Kumar, Nikhil Chawla, Saibal Mukhopadhyay:
BiasP: a DVFS based exploit to undermine resource allocation fairness in linux platforms. ISLPED 2020: 223-228 - [i18]Priyabrata Saha, Arslan Ali, Burhan Ahmad Mudassar, Yun Long, Saibal Mukhopadhyay:
MagNet: Discovering Multi-agent Interaction Dynamics using Neural Network. CoRR abs/2001.09001 (2020) - [i17]Ahish Shylendra, Priyesh Shukla, Saibal Mukhopadhyay, Swarup Bhunia, Amit Ranjan Trivedi:
Low Power Unsupervised Anomaly Detection by Non-Parametric Modeling of Sensor Statistics. CoRR abs/2003.10088 (2020) - [i16]Priyabrata Saha, Saurabh Dash, Saibal Mukhopadhyay:
PhICNet: Physics-Incorporated Convolutional Recurrent Neural Networks for Modeling Dynamical Systems. CoRR abs/2004.06243 (2020) - [i15]Priyabrata Saha, Saibal Mukhopadhyay:
Neural Identification for Control. CoRR abs/2009.11782 (2020) - [i14]Priyabrata Saha, Saibal Mukhopadhyay:
A Deep Learning-based Collocation Method for Modeling Unknown PDEs from Sparse Observation. CoRR abs/2011.14965 (2020)
2010 – 2019
- 2019
- [j73]Burhan Ahmad Mudassar, Priyabrata Saha, Yun Long, Mohammad Faisal Amir, Evan Gebhardt, Taesik Na, Jong Hwan Ko, Marilyn Wolf, Saibal Mukhopadhyay:
CAMEL: An Adaptive Camera With Embedded Machine Learning-Based Sensor Parameter Control. IEEE J. Emerg. Sel. Topics Circuits Syst. 9(3): 498-508 (2019) - [j72]Saibal Mukhopadhyay, Yun Long, Burhan Ahmad Mudassar, C. S. Nair, Bartlet H. DeProspo, Hakki Mert Torun, M. Kathaperumal, V. Smet, Duckhwan Kim, Sudhakar Yalamanchili, Madhavan Swaminathan:
Heterogeneous integration for artificial intelligence: Challenges and opportunities. IBM J. Res. Dev. 63(6): 4:1 (2019) - [j71]Nitish Kumar, Jialuo Chen, Monodeep Kar, Suresh K. Sitaraman, Saibal Mukhopadhyay, Satish Kumar:
Multigated Carbon Nanotube Field Effect Transistors-Based Physically Unclonable Functions As Security Keys. IEEE Internet Things J. 6(1): 325-334 (2019) - [j70]Arvind Singh, Nikhil Chawla, Jong Hwan Ko, Monodeep Kar, Saibal Mukhopadhyay:
Energy Efficient and Side-Channel Secure Cryptographic Hardware for IoT-Edge Nodes. IEEE Internet Things J. 6(1): 421-434 (2019) - [j69]Arvind Singh, Monodeep Kar, Sanu K. Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Improved Power/EM Side-Channel Attack Resistance of 128-Bit AES Engines With Random Fast Voltage Dithering. IEEE J. Solid State Circuits 54(2): 569-583 (2019) - [j68]Pradip Bose, Saibal Mukhopadhyay:
Energy-Secure System Architectures (ESSA): A Workshop Report. IEEE Micro 39(4): 27-34 (2019) - [j67]Jong Hwan Ko, Duckhwan Kim, Taesik Na, Saibal Mukhopadhyay:
Design and Analysis of a Neural Network Inference Engine Based on Adaptive Weight Compression. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(1): 109-121 (2019) - [j66]Venkata Chaitanya Krishna Chekuri, Monodeep Kar, Arvind Singh, Saibal Mukhopadhyay:
Autotuning of Integrated Inductive Voltage Regulator Using On-Chip Delay Sensor to Tolerate Process and Passive Variations. IEEE Trans. Very Large Scale Integr. Syst. 27(8): 1768-1778 (2019) - [c146]Minah Lee, Burhan Ahmad Mudassar, Taesik Na, Saibal Mukhopadhyay:
A Spatiotemporal Pre-processing Network for Activity Recognition under Rain. BMVC 2019: 178 - [c145]Burhan Ahmad Mudassar, Saibal Mukhopadhyay:
Rethinking Convolutional Feature Extraction for Small Object Detection. BMVC 2019: 234 - [c144]Heechun Park, Kyungwook Chang, Bon Woong Ku, Jinwoo Kim, Edward Lee, Daehyun Kim, Arjun Chaudhuri, Sanmitra Banerjee, Saibal Mukhopadhyay, Krishnendu Chakrabarty, Sung Kyu Lim:
RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs. DAC 2019: 101 - [c143]Jinwoo Kim, Gauthaman Murali, Heechun Park, Eric Qin, Hyoukjun Kwon, Venkata Chaitanya Krishna Chekuri, Nihar Dasari, Arvind Singh, Minah Lee, Hakki Mert Torun, Kallol Roy, Madhavan Swaminathan, Saibal Mukhopadhyay, Tushar Krishna, Sung Kyu Lim:
Architecture, Chip, and Package Co-design Flow for 2.5D IC Design Enabling Heterogeneous IP Reuse. DAC 2019: 178 - [c142]Arvind Singh, Monodeep Kar, Nikhil Chawla, Saibal Mukhopadhyay:
Mitigating Power Supply Glitch based Fault Attacks with Fast All-Digital Clock Modulation Circuit. DATE 2019: 19-24 - [c141]Xueyuan She, Yun Long, Saibal Mukhopadhyay:
Fast and Low-Precision Learning in GPU-Accelerated Spiking Neural Network. DATE 2019: 450-455 - [c140]Burhan Ahmad Mudassar, Priyabrata Saha, Yun Long, Mohammad Faisal Amir, Evan Gebhardt, Taesik Na, Jong Hwan Ko, Marilyn Wolf, Saibal Mukhopadhyay:
A Camera with Brain - Embedding Machine Learning in 3D Sensors. DATE 2019: 680-685 - [c139]Yun Long, Xueyuan She, Saibal Mukhopadhyay:
Design of Reliable DNN Accelerator with Un-reliable ReRAM. DATE 2019: 1769-1774 - [c138]Nikhil Chawla, Arvind Singh, Nael Mizanur Rahman, Monodeep Kar, Saibal Mukhopadhyay:
Extracting Side-Channel Leakage from Round Unrolled Implementations of Lightweight Ciphers. HOST 2019: 31-40 - [c137]Hakki Mert Torun, Huan Yu, Nihar Dasari, Venkata Chaitanya Krishna Chekuri, Arvind Singh, Jinwoo Kim, Sung Kyu Lim, Saibal Mukhopadhyay, Madhavan Swaminathan:
A Spectral Convolutional Net for Co-Optimization of Integrated Voltage Regulators and Embedded Inductors. ICCAD 2019: 1-8 - [c136]Nikhil Chawla, Arvind Singh, Monodeep Kar, Saibal Mukhopadhyay:
Application Inference using Machine Learning based Side Channel Analysis. IJCNN 2019: 1-8 - [c135]Burhan Ahmad Mudassar, Saibal Mukhopadhyay:
FocalNet - Foveal Attention for Post-processing DNN Outputs. IJCNN 2019: 1-8 - [c134]Taesik Na, Minah Lee, Burhan Ahmad Mudassar, Priyabrata Saha, Jong Hwan Ko, Saibal Mukhopadhyay:
Mixture of Pre-processing Experts Model for Noise Robust Deep Learning on Resource Constrained Platforms. IJCNN 2019: 1-7 - [c133]Xueyuan She, Yun Long, Saibal Mukhopadhyay:
Improving Robustness of ReRAM-based Spiking Neural Network Accelerator with Stochastic Spike-timing-dependent-plasticity. IJCNN 2019: 1-8 - [c132]Venkata Chaitanya Krishna Chekuri, Arvind Singh, Nihar Dasari, Saibal Mukhopadhyay:
On the Effect of NBTI Induced Aging of Power Stage on the Transient Performance of On-Chip Voltage Regulators. IRPS 2019: 1-5 - [c131]Venkata Chaitanya Krishna Chekuri, Nihar Dasari, Arvind Singh, Saibal Mukhopadhyay:
Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs. ISLPED 2019: 1-6 - [c130]Arvind Singh, Monodeep Kar, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
A 128b AES Engine with Higher Resistance to Power and Electromagnetic Side-Channel Attacks Enabled by a Security-Aware Integrated All-Digital Low-Dropout Regulator. ISSCC 2019: 404-406 - [i13]Taesik Na, Minah Lee, Burhan Ahmad Mudassar, Priyabrata Saha, Jong Hwan Ko, Saibal Mukhopadhyay:
Mixture of Pre-processing Experts Model for Noise Robust Deep Learning on Resource Constrained Platforms. CoRR abs/1904.12426 (2019) - [i12]Nikhil Chawla, Arvind Singh, Monodeep Kar, Saibal Mukhopadhyay:
Application Inference using Machine Learning based Side Channel Analysis. CoRR abs/1907.04428 (2019) - [i11]Xueyuan She, Yun Long, Daehyun Kim, Saibal Mukhopadhyay:
ScieNet: Deep Learning with Spike-assisted Contextual Information Extraction. CoRR abs/1909.05314 (2019) - [i10]Xueyuan She, Yun Long, Saibal Mukhopadhyay:
Improving Robustness of ReRAM-based Spiking Neural Network Accelerator with Stochastic Spike-timing-dependent-plasticity. CoRR abs/1909.05401 (2019) - 2018
- [j65]Jong Hwan Ko, Taesik Na, Saibal Mukhopadhyay:
An Energy-Quality Scalable Wireless Image Sensor Node for Object-Based Video Surveillance. IEEE J. Emerg. Sel. Topics Circuits Syst. 8(3): 591-602 (2018) - [j64]Monodeep Kar, Arvind Singh, Sanu K. Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Reducing Power Side-Channel Information Leakage of AES Engines Using Fully Integrated Inductive Voltage Regulator. IEEE J. Solid State Circuits 53(8): 2399-2414 (2018) - [j63]Duckhwan Kim, Taesik Na, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
DeepTrain: A Programmable Embedded Platform for Training Deep Neural Networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(11): 2360-2370 (2018) - [j62]Jaeha Kung, Duckhwan Kim, Saibal Mukhopadhyay:
Adaptive Precision Cellular Nonlinear Network. IEEE Trans. Very Large Scale Integr. Syst. 26(5): 841-854 (2018) - [j61]Yun Long, Taesik Na, Saibal Mukhopadhyay:
ReRAM-Based Processing-in-Memory Architecture for Recurrent Neural Network Acceleration. IEEE Trans. Very Large Scale Integr. Syst. 26(12): 2781-2794 (2018) - [j60]Jaeha Kung, David C. Zhang, Gooitzen S. van der Wal, Sek M. Chai, Saibal Mukhopadhyay:
Efficient Object Detection Using Embedded Binarized Neural Networks. J. Signal Process. Syst. 90(6): 877-890 (2018) - [c129]Jong Hwan Ko, Taesik Na, Mohammad Faisal Amir, Saibal Mukhopadhyay:
Edge-Host Partitioning of Deep Neural Networks with Feature Space Encoding for Resource-Constrained Internet-of-Things Platforms. AVSS 2018: 1-6 - [c128]Priyabrata Saha, Burhan Ahmad Mudassar, Saibal Mukhopadhyay:
Adaptive Control of Camera Modality with Deep Neural Network-Based Feedback for Efficient Object Tracking. AVSS 2018: 1-6 - [c127]Yun Long, Xueyuan She, Saibal Mukhopadhyay:
HybridNet: Integrating Model-based and Data-driven Learning to Predict Evolution of Dynamical Systems. CoRL 2018: 551-560 - [c126]Burhan Ahmad Mudassar, Jong Hwan Ko, Saibal Mukhopadhyay:
Edge-cloud collaborative processing for intelligent internet of things: a case study on smart surveillance. DAC 2018: 146:1-146:6 - [c125]Yun Long, Xueyuan She, Saibal Mukhopadhyay:
Accelerating biophysical neural network simulation with region of interest based approximation. DATE 2018: 159-164 - [c124]Venakata Chaitanya Krishna Chekuri, Monodeep Kar, Arvind Singh, Saibal Mukhopadhyay:
Performance based tuning of an inductive integrated voltage regulator driving a digital core against process and passive variations. DATE 2018: 367-372 - [c123]Arvind Singh, Monodeep Kar, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Exploiting on-chip power management for side-channel security. DATE 2018: 401-406 - [c122]Saibal Mukhopadhyay, Marilyn Wolf, Mohammed Faisal Amir, Evan Gebhardt, Jong Hwan Ko, Jaeha Kung, Burhan Ahmad Musassar:
The CAMEL approach to stacked sensor smart cameras. DATE 2018: 1299-1303 - [c121]Arvind Singh, Nikhil Chawla, Monodeep Kar, Saibal Mukhopadhyay:
Energy efficient and side-channel secure hardware architecture for lightweight cipher SIMON. HOST 2018: 159-162 - [c120]Burhan Ahmad Mudassar, Jong Hwan Ko, Saibal Mukhopadhyay:
An Unsupervised Anomalous Event Detection Framework with Class Aware Source Separation. ICASSP 2018: 2671-2675 - [c119]Yun Long, Taesik Na, Prakshi Rastogi, Karthik Rao, Asif Islam Khan, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
A ferroelectric FET based power-efficient architecture for data-intensive computing. ICCAD 2018: 32 - [c118]Taesik Na, Jong Hwan Ko, Saibal Mukhopadhyay:
Cascade Adversarial Machine Learning Regularized with a Unified Embedding. ICLR (Poster) 2018 - [i9]Jong Hwan Ko, Taesik Na, Mohammad Faisal Amir, Saibal Mukhopadhyay:
Edge-Host Partitioning of Deep Neural Networks with Feature Space Encoding for Resource-Constrained Internet-of-Things Platforms. CoRR abs/1802.03835 (2018) - [i8]Monodeep Kar, Arvind Singh, Sanu Mathew, Santosh Ghosh, Anand Rajan, Vivek De, Raheem A. Beyah, Saibal Mukhopadhyay:
Blindsight: Blinding EM Side-Channel Leakage using Built-In Fully Integrated Inductive Voltage Regulator. CoRR abs/1802.09096 (2018) - [i7]Bahar Asgari, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
Memory Slices: A Modular Building Block for Scalable, Intelligent Memory Systems. CoRR abs/1803.06068 (2018) - [i6]Yun Long, Xueyuan She, Saibal Mukhopadhyay:
HybridNet: Integrating Model-based and Data-driven Learning to Predict Evolution of Dynamical Systems. CoRR abs/1806.07439 (2018) - 2017
- [j59]Marilyn Wolf, Saibal Mukhopadhyay:
VLSI for the Internet of Things. Computer 50(6): 16-18 (2017) - [j58]Arvind Singh, Monodeep Kar, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Reducing Side-Channel Leakage of Encryption Engines Using Integrated Low-Dropout Voltage Regulators. J. Hardw. Syst. Secur. 1(4): 340-355 (2017) - [j57]Monodeep Kar, Arvind Singh, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
An All-Digital Fully Integrated Inductive Buck Regulator With A 250-MHz Multi-Sampled Compensator and a Lightweight Auto-Tuner in 130-nm CMOS. IEEE J. Solid State Circuits 52(7): 1825-1835 (2017) - [j56]Jong Hwan Ko, Mohammad Faisal Amir, Khondker Zakir Ahmed, Taesik Na, Saibal Mukhopadhyay:
A Single-Chip Image Sensor Node With Energy Harvesting From a CMOS Pixel Array. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2295-2307 (2017) - [j55]Taesik Na, Jong Hwan Ko, Saibal Mukhopadhyay:
Clock Data Compensation Aware Digital Circuits Design for Voltage Margin Reduction. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2401-2413 (2017) - [j54]Duckhwan Kim, Jaeha Kung, Saibal Mukhopadhyay:
A Power-Aware Digital Multilayer Perceptron Accelerator with On-Chip Training Based on Approximate Computing. IEEE Trans. Emerg. Top. Comput. 5(2): 164-178 (2017) - [c117]Jong Hwan Ko, Burhan Ahmad Mudassar, Taesik Na, Saibal Mukhopadhyay:
Design of an Energy-Efficient Accelerator for Training of Convolutional Neural Networks using Frequency-Domain Computation. DAC 2017: 59:1-59:6 - [c116]Jong Hwan Ko, Duckhwan Kim, Taesik Na, Jaeha Kung, Saibal Mukhopadhyay:
Adaptive weight compression for memory-efficient neural networks. DATE 2017: 199-204 - [c115]Taesik Na, Jong Hwan Ko, Saibal Mukhopadhyay:
Clock data compensation aware clock tree synthesis in digital circuits with adaptive clock generation. DATE 2017: 1504-1509 - [c114]Arvind Singh, Monodeep Kar, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Improved power side channel attack resistance of a 128-bit AES engine with random fast voltage dithering. ESSCIRC 2017: 51-54 - [c113]Ramyad Hadidi, Bahar Asgari, Burhan Ahmad Mudassar, Saibal Mukhopadhyay, Sudhakar Yalamanchili, Hyesoon Kim:
Demystifying the characteristics of 3D-stacked memories: A case study for Hybrid Memory Cube. IISWC 2017: 66-75 - [c112]Taesik Na, Jong Hwan Ko, Jaeha Kung, Saibal Mukhopadhyay:
On-chip training of recurrent neural networks with limited numerical precision. IJCNN 2017: 3716-3723 - [c111]Jaeha Kung, Yun Long, Duckhwan Kim, Saibal Mukhopadhyay:
A Programmable Hardware Accelerator for Simulating Dynamical Systems. ISCA 2017: 403-415 - [c110]Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Invited paper: Low power requirements and side-channel protection of encryption engines: Challenges and opportunities. ISLPED 2017: 1-2 - [c109]Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
8.1 Improved power-side-channel-attack resistance of an AES-128 core via a security-aware integrated buck voltage regulator. ISSCC 2017: 142-143 - [c108]Jong Hwan Ko, Yun Long, Mohammad Faisal Amir, Duckhwan Kim, Jaeha Kung, Taesik Na, Amit Ranjan Trivedi, Saibal Mukhopadhyay:
Energy-efficient neural image processing for Internet-of-Things edge devices. MWSCAS 2017: 1069-1072 - [i5]Ramyad Hadidi, Bahar Asgari, Burhan Ahmad Mudassar, Saibal Mukhopadhyay, Sudhakar Yalamanchili, Hyesoon Kim:
Demystifying the Characteristics of 3D-Stacked Memories: A Case Study for Hybrid Memory Cube. CoRR abs/1706.02725 (2017) - [i4]Taesik Na, Jong Hwan Ko, Saibal Mukhopadhyay:
Cascade Adversarial Machine Learning Regularized with a Unified Embedding. CoRR abs/1708.02582 (2017) - [i3]Duckhwan Kim, Taesik Na, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
NeuroTrainer: An Intelligent Memory Module for Deep Learning Training. CoRR abs/1710.04347 (2017) - 2016
- [j53]He Xiao, Wen Yueh, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
Thermally Adaptive Cache Access Mechanisms for 3D Many-Core Architectures. IEEE Comput. Archit. Lett. 15(2): 129-132 (2016) - [j52]Mohammad Faisal Amir, Amit Ranjan Trivedi, Saibal Mukhopadhyay:
Exploration of Si/Ge Tunnel FET Bit Cells for Ultra-low Power Embedded Memory. IEEE J. Emerg. Sel. Topics Circuits Syst. 6(2): 185-197 (2016) - [j51]Denny Lie, Amit Ranjan Trivedi, Saibal Mukhopadhyay:
Impact of Heterogeneous Technology Integration on the Power, Performance, and Quality of a 3D Image Sensor. IEEE Trans. Multi Scale Comput. Syst. 2(1): 61-67 (2016) - [j50]Duckhwan Kim, Saibal Mukhopadhyay:
Partitioning Methods for Interface Circuit of Heterogeneous 3-D-ICs Under Process Variation. IEEE Trans. Very Large Scale Integr. Syst. 24(5): 1626-1635 (2016) - [c107]Khondker Z. Ahmed, Monodeep Kar, Saibal Mukhopadhyay:
(Invited paper) energy delivery for self-powered IoT devices. ASP-DAC 2016: 302-307 - [c106]Jong Hwan Ko, Taesik Na, Saibal Mukhopadhyay:
An energy-efficient wireless video sensor node with a region-of-interest based multi-parameter rate controller for moving object surveillance. AVSS 2016: 138-144 - [c105]Taesik Na, Saibal Mukhopadhyay:
Behavioral modeling of timing slack variation in digital circuits due to power supply noise. DATE 2016: 281-284 - [c104]Monodeep Kar, Arvind Singh, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
An integrated inductive VR with a 250MHz all-digital multisampled compensator and on-chip auto-tuning of coefficients in 130nm CMOS. ESSCIRC 2016: 453-456 - [c103]Khondker Zakir Ahmed, Mohammad Faisal Amir, Jong Hwan Ko, Saibal Mukhopadhyay:
Reconfigurable 96×128 active pixel sensor with 2.1µW/mm2 power generation and regulated multi-domain power delivery for self-powered imaging. ESSCIRC 2016: 507-510 - [c102]Arvind Singh, Monodeep Kar, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Integrated all-digital low-dropout regulator as a countermeasure to power attack in encryption engines. HOST 2016: 145-148 - [c101]William J. Song, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
Amdahl's law for lifetime reliability scaling in heterogeneous multicore processors. HPCA 2016: 594-605 - [c100]Khondker Zakir Ahmed, Saibal Mukhopadhyay:
A single-inductor-cascaded-stage topology for high conversion ratio boost regulator. ICCD 2016: 487-491 - [c99]Monodeep Kar, Arvind Singh, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
What does ultra low power requirements mean for side-channel secure cryptography? ICCD 2016: 686-689 - [c98]Yun Long, Eui Min Jung, Jaeha Kung, Saibal Mukhopadhyay:
ReRAM Crossbar based Recurrent Neural Network for human activity detection. IJCNN 2016: 939-946 - [c97]Duckhwan Kim, Jaeha Kung, Sek M. Chai, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
Neurocube: A Programmable Digital Neuromorphic Architecture with High-Density 3D Memory. ISCA 2016: 380-392 - [c96]Taesik Na, Saibal Mukhopadhyay:
Speeding up Convolutional Neural Network Training with Dynamic Precision Scaling and Flexible Multiplier-Accumulator. ISLPED 2016: 58-63 - [c95]Monodeep Kar, Arvind Singh, Sanu Mathew, Anand Rajan, Vivek De, Saibal Mukhopadhyay:
Exploiting Fully Integrated Inductive Voltage Regulators to Improve Side Channel Resistance of Encryption Engines. ISLPED 2016: 130-135 - [c94]Jaeha Kung, Duckhwan Kim, Saibal Mukhopadhyay:
Dynamic Approximation with Feedback Control for Energy-Efficient Recurrent Neural Network Hardware. ISLPED 2016: 168-173 - [c93]Jong Hwan Ko, Saibal Mukhopadhyay:
An Energy-Aware Approach to Noise-Robust Moving Object Detection for Low-Power Wireless Image Sensor Platforms. ISLPED 2016: 194-199 - [c92]Brian Cline, Saibal Mukhopadhyay, Peter J. Wright, Hai Li, Vinod Viswanath, Paul Wesling, Gang Qu, Ali Iranmanesh:
Welcome. ISQED 2016 - 2015
- [j49]William J. Song, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
Architectural Reliability: Lifetime Reliability Characterization and Management ofMany-Core Processors. IEEE Comput. Archit. Lett. 14(2): 103-106 (2015) - [j48]Saibal Mukhopadhyay, Swarup Bhunia, Hillery C. Hunter, Kaushik Roy:
Guest Editorial Computing in Emerging Technologies (Second Issue). IEEE J. Emerg. Sel. Topics Circuits Syst. 5(1): 1-4 (2015) - [j47]Kaushik Roy, Deliang Fan, Xuanyao Fong, Yusung Kim, Mrigank Sharad, Somnath Paul, Subho Chatterjee, Swarup Bhunia, Saibal Mukhopadhyay:
Exploring Spin Transfer Torque Devices for Unconventional Computing. IEEE J. Emerg. Sel. Topics Circuits Syst. 5(1): 5-16 (2015) - [j46]Dilan Manatunga, Hyesoon Kim, Saibal Mukhopadhyay:
SP-CNN: A Scalable and Programmable CNN-Based Accelerator. IEEE Micro 35(5): 42-50 (2015) - [j45]Jaeha Kung, Duckhwan Kim, Saibal Mukhopadhyay:
On the Impact of Energy-Accuracy Tradeoff in a Digital Cellular Neural Network for Image Processing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(7): 1070-1081 (2015) - [j44]Wen Yueh, Subho Chatterjee, Muneeb Zia, Swarup Bhunia, Saibal Mukhopadhyay:
A Memory-Based Logic Block With Optimized-for-Read SRAM for Energy-Efficient Reconfigurable Computing Fabric. IEEE Trans. Circuits Syst. II Express Briefs 62-II(6): 593-597 (2015) - [j43]Jong Hwan Ko, Burhan Ahmad Mudassar, Saibal Mukhopadhyay:
An Energy-Efficient Wireless Video Sensor Node for Moving Object Surveillance. IEEE Trans. Multi Scale Comput. Syst. 1(1): 7-18 (2015) - [c91]Sravan K. Marella, Amit Ranjan Trivedi, Saibal Mukhopadhyay, Sachin S. Sapatnekar:
Optimization of FinFET-based circuits using a dual gate pitch technique. ICCAD 2015: 758-763 - [c90]William J. Song, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
Managing performance-reliability tradeoffs in multicore processors. IRPS 2015: 3 - [c89]Wen Yueh, Zhimin Wan, Yogendra Joshi, Saibal Mukhopadhyay:
Experimental characterization of in-package microfluidic cooling on a System-on-Chip. ISLPED 2015: 43-48 - [c88]Jaeha Kung, Duckhwan Kim, Saibal Mukhopadhyay:
A power-aware digital feedforward neural network platform with backpropagation driven approximate synapses. ISLPED 2015: 85-90 - [c87]Arvind Singh, Monodeep Kar, Jong Hwan Ko, Saibal Mukhopadhyay:
Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators. ISLPED 2015: 134-139 - [c86]Syed Minhaj Hassan, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
Near Data Processing: Impact and Optimization of 3D Memory System Architecture on the Uncore. MEMSYS 2015: 11-21 - 2014
- [j42]Saibal Mukhopadhyay, Swarup Bhunia, Hillery C. Hunter, Kaushik Roy:
Guest Editorial Computing in Emerging Technologies (First Issue). IEEE J. Emerg. Sel. Topics Circuits Syst. 4(4): 377-379 (2014) - [j41]Daehyun Kim, Saibal Mukhopadhyay, Sung Kyu Lim:
TSV-Aware Interconnect Distribution Models for Prediction of Delay and Power Consumption of 3-D Stacked ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(9): 1384-1395 (2014) - [j40]Kwanyeob Chae, Saibal Mukhopadhyay:
A Dynamic Timing Error Prevention Technique in Pipelines With Time Borrowing and Clock Stretching. IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(1): 74-83 (2014) - [j39]Kwanyeob Chae, Saibal Mukhopadhyay:
Resilient Pipeline Under Supply Noise With Programmable Time Borrowing and Delayed Clock Gating. IEEE Trans. Circuits Syst. II Express Briefs 61-II(3): 173-177 (2014) - [j38]Amit Ranjan Trivedi, Wen Yueh, Saibal Mukhopadhyay:
In Situ Power Gating Efficiency Learner for Fine-Grained Self-Adaptive Power Gating. IEEE Trans. Circuits Syst. II Express Briefs 61-II(5): 344-348 (2014) - [j37]Borislav Alexandrov, Owen Sullivan, William J. Song, Sudhakar Yalamanchili, Satish Kumar, Saibal Mukhopadhyay:
Control Principles and On-Chip Circuits for Active Cooling Using Integrated Superlattice-Based Thin-Film Thermoelectric Devices. IEEE Trans. Very Large Scale Integr. Syst. 22(9): 1909-1919 (2014) - [j36]Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia:
A Variation-Aware Preferential Design Approach for Memory-Based Reconfigurable Computing. IEEE Trans. Very Large Scale Integr. Syst. 22(12): 2449-2461 (2014) - [c85]Marilyn Wolf, Saibal Mukhopadhyay:
Information theoretic models for signatures in VLSI power delivery systems. WESS 2014: 2:1-2:5 - [c84]Monodeep Kar, Denny Lie, Marilyn Wolf, Vivek De, Saibal Mukhopadhyay:
Impact of inductive integrated voltage regulator on the power attack vulnerability of encryption engines: A simulation study. CICC 2014: 1-4 - [c83]Duckhwan Kim, Saibal Mukhopadhyay:
On the Design of Reliable 3D-ICs Considering Charged Device Model ESD Events During Die Stacking. DAC 2014: 27:1-27:6 - [c82]Amit Ranjan Trivedi, Mohammad Faisal Amir, Saibal Mukhopadhyay:
Ultra-low power electronics with Si/Ge tunnel FET. DATE 2014: 1-6 - [c81]Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia:
Robust low-power reconfigurable computing with a variation-aware preferential design approach. ICICDT 2014: 1-6 - [c80]Borislav Alexandrov, Khondker Z. Ahmed, Saibal Mukhopadhyay:
An on-chip autonomous thermoelectric energy management system for energy-efficient active cooling. ISLPED 2014: 51-56 - [c79]Monodeep Kar, Sergio Carlo, Harish Kumar Krishnamurthy, Saibal Mukhopadhyay:
Impact of process variation in inductive integrated voltage regulator on delay and power of digital circuits. ISLPED 2014: 227-232 - [c78]William J. Song, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
Energy Introspector: A parallel, composable framework for integrated power-reliability-thermal modeling for multicore architectures. ISPASS 2014: 143-144 - [c77]Chenyun Pan, Saibal Mukhopadhyay, Azad Naeemi:
An analytical approach to system-level variation analysis and optimization for multi-core processor. ISQED 2014: 99-106 - 2013
- [j35]Subho Chatterjee, Sayeef S. Salahuddin, Satish Kumar, Saibal Mukhopadhyay:
Electrothermal analysis of spin-transfer-torque random access memory arrays. ACM J. Emerg. Technol. Comput. Syst. 9(2): 15:1-15:17 (2013) - [c76]Khondker Z. Ahmed, Saibal Mukhopadhyay:
A 110nA synchronous boost regulator with autonomous bias gating for energy harvesting. CICC 2013: 1-4 - [c75]Xinmu Wang, Wen Yueh, Debapriya Basu Roy, Seetharam Narasimhan, Yu Zheng, Saibal Mukhopadhyay, Debdeep Mukhopadhyay, Swarup Bhunia:
Role of power grid in side channel attack and power-grid-aware secure design. DAC 2013: 78:1-78:9 - [c74]Amit Ranjan Trivedi, Sergio Carlo, Saibal Mukhopadhyay:
Exploring tunnel-FET for ultra low power analog applications: a case study on operational transconductance amplifier. DAC 2013: 109:1-109:6 - [c73]Sergio Carlo, Wen Yueh, Saibal Mukhopadhyay:
On the potential of 3D integration of inductive DC-DC converter for high-performance power delivery. DAC 2013: 179:1-179:8 - [c72]Wen Yueh, Minki Cho, Saibal Mukhopadhyay:
Perceptual quality preserving SRAM architecture for color motion pictures. DATE 2013: 103-108 - [c71]Marilyn Wolf, Saibal Mukhopadhyay:
Physics of computing as an introduction to computer engineering. FIE 2013: 657-660 - [c70]Kwanyeob Chae, Saibal Mukhopadhyay:
Error resilient logic circuits under dynamic variations. IOLTS 2013: 250 - [c69]Denny Lie, Kwanyeob Chae, Saibal Mukhopadhyay:
On the impact of 3D integration on high-throughput sensor information processing: A case study with image sensing. NANOARCH 2013: 128-133 - 2012
- [j34]Seetharam Narasimhan, Wen Yueh, Xinmu Wang, Saibal Mukhopadhyay, Swarup Bhunia:
Improving IC Security Against Trojan Attacks Through Integration of Security Monitors. IEEE Des. Test Comput. 29(5): 37-46 (2012) - [j33]Jeremy R. Tolbert, Pratik Kabali, Simeranjit Brar, Saibal Mukhopadhyay:
Modeling and Designing for Accuracy and Energy Efficiency in Wireless Electroencephalography Systems. ACM J. Emerg. Technol. Comput. Syst. 8(1): 3:1-3:21 (2012) - [j32]Xin Zhao, Jeremy R. Tolbert, Saibal Mukhopadhyay, Sung Kyu Lim:
Variation-Aware Clock Network Design Methodology for Ultralow Voltage (ULV) Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(8): 1222-1234 (2012) - [j31]Kwanyeob Chae, Saibal Mukhopadhyay:
All-Digital Adaptive Clocking to Tolerate Transient Supply Noise in a Low-Voltage Operation. IEEE Trans. Circuits Syst. II Express Briefs 59-II(12): 893-897 (2012) - [c68]Kwanyeob Chae, Saibal Mukhopadhyay:
Tier-adaptive-voltage-scaling (TAVS): A methodology for post-silicon tuning of 3D ICs. ASP-DAC 2012: 277-282 - [c67]Borislav Alexandrov, Owen Sullivan, Satish Kumar, Saibal Mukhopadhyay:
Prospects of active cooling with integrated super-lattice based thin-film thermoelectric devices for mitigating hotspot challenges in microprocessors. ASP-DAC 2012: 633-638 - [c66]Minki Cho, Muhammad M. Khellah, Kwanyeob Chae, Khondker Zakir Ahmed, James W. Tschanz, Saibal Mukhopadhyay:
Characterization of Inverse Temperature Dependence in logic circuits. CICC 2012: 1-4 - [c65]Kwanyeob Chae, Minki Cho, Saibal Mukhopadhyay:
Low-power design under variation using error prevention and error tolerance (invited paper). LATW 2012: 1-6 - [c64]William J. Song, Sudhakar Yalamanchili, Arun F. Rodrigues, Saibal Mukhopadhyay:
Instruction-based energy estimation methodology for asymmetric manycore processor simulations. SimuTools 2012: 166-171 - [c63]Amit Ranjan Trivedi, Saibal Mukhopadhyay:
Self-adaptive power gating with test circuit for on-line characterization of energy inflection activity. VTS 2012: 38-43 - [c62]Wen Yueh, Subho Chatterjee, Amit Ranjan Trivedi, Saibal Mukhopadhyay:
On the parametric failures of SRAM in a 3D-die stack considering tier-to-tier supply cross-talk. VTS 2012: 264-269 - 2011
- [j30]Somnath Paul, Subho Chatterjee, Saibal Mukhopadhyay, Swarup Bhunia:
Energy-Efficient Reconfigurable Computing Using a Circuit-Architecture-Software Co-Design Approach. IEEE J. Emerg. Sel. Topics Circuits Syst. 1(3): 369-380 (2011) - [j29]Se Hun Kim, Saibal Mukhopadhyay, Marilyn Wolf:
Modeling and Analysis of Image Dependence and Its Implications for Energy Savings in Error Tolerant Image Processing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(8): 1163-1172 (2011) - [j28]Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay:
Analysis and Design of Energy and Slew Aware Subthreshold Clock Systems. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(9): 1349-1358 (2011) - [j27]Saibal Mukhopadhyay, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang:
SRAM Write-Ability Improvement With Transient Negative Bit-Line Voltage. IEEE Trans. Very Large Scale Integr. Syst. 19(1): 24-32 (2011) - [j26]Minki Cho, Jason Schlessman, Marilyn Wolf, Saibal Mukhopadhyay:
Reconfigurable SRAM Architecture With Spatial Voltage Scaling for Low Power Mobile Multimedia Applications. IEEE Trans. Very Large Scale Integr. Syst. 19(1): 161-165 (2011) - [j25]Subho Chatterjee, Mitchelle Rasquinha, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
A Scalable Design Methodology for Energy Minimization of STTRAM: A Circuit and Architecture Perspective. IEEE Trans. Very Large Scale Integr. Syst. 19(5): 809-817 (2011) - [c61]Xin Zhao, Jeremy R. Tolbert, Chang Liu, Saibal Mukhopadhyay, Sung Kyu Lim:
Variation-aware clock network design methodology for ultra-low voltage (ULV) circuits. ISLPED 2011: 9-14 - [c60]Se Hun Kim, Saibal Mukhopadhyay, Honggab Kim, Marilyn Wolf:
Low energy process variation tolerant digital image processing system design based on accuracy-energy tradeoffs. SiPS 2011: 106-111 - [e1]Swarup Bhunia, Saibal Mukhopadhyay:
Low-Power Variation-Tolerant Design in Nanometer Silicon. Springer 2011, ISBN 978-1-4419-7417-4 [contents] - 2010
- [j24]Minki Cho, Jason Schlessman, Hamid Mahmoodi, Marilyn Wolf, Saibal Mukhopadhyay:
Postsilicon Adaptation for Low-Power SRAM under Process Variation. IEEE Des. Test Comput. 27(6): 26-35 (2010) - [j23]Subho Chatterjee, Sayeef S. Salahuddin, Saibal Mukhopadhyay:
Dual-Source-Line-Bias Scheme to Improve the Read Margin and Sensing Accuracy of STTRAM in Sub-90-nm Nodes. IEEE Trans. Circuits Syst. II Express Briefs 57-II(3): 208-212 (2010) - [j22]Niladri Narayan Mojumder, Saibal Mukhopadhyay, Jae-Joon Kim, Ching-Te Chuang, Kaushik Roy:
Self-Repairing SRAM Using On-Chip Detection and Compensation. IEEE Trans. Very Large Scale Integr. Syst. 18(1): 75-84 (2010) - [c59]Kwanyeob Chae, Saibal Mukhopadhyay, Chang-Ho Lee, Joy Laskar:
A dynamic timing control technique utilizing time borrowing and clock stretching. CICC 2010: 1-4 - [c58]Minki Cho, Chang Liu, Dae Hyun Kim, Sung Kyu Lim, Saibal Mukhopadhyay:
Design method and test structure to characterize and repair TSV defect induced signal degradation in 3D system. ICCAD 2010: 694-697 - [c57]Subho Chatterjee, Sayeef S. Salahuddin, Satish Kumar, Saibal Mukhopadhyay:
Analysis of thermal behaviors of spin-torque-transfer RAM: a simulation study. ISLPED 2010: 13-18 - [c56]Mitchelle Rasquinha, Dhruv Choudhary, Subho Chatterjee, Saibal Mukhopadhyay, Sudhakar Yalamanchili:
An energy efficient cache design using spin torque transfer (STT) RAM. ISLPED 2010: 389-394 - [c55]Jeremy R. Tolbert, Pratik Kabali, Simeranjit Brar, Saibal Mukhopadhyay:
A low power system with adaptive data compression for wireless monitoring of physiological signals and its application to wireless electroencephalography. ISQED 2010: 333-341 - [c54]Minki Cho, Saibal Mukhopadhyay:
Signal processing methods and hardware-structure for on-line characterization of thermal gradients in many-core processors. ISQED 2010: 797-803 - [c53]Minki Cho, Nikhil Sathe, Arijit Raychowdhury, Saibal Mukhopadhyay:
Optimization of burn-in test for many-core processors through adaptive spatiotemporal power migration. ITC 2010: 59-68
2000 – 2009
- 2009
- [j21]Rajiv V. Joshi, Saibal Mukhopadhyay, Donald W. Plass, Yuen H. Chan, Ching-Te Chuang, Yue Tan:
Design of Sub-90 nm Low-Power and Variation Tolerant PD/SOI SRAM Cell Based on Dynamic Stability Metrics. IEEE J. Solid State Circuits 44(3): 965-976 (2009) - [c52]Minki Cho, Jason Schlessman, Wayne H. Wolf, Saibal Mukhopadhyay:
Accuracy-aware SRAM: a reconfigurable low power SRAM architecture for mobile multimedia applications. ASP-DAC 2009: 823-828 - [c51]Somnath Paul, Subho Chatterjee, Saibal Mukhopadhyay, Swarup Bhunia:
A circuit-software co-design approach for improving EDP in reconfigurable frameworks. ICCAD 2009: 109-112 - [c50]Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia:
A variation-aware preferential design approach for memory based reconfigurable computing. ICCAD 2009: 180-183 - [c49]Subho Chatterjee, Mitchelle Rasquinha, Sudhakar Yalamanchili, Saibal Mukhopadhyay:
A methodology for robust, energy efficient design of Spin-Torque-Transfer RAM arrays at scaled technologies. ICCAD 2009: 474-477 - [c48]Aditya Bansal, Rama N. Singh, Rouwaida Kanj, Saibal Mukhopadhyay, Jin-Fuw Lee, Emrah Acar, Amith Singhee, Keunwoo Kim, Ching-Te Chuang, Sani R. Nassif, Fook-Luen Heng, Koushik K. Das:
Yield estimation of SRAM circuits using "Virtual SRAM Fab". ICCAD 2009: 631-636 - [c47]Sourabh Khire, Saibal Mukhopadhyay:
On improving the algorithmic robustness of a low-power FIR filter. ICCD 2009: 384-389 - [c46]Jeremy R. Tolbert, Xin Zhao, Sung Kyu Lim, Saibal Mukhopadhyay:
Slew-aware clock tree design for reliable subthreshold circuits. ISLPED 2009: 15-20 - [c45]Se Hun Kim, Saibal Mukhopadhyay, Wayne H. Wolf:
Experimental analysis of sequence dependence on energy saving for error tolerant image processing. ISLPED 2009: 347-350 - [c44]Jeremy R. Tolbert, Saibal Mukhopadhyay:
Accurate buffer modeling with slew propagation in subthreshold circuits. ISQED 2009: 91-96 - [c43]Dae Hyun Kim, Saibal Mukhopadhyay, Sung Kyu Lim:
Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. SLIP 2009: 85-92 - 2008
- [j20]Saibal Mukhopadhyay, Keunwoo Kim, Keith A. Jenkins, Ching-Te Chuang, Kaushik Roy:
An On-Chip Test Structure and Digital Measurement Method for Statistical Characterization of Local Random Variability in a Process. IEEE J. Solid State Circuits 43(9): 1951-1963 (2008) - [j19]Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy:
Reduction of Parametric Failures in Sub-100-nm SRAM Array Using Body Bias. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1): 174-183 (2008) - [j18]Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy:
Profit Aware Circuit Design Under Process Variations Considering Speed Binning. IEEE Trans. Very Large Scale Integr. Syst. 16(7): 806-815 (2008) - [c42]Minki Cho, Kingsuk Maitra, Saibal Mukhopadhyay:
Analysis of the impact of interfacial oxide thickness variation on metal-gate high-K circuits. CICC 2008: 285-288 - [c41]Somnath Paul, Saibal Mukhopadhyay, Swarup Bhunia:
Hybrid CMOS-STTRAM non-volatile FPGA: design challenges and optimization approaches. ICCAD 2008: 589-592 - [c40]Aditya Bansal, Rama N. Singh, Saibal Mukhopadhyay, Geng Han, Fook-Luen Heng, Ching-Te Chuang:
Pre-Si estimation and compensation of SRAM layout deficiencies to achieve target performance and yield. ICCD 2008: 457-462 - [c39]Saibal Mukhopadhyay, Rahul M. Rao, Jae-Joon Kim, Ching-Te Chuang:
Capacitive coupling based transient negative bit-line voltage (Tran-NBL) scheme for improving write-ability of SRAM design in nanometer technologies. ISCAS 2008: 384-387 - [c38]Saibal Mukhopadhyay, Rajiv V. Joshi, Keunwoo Kim, Ching-Te Chuang:
Variability Analysis for sub-100nm PD/SOI Sense-Amplifier. ISQED 2008: 488-491 - [c37]Aditya Bansal, Jae-Joon Kim, Keunwoo Kim, Saibal Mukhopadhyay, Ching-Te Chuang, Kaushik Roy:
Optimal Dual-VT Design in Sub-100 Nanometer PDSOI and Double-Gate Technologies. VLSI Design 2008: 125-130 - [c36]Niladri Narayan Mojumder, Saibal Mukhopadhyay, Jae-Joon Kim, Ching-Te Chuang, Kaushik Roy:
Design and Analysis of a Self-Repairing SRAM with On-Chip Monitor and Compensation Circuitry. VTS 2008: 101-106 - 2007
- [j17]Saibal Mukhopadhyay, Keejong Kim, Hamid Mahmoodi, Kaushik Roy:
Design of a Process Variation Tolerant Self-Repairing SRAM for Yield Enhancement in Nanoscaled CMOS. IEEE J. Solid State Circuits 42(6): 1370-1382 (2007) - [j16]Saibal Mukhopadhyay, Keunwoo Kim, Jae-Joon Kim, Shih-Hsien Lo, Rajiv V. Joshi, Ching-Te Chuang, Kaushik Roy:
Estimation of gate-to-channel tunneling current in ultra-thin oxide sub-50nm double gate devices. Microelectron. J. 38(8-9): 931-941 (2007) - [c35]Saibal Mukhopadhyay, Qikai Chen, Kaushik Roy:
Memories in Scaled Technologies: A Review of Process Induced Failures, Test Methodologies, and Fault Tolerance. DDECS 2007: 69-74 - [c34]Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang:
Design and analysis of Thin-BOX FD/SOI devices for low-power and stable SRAM in sub-50nm technologies. ISLPED 2007: 20-25 - [c33]Saibal Mukhopadhyay, Keunwoo Kim, Keith A. Jenkins, Ching-Te Chuang, Kaushik Roy:
Statistical Characterization and On-Chip Measurement Methods for Local Random Variability of a Process Using Sense-Amplifier-Based Test Structure. ISSCC 2007: 400-611 - [c32]Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy:
Process Variations and Process-Tolerant Design. VLSI Design 2007: 699-704 - [i2]Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Nilanjan Banerjee, Kaushik Roy:
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies. CoRR abs/0710.4663 (2007) - [i1]Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy:
Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits. CoRR abs/0710.4729 (2007) - 2006
- [j15]Amit Agarwal, Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy, Chris H. Kim:
Leakage Power Analysis and Reduction for Nanoscale Circuits. IEEE Micro 26(2): 68-80 (2006) - [j14]Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy:
Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(8): 1486-1495 (2006) - [j13]Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy:
Modeling and Analysis of Leakage Currents in Double-Gate Technologies. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(10): 2052-2061 (2006) - [j12]Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy:
Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11): 2427-2436 (2006) - [j11]Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, Kaushik Roy:
A novel high-performance and robust sense amplifier using independent gate control in sub-50-nm double-gate MOSFET. IEEE Trans. Very Large Scale Integr. Syst. 14(2): 183-192 (2006) - [c31]Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy:
Speed binning aware design methodology to improve profit under parameter variations. ASP-DAC 2006: 712-717 - [c30]Saakshi Gangwal, Saibal Mukhopadhyay, Kaushik Roy:
Optimization of Surface Orientation for High-Performance, Low-Power and Robust FinFET SRAM. CICC 2006: 433-436 - [c29]Saibal Mukhopadhyay, Amit Agarwal, Qikai Chen, Kaushik Roy:
SRAMs in Scaled Technologies under Process Variations: Failure Mechanisms, Test & Variation Tolerant Design. CICC 2006: 547-554 - [c28]Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, Kaushik Roy:
Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM. DAC 2006: 971-976 - [c27]Qikai Chen, Saibal Mukhopadhyay, Aditya Bansal, Kaushik Roy:
Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design. DATE 2006: 983-988 - [c26]Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, Kaushik Roy:
Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies. SoCC 2006: 155-159 - [c25]Kaushik Roy, Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Hari Ananthan, Aditya Bansal, Tamer Cakici:
Double-Gate SOI Devices for Low-Power and High-Performance Applications. VLSI Design 2006: 445-452 - 2005
- [j10]Hamid Mahmoodi, Saibal Mukhopadhyay, Kaushik Roy:
Estimation of delay variations due to random-dopant fluctuations in nanoscale CMOS circuits. IEEE J. Solid State Circuits 40(9): 1787-1796 (2005) - [j9]Amit Agarwal, Bipul C. Paul, Saibal Mukhopadhyay, Kaushik Roy:
Process variation in embedded memories: failure analysis and variation aware architecture. IEEE J. Solid State Circuits 40(9): 1804-1814 (2005) - [j8]Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy:
Accurate estimation of total leakage in nanometer-scale bulk CMOS circuits based on device geometry and doping profile. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(3): 363-381 (2005) - [j7]Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, Kaushik Roy:
Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(12): 1859-1880 (2005) - [j6]Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy:
A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations. IEEE Trans. Very Large Scale Integr. Syst. 13(3): 349-357 (2005) - [j5]Swarup Bhunia, Hamid Mahmoodi-Meimand, Debjyoti Ghosh, Saibal Mukhopadhyay, Kaushik Roy:
Low-power scan design using first-level supply gating. IEEE Trans. Very Large Scale Integr. Syst. 13(3): 384-395 (2005) - [c24]Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy:
A Statistical Approach to Area-Constrained Yield Enhancement for Pipelined Circuits under Parameter Variations. Asian Test Symposium 2005: 170-175 - [c23]Saibal Mukhopadhyay, Arijit Raychowdhury, Hamid Mahmoodi-Meimand, Kaushik Roy:
Leakage Current Based Stabilization Scheme for Robust Sense-Amplifier Design for Yield Enhancement in Nano-scale SRAM. Asian Test Symposium 2005: 176-181 - [c22]Ik Joon Chang, Kunhyuk Kang, Saibal Mukhopadhyay, Chris H. Kim, Kaushik Roy:
Fast and accurate estimation of nano-scaled SRAM read failure probability using critical point sampling. CICC 2005: 439-442 - [c21]Aditya Bansal, Saibal Mukhopadhyay, Kairshik Roy:
Modeling and optimization approach to robust and low-power FinFET SRAM design in nanoscale era. CICC 2005: 835-838 - [c20]Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy:
Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits. DATE 2005: 224-229 - [c19]Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Nilanjan Banerjee, Kaushik Roy:
Statistical Modeling of Pipeline Delay and Design of Pipeline under Process Variation to Enhance Yield in sub-100nm Technologies. DATE 2005: 926-931 - [c18]Kaushik Roy, Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Hari Ananthan, Aditya Bansal, Tamer Cakici:
Double-gate SOI devices for low-power and high-performance applications. ICCAD 2005: 217-224 - [c17]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
A Feasibility Study of Subthreshold SRAM Across Technology Generations. ICCD 2005: 417-424 - [c16]Qikai Chen, Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy:
Process Variation Tolerant Online Current Monitor for Robust Systems. IOLTS 2005: 171-176 - [c15]Animesh Datta, Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy:
Yield Prediction of High Performance Pipelined Circuit with Respect to Delay Failures in Sub-100nm Technology. IOLTS 2005: 275-280 - [c14]Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang, Kaushik Roy:
Modeling and analysis of total leakage currents in nanoscale double gate devices and circuits. ISLPED 2005: 8-13 - [c13]Saibal Mukhopadhyay, Keunwoo Kim, Jae-Joon Kim, Shih-Hsien Lo, Rajiv V. Joshi, Ching-Te Chuang, Kaushik Roy:
Modeling and Analysis of Gate Leakage in Ultra-thin Oxide Sub-50nm Double Gate Devices and Circuits. ISQED 2005: 410-415 - [c12]Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, Kaushik Roy:
Design of High Performance Sense Amplifier Using Independent Gate Control in sub-50nm Double-Gate MOSFET. ISQED 2005: 490-495 - [c11]Saibal Mukhopadhyay, Kunhyuk Kang, Hamid Mahmoodi, Kaushik Roy:
Reliable and self-repairing SRAM in nano-scale technologies using leakage and delay monitoring. ITC 2005: 10 - 2004
- [j4]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
A circuit-compatible model of ballistic carbon nanotube field-effect transistors. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(10): 1411-1420 (2004) - [c10]Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Kaushik Roy:
Estimation of delay variations due to random-dopant fluctuations in nano-scaled CMOS circuits. CICC 2004: 17-20 - [c9]Amit Agarwal, Chris H. Kim, Saibal Mukhopadhyay, Kaushik Roy:
Leakage in nano-scale technologies: mechanisms, impact and design considerations. DAC 2004: 6-11 - [c8]Rajiv V. Joshi, Saibal Mukhopadhyay, Donald W. Plass, Yuen H. Chan, Ching-Te Chuang, Anirudh Devgan:
Variability analysis for sub-100 nm PD/SOI CMOS SRAM cell. ESSCIRC 2004: 211-214 - [c7]Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand, Kaushik Roy:
Statistical design and optimization of SRAM cell for yield enhancement. ICCAD 2004: 10-13 - [c6]Swarup Bhunia, Hamid Mahmoodi-Meimand, Saibal Mukhopadhyay, Debjyoti Ghosh, Kaushik Roy:
A Novel Low-Power Scan Design Technique Using Supply Gating. ICCD 2004: 60-65 - [c5]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
Modeling and Estimation of Leakage in Sub-90nm Devices. VLSI Design 2004: 65- - 2003
- [j3]Kauschick Roy, Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand:
Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits. Proc. IEEE 91(2): 305-327 (2003) - [j2]Saibal Mukhopadhyay, Cassondra Neau, R. T. Cakici, Amit Agarwal, Chris H. Kim, Kaushik Roy:
Gate leakage reduction for scaled devices using transistor stacking. IEEE Trans. Very Large Scale Integr. Syst. 11(4): 716-730 (2003) - [c4]Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy:
Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling. DAC 2003: 169-174 - [c3]Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy:
Modeling of Ballistic Carbon Nanotube Field Effect Transistors for Efficient Circuit Simulation. ICCAD 2003: 487-490 - [c2]Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy:
A forward body-biased low-leakage SRAM cache: device and architecture considerations. ISLPED 2003: 6-9 - [c1]Saibal Mukhopadhyay, Kaushik Roy:
Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation. ISLPED 2003: 172-175 - 2002
- [j1]Kaushik Roy, Saibal Mukhopadhyay, Hamid Mahmoodi-Meimand:
Leakage Current in Deep-Submicron CMOS Circuits. J. Circuits Syst. Comput. 11(6): 575-600 (2002)
Coauthor Index
aka: Venkata Chaitanya Krishna Chekuri
aka: Hamid Mahmoodi-Meimand
aka: Sanu K. Mathew
aka: Burhan Ahmad Musassar
aka: Wayne H. Wolf
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-08 20:29 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint