


default search action
Subhasish Mitra
Person information
Refine list

refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2024
- [j74]Ramesh Karri
, Janusz Rajski
, Rob Aitken, Subhasish Mitra
, Mark M. Tehranipoor:
VLSI Test and Trust Roundtable. IEEE Des. Test 41(6): 84-94 (2024) - [j73]Akash Levy
, Luke R. Upton
, Michael D. Scott, Dennis Rich
, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Subhasish Mitra
, Boris Murmann
, Priyanka Raina
:
EMBER: Efficient Multiple-Bits-Per-Cell Embedded RRAM Macro for High-Density Digital Storage. IEEE J. Solid State Circuits 59(7): 2081-2092 (2024) - [j72]Hsiao-Hsuan Liu
, Carlo Gilardi
, Shairfe Muhammad Salahuddin
, Zhenlin Pei
, Pieter Schuddinck, Yang Xiang
, Pieter Weckx
, Geert Hellings
, Marie Garcia Bardon
, Julien Ryckaert, Chenyun Pan
, Subhasish Mitra
, Francky Catthoor
:
Future Design Direction for SRAM Data Array: Hierarchical Subarray With Active Interconnect. IEEE Trans. Circuits Syst. I Regul. Pap. 71(12): 6495-6506 (2024) - [c182]Erik Jan Marinissen, Harish Dattatraya Dixit, Ronald Shawn Blanton, Aaron Kuo, Wei Li, Subhasish Mitra, Chris Nigh, Ruben Purdy, Ben Kaczer, Dishant Sangani, Pieter Weckx, Philippe J. Roussel, Georges G. E. Gielen:
Silent Data Corruption: Test or Reliability Problem? ETS 2024: 1-7 - [c181]Chris Nigh, Ruben Purdy, Wei Li, Subhasish Mitra, R. D. Shawn Blanton:
Faulty Function Extraction for Defective Circuits. ETS 2024: 1-6 - [c180]Kartik Prabhu, Robert M. Radway, Y. Jeffrey, Kai Bartolone, Massimo Giordano, Fabian Peddinghaus, Yonatan Urman, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Subhasish Mitra, Priyanka Raina:
MINOTAUR: An Edge Transformer Inference and Training Accelerator with 12 MBytes On-Chip Resistive RAM and Fine-Grained Spatiotemporal Power Gating. VLSI Technology and Circuits 2024: 1-2 - [c179]Nathaniel Safron, Tzu-Ang Chao, Shengman Li, Shreyam Natani, San Lin Liew, Carlo Gilardi, Hsin-Yuan Chiu, Sheng-Kai Su, Andrew Bechdolt, Gilad Zeevi, Zichen Zhang, Matthias Passlack, Vincent D.-H. Hou, Harshil Kashyap, Chao-Hsin Chien, Prabhakar Bandaru, Andrew C. Kummel, H.-S. Philip Wong, Subhasish Mitra, Gregory Pitner, Iuliana P. Radu:
High Performance Transistor of Aligned Carbon Nanotubes in a Nanosheet Structure. VLSI Technology and Circuits 2024: 1-2 - [i17]Tathagata Srimani, Robert M. Radway, Masoud Mohseni, Kerem Yunus Çamsari, Subhasish Mitra:
Next-generation Probabilistic Computing Hardware with 3D MOSAICs, Illusion Scale-up, and Co-design. CoRR abs/2409.11422 (2024) - [i16]Suhyeong Choi, Carlo Gilardi, Paul Gutwin, Robert M. Radway, Tathagata Srimani, Subhasish Mitra:
Omni 3D: BEOL-Compatible 3D Logic with Omnipresent Power, Signal, and Clock. CoRR abs/2409.16608 (2024) - 2023
- [j71]Gert Cauwenberghs
, Jason Cong
, X. Sharon Hu
, Siddharth Joshi, Subhasish Mitra
, Wolfgang Porod
, H.-S. Philip Wong
:
Micro/Nano Circuits and Systems Design and Design Automation: Challenges and Opportunities. Proc. IEEE 111(6): 561-574 (2023) - [j70]Mohammad Rahmani Fadiheh
, Alex Wezel
, Johannes Müller, Jörg Bormann, Sayak Ray, Jason M. Fung
, Subhasish Mitra
, Dominik Stoffel
, Wolfgang Kunz
:
An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors. IEEE Trans. Computers 72(1): 222-235 (2023) - [c178]Saranyu Chattopadhyay
, Keerthikumara Devarajegowda, Bihan Zhao, Florian Lonsing, Brandon A. D'Agostino, Ioanna Vavelidou, Vijay Deep Bhatt, Sebastian Prebeck, Wolfgang Ecker, Caroline Trippel, Clark W. Barrett
, Subhasish Mitra:
G-QED: Generalized QED Pre-silicon Verification beyond Non-Interfering Hardware Accelerators. DAC 2023: 1-6 - [c177]Dennis Rich
, Anna Kasperovich, Mohamadali Malakoutian
, Robert M. Radway, Shiho Hagiwara
, Takahide Yoshikawa, Srabanti Chowdhury
, Subhasish Mitra:
Thermal Scaffolding for Ultra-Dense 3D Integrated Circuits. DAC 2023: 1-6 - [c176]Tathagata Srimani
, Robert M. Radway, Jinwoo Kim, Kartik Prabhu, Dennis Rich
, Carlo Gilardi
, Priyanka Raina, Max M. Shulaker, Sung Kyu Lim, Subhasish Mitra:
Ultra-Dense 3D Physical Design Unlocks New Architectural Design Points with Large Benefits. DATE 2023: 1-6 - [c175]Luke R. Upton, Akash Levy
, Michael D. Scott, Dennis Rich
, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Subhasish Mitra, Priyanka Raina
, Boris Murmann
:
EMBER: A 100 MHz, 0.86 mm2, Multiple-Bits-per-Cell RRAM Macro in 40 nm CMOS with Compact Peripherals and 1.0 pJ/bit Read Circuitry. ESSCIRC 2023: 469-472 - [c174]Anjiang Wei
, Akash Levy
, Pu Yi, Robert M. Radway, Priyanka Raina
, Subhasish Mitra, Sara Achour
:
PBA: Percentile-Based Level Allocation for Multiple-Bits-Per-Cell RRAM. ICCAD 2023: 1-9 - [c173]Lizy K. John, Felipe M. G. França
, Subhasish Mitra, Zachary Susskind, Priscila M. V. Lima, Igor D. S. Miranda, Eugene B. John, Diego L. C. Dutra, Maurício Breternitz
:
Dendrite-inspired Computing to Improve Resilience of Neural Networks to Faults in Emerging Memory Technologies. ICRC 2023: 1-5 - [c172]Luke R. Upton, Guénolé Lallement, Michael D. Scott, Joyce Taylor, Robert M. Radway, Dennis Rich
, Mark Nelson, Subhasish Mitra, Boris Murmann
:
Testbench on a Chip: A Yield Test Vehicle for Resistive Memory Devices. ISQED 2023: 1-7 - [c171]Andrew M. Bartolo
, Mohamed M. Sabry Aly
, George Michelogiannakis
, Subhasish Mitra
:
MC-ELMM: Multi-Chip Endurance-Limited Memory Management. MEMSYS 2023: 23:1-23:16 - [c170]Amrith Lotlikar, Nishal P. Shah, Alex R. Gogliettino, Ramandeep Vilkhu, Sasidhar Madugula, Lauren E. Grosberg, Pawel Hottowy, Alexander Sher, Alan M. Litke, E. J. Chichilnisky, Subhasish Mitra:
Partitioned Temporal Dithering for Efficient Epiretinal Electrical Stimulation. NER 2023: 1-5 - [c169]Praful K. Vasireddy
, Alex R. Gogliettino, Jeff B. Brown, Ramandeep S. Vilkhu, Sasidhar Madugula, A. J. Phillips, Subhasish Mitra, Pawel Hottowy, Alexander Sher, Alan M. Litke, Nishal P. Shah, E. J. Chichilnisky:
Efficient Modeling and Calibration of Multi-Electrode Stimuli for Epiretinal Implants. NER 2023: 1-4 - [c168]Gregory Pitner, Nathaniel Safron, Tzu-Ang Chao, Shengman Li, Sheng-Kai Su, Gilad Zeevi, Qing Lin
, Hsin-Yuan Chiu, Matthias Passlack, Zichen Zhang
, D. Mahaveer Sathaiya, Aslan Wei, Carlo Gilardi
, Edward Chen, San Lin Liew, Vincent D.-H. Hou, Chung-Wei Wu, Jeff Wu, Zhiwei Lin, Jeffrey Fagan, Ming Zheng, Han Wang, Subhasish Mitra, H.-S. Philip Wong, Iuliana P. Radu:
Building high performance transistors on carbon nanotube channel. VLSI Technology and Circuits 2023: 1-2 - [c167]Tathagata Srimani
, Andrew C. Yu, Robert M. Radway, Dennis Rich
, Mark Nelson, Simon S. Wong
, Denis Murphy, Samuel Fuller, Gage Hills, Subhasish Mitra, Max M. Shulaker:
Foundry Monolithic 3D BEOL Transistor + Memory Stack: Iso-performance and Iso-footprint BEOL Carbon Nanotube FET+RRAM vs. FEOL Silicon FET+RRAM. VLSI Technology and Circuits 2023: 1-2 - 2022
- [j69]Kartik Prabhu
, Albert Gural, Zainab F. Khan, Robert M. Radway, Massimo Giordano
, Kalhan Koul, Rohan Doshi, John W. Kustin
, Timothy Liu, Gregorio B. Lopes, Victor Turbiner, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Guénolé Lallement
, Boris Murmann
, Subhasish Mitra
, Priyanka Raina
:
CHIMERA: A 0.92-TOPS, 2.2-TOPS/W Edge AI Accelerator With 2-MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference. IEEE J. Solid State Circuits 57(4): 1013-1026 (2022) - [j68]Lita Yang
, Robert M. Radway, Yu-Hsin Chen, Tony F. Wu
, Huichu Liu, Elnaz Ansari, Vikas Chandra, Subhasish Mitra
, Edith Beigné:
Three-Dimensional Stacked Neural Network Accelerator Architectures for AR/VR Applications. IEEE Micro 42(6): 116-124 (2022) - [c166]Wei Li, Chris Nigh, Danielle Duvalsaint, Subhasish Mitra, Ronald D. Blanton:
PEPR: Pseudo-Exhaustive Physically-Aware Region Testing. ITC 2022: 314-323 - [i15]Richard A. Gottscho, Edlyn V. Levine, Tsu-Jae King Liu, Paul C. McIntyre, Subhasish Mitra, Boris Murmann, Jan M. Rabaey, Sayeef S. Salahuddin, Willy C. Shih, H.-S. Philip Wong:
Innovating at Speed and at Scale: A Next Generation Infrastructure for Accelerating Semiconductor Technologies. CoRR abs/2204.02216 (2022) - 2021
- [j67]Samuel Pagliarini
, Joseph Sweeney
, Ken Mai, R. D. Shawn Blanton
, Larry T. Pileggi
, Subhasish Mitra
:
Split-Chip Design to Prevent IP Reverse Engineering. IEEE Des. Test 38(4): 109-118 (2021) - [c165]Saranyu Chattopadhyay
, Florian Lonsing, Luca Piccolboni, Deepraj Soni, Peng Wei, Xiaofan Zhang, Yuan Zhou, Luca P. Carloni, Deming Chen, Jason Cong, Ramesh Karri, Zhiru Zhang, Caroline Trippel, Clark W. Barrett, Subhasish Mitra:
Scaling Up Hardware Accelerator Verification using A-QED with Functional Decomposition. FMCAD 2021: 42-52 - [c164]Massimo Giordano, Kartik Prabhu, Kalhan Koul, Robert M. Radway, Albert Gural, Rohan Doshi, Zainab F. Khan, John W. Kustin, Timothy Liu, Gregorio B. Lopes, Victor Turbiner, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Guénolé Lallement, Boris Murmann, Subhasish Mitra, Priyanka Raina:
CHIMERA: A 0.92 TOPS, 2.2 TOPS/W Edge AI Accelerator with 2 MByte On-Chip Foundry Resistive RAM for Efficient Training and Inference. VLSI Circuits 2021: 1-2 - [i14]Karthik Ganesan, Florian Lonsing, Srinivasa Shashank Nuthakki, Eshan Singh, Mohammad Rahmani Fadiheh, Wolfgang Kunz, Dominik Stoffel, Clark W. Barrett, Subhasish Mitra:
Effective Pre-Silicon Verification of Processor Cores by Breaking the Bounds of Symbolic Quick Error Detection. CoRR abs/2106.10392 (2021) - [i13]Mohammad Rahmani Fadiheh, Alex Wezel, Johannes Müller, Jörg Bormann, Sayak Ray, Jason M. Fung, Subhasish Mitra, Dominik Stoffel, Wolfgang Kunz:
An Exhaustive Approach to Detecting Transient Execution Side Channels in RTL Designs of Processors. CoRR abs/2108.01979 (2021) - [i12]Saranyu Chattopadhyay, Florian Lonsing, Luca Piccolboni, Deepraj Soni, Peng Wei, Xiaofan Zhang, Yuan Zhou, Luca P. Carloni, Deming Chen, Jason Cong, Ramesh Karri, Zhiru Zhang, Caroline Trippel, Clark W. Barrett, Subhasish Mitra:
Scaling Up Hardware Accelerator Verification using A-QED with Functional Decomposition. CoRR abs/2108.06081 (2021) - 2020
- [j66]H.-S. Philip Wong
, Kerem Akarvardar, Dimitri A. Antoniadis, Jeffrey Bokor, Chenming Hu, Tsu-Jae King Liu, Subhasish Mitra
, James D. Plummer, Sayeef S. Salahuddin:
A Density Metric for Semiconductor Technology [Point of View]. Proc. IEEE 108(4): 478-482 (2020) - [j65]H.-S. Philip Wong, Kerem Akarvardar, Dimitri A. Antoniadis, Jeffrey Bokor, Chenming Hu, Tsu-Jae King Liu, Subhasish Mitra, James D. Plummer, Sayeef S. Salahuddin, Lei Deng, Xin-Guo Li, Song Han, Luping Shi, Yuan Xie, Elias Yaacoub, Mohamed-Slim Alouini, Ahmed Douik, Hayssam Dahrouj, Tareq Y. Al-Naffouri:
Scanning the Issue. Proc. IEEE 108(4): 483-484 (2020) - [c163]Jianqi Chen, Monir Zaman, Yiorgos Makris
, R. D. Shawn Blanton, Subhasish Mitra
, Benjamin Carrión Schäfer:
DECOY: DEflection-Driven HLS-Based Computation Partitioning for Obfuscating Intellectual PropertY. DAC 2020: 1-6 - [c162]Mohammad Rahmani Fadiheh, Johannes Müller, Raik Brinkmann, Subhasish Mitra
, Dominik Stoffel, Wolfgang Kunz:
A Formal Approach for Detecting Vulnerabilities to Transient Execution Attacks in Out-of-Order Processors. DAC 2020: 1-6 - [c161]Eshan Singh, Florian Lonsing, Saranyu Chattopadhyay
, Maxwell Strange, Peng Wei, Xiaofan Zhang, Yuan Zhou, Deming Chen, Jason Cong, Priyanka Raina, Zhiru Zhang
, Clark W. Barrett, Subhasish Mitra
:
A-QED Verification of Hardware Accelerators. DAC 2020: 1-6 - [c160]Keerthikumara Devarajegowda, Mohammad Rahmani Fadiheh, Eshan Singh, Clark W. Barrett, Subhasish Mitra, Wolfgang Ecker, Dominik Stoffel, Wolfgang Kunz:
Gap-free Processor Verification by S2QED and Property Generation. DATE 2020: 526-531 - [c159]Florian Lonsing, Subhasish Mitra
, Clark W. Barrett:
A Theoretical Framework for Symbolic Quick Error Detection. FMCAD 2020: 1-10 - [c158]Karthik Ganesan
, Thomas A. Flores, Binh Q. Le, Dante G. Muratore, Neal A. Patel, Subhasish Mitra, Boris Murmann, Daniel Palanker:
Sensory Particles with Optical Telemetry. ISCAS 2020: 1-5 - [c157]Roman Gauchi
, Valentin Egloff
, Maha Kooli, Jean-Philippe Noël, Bastien Giraud, Pascal Vivet
, Subhasish Mitra
, Henri-Pierre Charles
:
Reconfigurable tiles of computing-in-memory SRAM architecture for scalable vectorization. ISLPED 2020: 121-126 - [c156]David Atienza, Subhasish Mitra, Manan Suri:
Message from the Technical Program Co-Chairs. VLSID 2020: i - [i11]Florian Lonsing, Subhasish Mitra, Clark W. Barrett:
A Theoretical Framework for Symbolic Quick Error Detection. CoRR abs/2006.05449 (2020)
2010 – 2019
- 2019
- [j64]Rajesh K. Gupta
, Subhasish Mitra, Puneet Gupta
:
Variability Expeditions: A Retrospective. IEEE Des. Test 36(1): 65-67 (2019) - [j63]Mindy D. Bishop, H.-S. Philip Wong
, Subhasish Mitra
, Max M. Shulaker
:
Monolithic 3-D Integration. IEEE Micro 39(6): 16-27 (2019) - [j62]Mohamed M. Sabry Aly
, Tony F. Wu
, Andrew Bartolo, Yash H. Malviya, William Hwang
, Gage Hills
, Igor L. Markov
, Mary Wootters
, Max M. Shulaker
, H.-S. Philip Wong
, Subhasish Mitra
:
The N3XT Approach to Energy-Efficient Abundant-Data Computing. Proc. IEEE 107(1): 19-48 (2019) - [j61]Dante Gabriel Muratore
, Pulkit Tandon
, Mary Wootters
, E. J. Chichilnisky
, Subhasish Mitra
, Boris Murmann
:
A Data-Compressive Wired-OR Readout for Massively Parallel Neural Recording. IEEE Trans. Biomed. Circuits Syst. 13(6): 1128-1140 (2019) - [j60]Keith A. Campbell
, David Lin, Leon He
, Liwei Yang, Swathi T. Gurumani, Kyle Rupnow
, Subhasish Mitra
, Deming Chen:
Hybrid Quick Error Detection: Validation and Debug of SoCs Through High-Level Synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(7): 1345-1358 (2019) - [c155]Eric Cheng, Daniel Mueller-Gritschneder
, Jacob A. Abraham, Pradip Bose, Alper Buyuktosunoglu, Deming Chen, Hyungmin Cho, Yanjing Li, Uzair Sharif, Kevin Skadron
, Mircea Stan
, Ulf Schlichtmann
, Subhasish Mitra:
Cross-Layer Resilience: Challenges, Insights, and the Road Ahead. DAC 2019: 198 - [c154]Mohammad Rahmani Fadiheh, Dominik Stoffel, Clark W. Barrett, Subhasish Mitra
, Wolfgang Kunz:
Processor Hardware Security Vulnerabilities and their Detection by Unique Program Execution Checking. DATE 2019: 994-999 - [c153]Eshan Singh, Keerthikumara Devarajegowda, Sebastian Simon, Ralf Schnieder, Karthik Ganesan
, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz, Clark W. Barrett, Wolfgang Ecker, Subhasish Mitra
:
Symbolic QED Pre-silicon Verification for Automotive Microcontroller Cores: Industrial Case Study. DATE 2019: 1000-1005 - [c152]Georges G. E. Gielen, Nektar Xama, Karthik Ganesan
, Subhasish Mitra
:
Review of Methodologies for Pre- and Post-Silicon Analog Verification in Mixed-Signal SOCs. DATE 2019: 1006-1009 - [c151]Florian Lonsing
, Karthik Ganesan
, Makai Mann, Srinivasa Shashank Nuthakki
, Eshan Singh, Mario Srouji, Yahan Yang, Subhasish Mitra
, Clark W. Barrett:
Unlocking the Power of Formal Hardware Verification with CoSA and Symbolic QED: Invited Paper. ICCAD 2019: 1-8 - [c150]Dante G. Muratore
, Pulkit Tandon, Mary Wootters, E. J. Chichilnisky, Subhasish Mitra
, Boris Murmann:
A Data-Compressive Wired-OR Readout for Massively Parallel Neural Recording. ISCAS 2019: 1-5 - [c149]Tony F. Wu, Binh Q. Le, Robert M. Radway
, Andrew Bartolo, William Hwang, Seungbin Jeong, Haitong Li, Pulkit Tandon, Elisa Vianello, Pascal Vivet
, Etienne Nowak
, Mary Wootters, H.-S. Philip Wong, Mohamed M. Sabry Aly, Edith Beigné, Subhasish Mitra
:
A 43pJ/Cycle Non-Volatile Microcontroller with 4.7μs Shutdown/Wake-up Integrating 2.3-bit/Cell Resistive RAM and Resilience Techniques. ISSCC 2019: 226-228 - [c148]Nishal P. Shah, Sasidhar Madugula, Lauren E. Grosberg, Gonzalo E. Mena, Pulkit Tandon, Pawel Hottowy, Alexander Sher
, Alan M. Litke, Subhasish Mitra
, E. J. Chichilnisky:
Optimization of Electrical Stimulation for a High-Fidelity Artificial Retina. NER 2019: 714-718 - [c147]Roman Gauchi
, Maha Kooli, Pascal Vivet
, Jean-Philippe Noël, Edith Beigné, Subhasish Mitra
, Henri-Pierre Charles
:
Memory Sizing of a Scalable SRAM In-Memory Computing Tile Based Architecture. VLSI-SoC 2019: 166-171 - [i10]Eshan Singh, Keerthikumara Devarajegowda, Sebastian Simon, Ralf Schnieder, Karthik Ganesan, Mohammad Rahmani Fadiheh, Dominik Stoffel, Wolfgang Kunz, Clark W. Barrett, Wolfgang Ecker, Subhasish Mitra:
Symbolic QED Pre-silicon Verification for Automotive Microcontroller Cores: Industrial Case Study. CoRR abs/1902.01494 (2019) - 2018
- [j59]Tony F. Wu
, Haitong Li
, Ping-Chen Huang
, Abbas Rahimi
, Gage Hills, Bryce Hodson, William Hwang
, Jan M. Rabaey, H.-S. Philip Wong
, Max M. Shulaker
, Subhasish Mitra
:
Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration. IEEE J. Solid State Circuits 53(11): 3183-3196 (2018) - [j58]Eric Cheng
, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho
, Kevin Skadron
, Mircea R. Stan
, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra
:
Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience). IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(9): 1839-1852 (2018) - [c146]Gage Hills, Daniel Bankman, Bert Moons, Lita Yang, Jake Hillard, Alex Kahng, Rebecca Park, Marian Verhelst
, Boris Murmann, Max M. Shulaker, H.-S. Philip Wong, Subhasish Mitra
:
TRIG: hardware accelerator for inference-based applications and experimental demonstration using carbon nanotube FETs. DAC 2018: 74:1-74:10 - [c145]Mohammad Rahmani Fadiheh, Joakim Urdahl, Srinivasa Shashank Nuthakki
, Subhasish Mitra
, Clark W. Barrett, Dominik Stoffel, Wolfgang Kunz:
Symbolic quick error detection using symbolic initial state for pre-silicon verification. DATE 2018: 55-60 - [c144]Daniel Mueller-Gritschneder
, Martin Dittrich, Josef Weinzierl, Eric Cheng, Subhasish Mitra
, Ulf Schlichtmann
:
ETISS-ML: A multi-level instruction set simulator with RTL-level fault injection support for the evaluation of cross-layer resiliency techniques. DATE 2018: 609-612 - [c143]William Hwang, Weier Wan
, Subhasish Mitra
, H.-S. Philip Wong:
Coming Up N3XT, After 2D Scaling of Si CMOS. ISCAS 2018: 1-5 - [c142]Tony F. Wu, Haitong Li, Ping-Chen Huang, Abbas Rahimi
, Jan M. Rabaey, H.-S. Philip Wong, Max M. Shulaker, Subhasish Mitra:
Brain-inspired computing exploiting carbon nanotube FETs and resistive RAM: Hyperdimensional computing case study. ISSCC 2018: 492-494 - [c141]Subhasish Mitra:
Abundant-data computing: The N3XT 1, 000X. VLSI-DAT 2018: 1 - [i9]Abbas Rahimi, Tony F. Wu, Haitong Li, Jan M. Rabaey, H.-S. Philip Wong, Max M. Shulaker, Subhasish Mitra:
Hyperdimensional Computing Nanosystem. CoRR abs/1811.09557 (2018) - [i8]Mohammad Rahmani Fadiheh, Dominik Stoffel, Clark W. Barrett, Subhasish Mitra, Wolfgang Kunz:
Processor Hardware Security Vulnerabilities and their Detection by Unique Program Execution Checking. CoRR abs/1812.04975 (2018) - 2017
- [j57]Michael J. Flynn, Subhasish Mitra
:
Edward J. McCluskey 1929-2016. IEEE Des. Test 34(2): 94-98 (2017) - [j56]Dennis Sylvester, Dejan Markovic, Roman Genov, Atsushi Kawasumi, Subhasish Mitra:
Introduction to the January Special Issue on the 2016 IEEE International Solid-State Circuits Conference. IEEE J. Solid State Circuits 52(1): 3-7 (2017) - [j55]Bryan Chin, Subhasish Mitra:
Hot Chips 28. IEEE Micro 37(2): 5-6 (2017) - [j54]Hyungmin Cho
, Eric Cheng
, Thomas Shepherd, Chen-Yong Cher, Subhasish Mitra
:
System-Level Effects of Soft Errors in Uncore Components. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 36(9): 1497-1510 (2017) - [j53]Haitong Li
, Tony F. Wu, Subhasish Mitra
, H.-S. Philip Wong:
Resistive RAM-Centric Computing: Design and Modeling Methodology. IEEE Trans. Circuits Syst. I Regul. Pap. 64-I(9): 2263-2273 (2017) - [c140]Subhasish Mitra, Deming Chen:
ASP-DAC 2017 keynote speech I: In memory of Edward J. McCluskey: The next wave of pioneering innovations. ASP-DAC 2017: 1 - [c139]Eshan Singh, Clark W. Barrett
, Subhasish Mitra
:
E-QED: Electrical Bug Localization During Post-silicon Validation Enabled by Quick Error Detection and Formal Methods. CAV (2) 2017: 104-125 - [c138]William Hwang, Mohamed M. Sabry Aly, Yash H. Malviya, Mingyu Gao, Tony F. Wu, Christos Kozyrakis, H.-S. Philip Wong, Subhasish Mitra
:
3D nanosystems enable embedded abundant-data computing: special session paper. CODES+ISSS 2017: 29:1-29:2 - [c137]Ameya Patil, Naresh R. Shanbhag, Lav R. Varshney, Eric Pop
, H.-S. Philip Wong, Subhasish Mitra
, Jan M. Rabaey, Jeffrey A. Weldon, Larry T. Pileggi, Sasikanth Manipatruni, Dmitri E. Nikonov
, Ian A. Young:
A Systems Approach to Computing in Beyond CMOS Fabrics: Invited. DAC 2017: 18:1-18:2 - [c136]Eric Cheng, Jacob A. Abraham, Pradip Bose, Alper Buyuktosunoglu, Keith A. Campbell, Deming Chen, Chen-Yong Cher, Hyungmin Cho, Binh Q. Le, Klas Lilja, Shahrzad Mirkhani, Kevin Skadron
, Mircea Stan
, Lukasz G. Szafaryn, Christos Vezyrtzis, Subhasish Mitra
:
Cross-Layer Resilience in Low-Voltage Digital Systems: Key Insights. ICCD 2017: 593-596 - [c135]Ramon Bertran
, Pradip Bose, David M. Brooks, Jeff Burns, Alper Buyuktosunoglu, Nandhini Chandramoorthy, Eric Cheng, Martin Cochet, Schuyler Eldridge
, Daniel Friedman, Hans M. Jacobson, Rajiv V. Joshi, Subhasish Mitra, Robert K. Montoye, Arun Paidimarri
, Pritish Parida, Kevin Skadron
, Mircea Stan
, Karthik Swaminathan, Augusto Vega, Swagath Venkataramani, Christos Vezyrtzis, Gu-Yeon Wei, John-David Wellman, Matthew M. Ziegler:
Very Low Voltage (VLV) Design. ICCD 2017: 601-604 - [i7]Eshan Singh, Clark W. Barrett, Subhasish Mitra:
E-QED: Electrical Bug Localization During Post-Silicon Validation Enabled by Quick Error Detection and Formal Methods. CoRR abs/1707.07671 (2017) - [i6]Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron, Mircea R. Stan, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra:
Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience). CoRR abs/1709.09921 (2017) - [i5]Eshan Singh, David Lin, Clark W. Barrett, Subhasish Mitra:
Logic Bug Detection and Localization Using Symbolic Quick Error Detection. CoRR abs/1711.06541 (2017) - 2016
- [j52]Eshan Singh, David Lin, Clark W. Barrett
, Subhasish Mitra:
Symbolic Quick Error Detection for Pre-Silicon and Post-Silicon Validation: Frequently Asked Questions. IEEE Des. Test 33(6): 55-62 (2016) - [j51]Tony F. Wu, Karthik Ganesan
, Yunqing Alexander Hu, H.-S. Philip Wong, S. Simon Wong, Subhasish Mitra
:
TPAD: Hardware Trojan Prevention and Detection for Trusted Integrated Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(4): 521-534 (2016) - [j50]Georges G. E. Gielen
, Jelle Van Rethy, Jorge Marin
, Max M. Shulaker, Gage Hills, H.-S. Philip Wong, Subhasish Mitra
:
Time-Based Sensor Interface Circuits in CMOS and Carbon Nanotube Technologies. IEEE Trans. Circuits Syst. I Regul. Pap. 63-I(5): 577-586 (2016) - [c134]Rubén Braojos, David Atienza, Mohamed M. Sabry Aly, Tony F. Wu, H.-S. Philip Wong, Subhasish Mitra, Giovanni Ansaloni:
Nano-engineered architectures for ultra-low power wireless body sensor nodes. CODES+ISSS 2016: 23:1-23:10 - [c133]Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron
, Mircea R. Stan
, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra
:
Clear: cross-layer exploration for architecting resilience combining hardware and software techniques to tolerate soft errors in processor cores. DAC 2016: 68:1-68:6 - [c132]Subhasish Mitra:
Cross-layer resilience. ETS 2016: 1 - [c131]Antoine Dupret, Subhasish Mitra:
Session 24 overview: Ultra-efficient computing: Application-inspired and analog-assisted digital. ISSCC 2016: 414-415 - [c130]Subhasish Mitra:
Transforming nanodevices into nanosystems: The N3XT 1, 000X. LATS 2016: 6 - [c129]Max Marcel Shulaker, Gage Hills, H.-S. Philip Wong, Subhasish Mitra:
Transforming nanodevices to next generation nanosystems. SAMOS 2016: 288-292 - [i4]Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron, Mircea R. Stan, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra:
CLEAR: Cross-Layer Exploration for Architecting Resilience - Combining Hardware and Software Techniques to Tolerate Soft Errors in Processor Cores. CoRR abs/1604.03062 (2016) - 2015
- [j49]Mohamed M. Sabry, Mingyu Gao, Gage Hills, Chi-Shuen Lee, Greg Pitner, Max M. Shulaker, Tony F. Wu, Mehdi Asheghi, Jeffrey Bokor, Franz Franchetti, Kenneth E. Goodson, Christos Kozyrakis, Igor L. Markov, Kunle Olukotun, Larry T. Pileggi, Eric Pop, Jan M. Rabaey, Christopher Ré, H.-S. Philip Wong, Subhasish Mitra
:
Energy-Efficient Abundant-Data Computing: The N3XT 1, 000x. Computer 48(12): 24-33 (2015) - [j48]Lucas Francisco Wanner
, Liangzhen Lai, Abbas Rahimi
, Mark Gottscho, Pietro Mercati
, Chu-Hsiang Huang, Frederic Sala, Yuvraj Agarwal, Lara Dolecek, Nikil D. Dutt
, Puneet Gupta
, Rajesh K. Gupta, Ranjit Jhala, Rakesh Kumar, Sorin Lerner, Subhasish Mitra
, Alexandru Nicolau, Tajana Simunic Rosing, Mani B. Srivastava, Steven Swanson
, Dennis Sylvester, Yuanyuan Zhou:
NSF expedition on variability-aware software: Recent results and contributions. it Inf. Technol. 57(3): 181-198 (2015) - [j47]Luca Gaetano Amarù, Pierre-Emmanuel Gaillardon, Subhasish Mitra, Giovanni De Micheli
:
New Logic Synthesis as Nanotechnology Enabler. Proc. IEEE 103(11): 2168-2195 (2015) - [j46]Gage Hills, Jie Zhang, Max Marcel Shulaker, Hai Wei, Chi-Shuen Lee
, Arjun Balasingam, H.-S. Philip Wong, Subhasish Mitra
:
Rapid Co-Optimization of Processing and Circuit Design to Overcome Carbon Nanotube Variations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(7): 1082-1095 (2015) - [c128]Luca Gaetano Amarù, Gage Hills, Pierre-Emmanuel Gaillardon, Subhasish Mitra
, Giovanni De Micheli
:
Multiple Independent Gate FETs: How many gates do we need? ASP-DAC 2015: 243-248 - [c127]Keith A. Campbell, David Lin, Subhasish Mitra
, Deming Chen:
Hybrid quick error detection (H-QED): accelerator validation and debug using high-level synthesis principles. DAC 2015: 53:1-53:6 - [c126]Hyungmin Cho, Chen-Yong Cher, Thomas Shepherd, Subhasish Mitra
:
Understanding soft errors in uncore components. DAC 2015: 89:1-89:6 - [c125]Shahrzad Mirkhani, Subhasish Mitra, Chen-Yong Cher, Jacob A. Abraham:
Efficient soft error vulnerability estimation of complex designs. DATE 2015: 103-108 - [c124]David Lin, Eswaran S, Sharad Kumar, Eric Rentschler, Subhasish Mitra:
Quick error detection tests with fast runtimes for effective post-silicon validation and debug. DATE 2015: 1168-1173 - [c123]Max M. Shulaker, Tony F. Wu, Mohamed M. Sabry, Hai Wei, H.-S. Philip Wong, Subhasish Mitra:
Monolithic 3D integration: a path from concept to reality. DATE 2015: 1197-1202 - [c122]Georges G. E. Gielen, Jelle Van Rethy, Max M. Shulaker, Gage Hills, H.-S. Philip Wong, Subhasish Mitra:
Time-based sensor interface circuits in carbon nanotube technology. ISCAS 2015: 2924-2927 - [c121]